From 473a4a745bc924351efa72c368e1d11c4793758b Mon Sep 17 00:00:00 2001 From: MHeinrichs Date: Thu, 15 May 2014 21:16:29 +0200 Subject: [PATCH] Initial push First VErsion after I decided to use version controll ;) --- .gitattributes | 22 + .gitignore | 215 + Layout and PCB/68030-TK-V09b.brd | 7850 + Layout and PCB/68030-TK-V09b.sch | 14401 ++ Logic/.recordref | 0 Logic/68030-68000-bus - Blinkend.vhd | 403 + Logic/68030-68000-bus - Blinkend2.vhd | 402 + Logic/68030-68000-bus - Vollsync.vhd | 403 + Logic/68030-68000-bus-kaputt.vhd | 425 + Logic/68030-68000-bus-kaputt2.vhd | 387 + Logic/68030-68000-bus.jhd | 3 + Logic/68030-68000-bus.vhd | 494 + Logic/68030_TK.STY | 6 + Logic/68030_TK.cmi | 46 + Logic/68030_TK.lci | 183 + Logic/68030_TK.lct | 183 + Logic/68030_TK.syn | 11 + Logic/68030_TK.tcl | 115106 +++++++++++++++ Logic/68030_TK_tcl.ini | 5 + Logic/68030_tk.b2_ | 1 + Logic/68030_tk.bl2 | 1588 + Logic/68030_tk.bl3 | 842 + Logic/68030_tk.crf | 14 + Logic/68030_tk.d0 | 1 + Logic/68030_tk.eq3 | 494 + Logic/68030_tk.err | 0 Logic/68030_tk.fti | 345 + Logic/68030_tk.grp | 16 + Logic/68030_tk.imp | 2 + Logic/68030_tk.ipr | 1 + Logic/68030_tk.jed | 1098 + Logic/68030_tk.jid | 1 + Logic/68030_tk.l0 | 1 + Logic/68030_tk.l2v | 0 Logic/68030_tk.lco | 210 + Logic/68030_tk.out | 47226 ++++++ Logic/68030_tk.plc | 115 + Logic/68030_tk.prd | 1816 + Logic/68030_tk.rev | 3 + Logic/68030_tk.rpt | 1417 + Logic/68030_tk.svl | 2 + Logic/68030_tk.tal | 90 + Logic/68030_tk.tt2 | 387 + Logic/68030_tk.tt3 | 387 + Logic/68030_tk.tt4 | 199 + Logic/68030_tk.tte | 199 + Logic/68030_tk.v2l | 0 Logic/68030_tk.vcl | 198 + Logic/68030_tk.vco | 220 + Logic/68030_tk.vct | 216 + Logic/68030_tk.xrf | 16 + Logic/BUS68030.bl0 | 1207 + Logic/BUS68030.bl1 | 1588 + Logic/BUS68030.edi | 2927 + Logic/BUS68030.eq0 | 976 + Logic/BUS68030.fse | 20 + Logic/BUS68030.naf | 74 + Logic/BUS68030.prj | 34 + Logic/BUS68030.srl | Bin 0 -> 4903 bytes Logic/BUS68030.srm | 2318 + Logic/BUS68030.srr | 94 + Logic/BUS68030.srs | Bin 0 -> 8961 bytes Logic/LCIEdit.dum | 0 Logic/OPTEdit.dum | 0 Logic/Programming.xcf | 56 + Logic/automake.err | 1 + Logic/bus68030.cif | 0 Logic/bus68030.exf | 670 + Logic/bus68030.srf | 94 + Logic/dm/BUS68030_compiler.xdm | 37 + Logic/lattice_cmd.rs2 | 1 + Logic/ols-config.olp | Bin 0 -> 7985 bytes Logic/ols-config.ols | 1208 + Logic/opt_cmd.rs2 | 1 + Logic/run_options.txt | 52 + Logic/scratchproject.prs | 50 + Logic/syndos.env | 39 + Logic/synlog/bus68030_fpga_mapper.srr | 42 + .../report/BUS68030_compiler_errors.txt | 3 + .../synlog/report/BUS68030_compiler_notes.txt | 7 + .../report/BUS68030_compiler_runstatus.xml | 41 + .../report/BUS68030_compiler_warnings.txt | 12 + .../report/BUS68030_fpga_mapper_errors.txt | 0 .../report/BUS68030_fpga_mapper_notes.txt | 3 + .../report/BUS68030_fpga_mapper_runstatus.xml | 45 + .../report/BUS68030_fpga_mapper_warnings.txt | 0 Logic/syntmp/BUS68030.plg | 0 Logic/syntmp/run_option.xml | 18 + Logic/synwork/BUS68030_compiler.fdep | 28 + Logic/synwork/BUS68030_compiler.fdeporig | 24 + Logic/synwork/BUS68030_compiler.srs | Bin 0 -> 8961 bytes Logic/synwork/BUS68030_compiler.tlg | 26 + README.txt | 18 + 93 files changed, 209364 insertions(+) create mode 100644 .gitattributes create mode 100644 .gitignore create mode 100644 Layout and PCB/68030-TK-V09b.brd create mode 100644 Layout and PCB/68030-TK-V09b.sch create mode 100644 Logic/.recordref create mode 100644 Logic/68030-68000-bus - Blinkend.vhd create mode 100644 Logic/68030-68000-bus - Blinkend2.vhd create mode 100644 Logic/68030-68000-bus - Vollsync.vhd create mode 100644 Logic/68030-68000-bus-kaputt.vhd create mode 100644 Logic/68030-68000-bus-kaputt2.vhd create mode 100644 Logic/68030-68000-bus.jhd create mode 100644 Logic/68030-68000-bus.vhd create mode 100644 Logic/68030_TK.STY create mode 100644 Logic/68030_TK.cmi create mode 100644 Logic/68030_TK.lci create mode 100644 Logic/68030_TK.lct create mode 100644 Logic/68030_TK.syn create mode 100644 Logic/68030_TK.tcl create mode 100644 Logic/68030_TK_tcl.ini create mode 100644 Logic/68030_tk.b2_ create mode 100644 Logic/68030_tk.bl2 create mode 100644 Logic/68030_tk.bl3 create mode 100644 Logic/68030_tk.crf create mode 100644 Logic/68030_tk.d0 create mode 100644 Logic/68030_tk.eq3 create mode 100644 Logic/68030_tk.err create mode 100644 Logic/68030_tk.fti create mode 100644 Logic/68030_tk.grp create mode 100644 Logic/68030_tk.imp create mode 100644 Logic/68030_tk.ipr create mode 100644 Logic/68030_tk.jed create mode 100644 Logic/68030_tk.jid create mode 100644 Logic/68030_tk.l0 create mode 100644 Logic/68030_tk.l2v create mode 100644 Logic/68030_tk.lco create mode 100644 Logic/68030_tk.out create mode 100644 Logic/68030_tk.plc create mode 100644 Logic/68030_tk.prd create mode 100644 Logic/68030_tk.rev create mode 100644 Logic/68030_tk.rpt create mode 100644 Logic/68030_tk.svl create mode 100644 Logic/68030_tk.tal create mode 100644 Logic/68030_tk.tt2 create mode 100644 Logic/68030_tk.tt3 create mode 100644 Logic/68030_tk.tt4 create mode 100644 Logic/68030_tk.tte create mode 100644 Logic/68030_tk.v2l create mode 100644 Logic/68030_tk.vcl create mode 100644 Logic/68030_tk.vco create mode 100644 Logic/68030_tk.vct create mode 100644 Logic/68030_tk.xrf create mode 100644 Logic/BUS68030.bl0 create mode 100644 Logic/BUS68030.bl1 create mode 100644 Logic/BUS68030.edi create mode 100644 Logic/BUS68030.eq0 create mode 100644 Logic/BUS68030.fse create mode 100644 Logic/BUS68030.naf create mode 100644 Logic/BUS68030.prj create mode 100644 Logic/BUS68030.srl create mode 100644 Logic/BUS68030.srm create mode 100644 Logic/BUS68030.srr create mode 100644 Logic/BUS68030.srs create mode 100644 Logic/LCIEdit.dum create mode 100644 Logic/OPTEdit.dum create mode 100644 Logic/Programming.xcf create mode 100644 Logic/automake.err create mode 100644 Logic/bus68030.cif create mode 100644 Logic/bus68030.exf create mode 100644 Logic/bus68030.srf create mode 100644 Logic/dm/BUS68030_compiler.xdm create mode 100644 Logic/lattice_cmd.rs2 create mode 100644 Logic/ols-config.olp create mode 100644 Logic/ols-config.ols create mode 100644 Logic/opt_cmd.rs2 create mode 100644 Logic/run_options.txt create mode 100644 Logic/scratchproject.prs create mode 100644 Logic/syndos.env create mode 100644 Logic/synlog/bus68030_fpga_mapper.srr create mode 100644 Logic/synlog/report/BUS68030_compiler_errors.txt create mode 100644 Logic/synlog/report/BUS68030_compiler_notes.txt create mode 100644 Logic/synlog/report/BUS68030_compiler_runstatus.xml create mode 100644 Logic/synlog/report/BUS68030_compiler_warnings.txt create mode 100644 Logic/synlog/report/BUS68030_fpga_mapper_errors.txt create mode 100644 Logic/synlog/report/BUS68030_fpga_mapper_notes.txt create mode 100644 Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml create mode 100644 Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt create mode 100644 Logic/syntmp/BUS68030.plg create mode 100644 Logic/syntmp/run_option.xml create mode 100644 Logic/synwork/BUS68030_compiler.fdep create mode 100644 Logic/synwork/BUS68030_compiler.fdeporig create mode 100644 Logic/synwork/BUS68030_compiler.srs create mode 100644 Logic/synwork/BUS68030_compiler.tlg create mode 100644 README.txt diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 0000000..412eeda --- /dev/null +++ b/.gitattributes @@ -0,0 +1,22 @@ +# Auto detect text files and perform LF normalization +* text=auto + +# Custom for Visual Studio +*.cs diff=csharp +*.sln merge=union +*.csproj merge=union +*.vbproj merge=union +*.fsproj merge=union +*.dbproj merge=union + +# Standard to msysgit +*.doc diff=astextplain +*.DOC diff=astextplain +*.docx diff=astextplain +*.DOCX diff=astextplain +*.dot diff=astextplain +*.DOT diff=astextplain +*.pdf diff=astextplain +*.PDF diff=astextplain +*.rtf diff=astextplain +*.RTF diff=astextplain diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..b9d6bd9 --- /dev/null +++ b/.gitignore @@ -0,0 +1,215 @@ +################# +## Eclipse +################# + +*.pydevproject +.project +.metadata +bin/ +tmp/ +*.tmp +*.bak +*.swp +*~.nib +local.properties +.classpath +.settings/ +.loadpath + +# External tool builders +.externalToolBuilders/ + +# Locally stored "Eclipse launch configurations" +*.launch + +# CDT-specific +.cproject + +# PDT-specific +.buildpath + + +################# +## Visual Studio +################# + +## Ignore Visual Studio temporary files, build results, and +## files generated by popular Visual Studio add-ons. + +# User-specific files +*.suo +*.user +*.sln.docstates + +# Build results + +[Dd]ebug/ +[Rr]elease/ +x64/ +build/ +[Bb]in/ +[Oo]bj/ + +# MSTest test Results +[Tt]est[Rr]esult*/ +[Bb]uild[Ll]og.* + +*_i.c +*_p.c +*.ilk +*.meta +*.obj +*.pch +*.pdb +*.pgc +*.pgd +*.rsp +*.sbr +*.tlb +*.tli +*.tlh +*.tmp +*.tmp_proj +*.log +*.vspscc +*.vssscc +.builds +*.pidb +*.log +*.scc + +# Visual C++ cache files +ipch/ +*.aps +*.ncb +*.opensdf +*.sdf +*.cachefile + +# Visual Studio profiler +*.psess +*.vsp +*.vspx + +# Guidance Automation Toolkit +*.gpState + +# ReSharper is a .NET coding add-in +_ReSharper*/ +*.[Rr]e[Ss]harper + +# TeamCity is a build add-in +_TeamCity* + +# DotCover is a Code Coverage Tool +*.dotCover + +# NCrunch +*.ncrunch* +.*crunch*.local.xml + +# Installshield output folder +[Ee]xpress/ + +# DocProject is a documentation generator add-in +DocProject/buildhelp/ +DocProject/Help/*.HxT +DocProject/Help/*.HxC +DocProject/Help/*.hhc +DocProject/Help/*.hhk +DocProject/Help/*.hhp +DocProject/Help/Html2 +DocProject/Help/html + +# Click-Once directory +publish/ + +# Publish Web Output +*.Publish.xml +*.pubxml + +# NuGet Packages Directory +## TODO: If you have NuGet Package Restore enabled, uncomment the next line +#packages/ + +# Windows Azure Build Output +csx +*.build.csdef + +# Windows Store app package directory +AppPackages/ + +# Others +sql/ +*.Cache +ClientBin/ +[Ss]tyle[Cc]op.* +~$* +*~ +*.dbmdl +*.[Pp]ublish.xml +*.pfx +*.publishsettings + +# RIA/Silverlight projects +Generated_Code/ + +# Backup & report files from converting an old project file to a newer +# Visual Studio version. Backup files are not needed, because we have git ;-) +_UpgradeReport_Files/ +Backup*/ +UpgradeLog*.XML +UpgradeLog*.htm + +# SQL Server files +App_Data/*.mdf +App_Data/*.ldf + +############# +## Windows detritus +############# + +# Windows image file caches +Thumbs.db +ehthumbs.db + +# Folder config file +Desktop.ini + +# Recycle Bin used on file shares +$RECYCLE.BIN/ + +# Mac crap +.DS_Store + + +############# +## Python +############# + +*.py[co] + +# Packages +*.egg +*.egg-info +dist/ +build/ +eggs/ +parts/ +var/ +sdist/ +develop-eggs/ +.installed.cfg + +# Installer logs +pip-log.txt + +# Unit test / coverage reports +.coverage +.tox + +#Translations +*.mo + +#Mr Developer +.mr.developer.cfg diff --git a/Layout and PCB/68030-TK-V09b.brd b/Layout and PCB/68030-TK-V09b.brd new file mode 100644 index 0000000..b13efc1 --- /dev/null +++ b/Layout and PCB/68030-TK-V09b.brd @@ -0,0 +1,7850 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +TOP +Bot +a1k.org 68030-TK v0.9b +(c) 2013 Matthias +Heinrichs +a1k.org 68030-TK V0.9 +(c)2013 Matthias Heinrichs +Free for non commercial +reproduction + +JTAG + + + +<b>Motorola MC68000 Processors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>micro Ball Grid Array</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Dual In Line</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>PLASTIC LEADED CHIP CARRIER</b><p> +square + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> +Metric Code Size 1608 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> +Metric Code Size 2012 + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + + + +<b>TTL Devices, 74xx Series with European Symbols</b><p> +Based on the following sources: +<ul> +<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. +<li>TTL Data Book, Volume 2 , 1993 +<li>National Seminconductor Databook 1990, ALS/LS Logic +<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 +<li>http://icmaster.com/ViewCompare.asp +</ul> +<author>Created by librarian@cadsoft.de</author> + + +<b>Wide Small Outline package</b> 300 mil + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Harting & 3M Connectors</b><p> +Low profile connectors, straight<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +10 +>NAME +>VALUE +1 +2 + + + + + + + + + + + + + + +<b>Crystals and Crystal Resonators</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + +<b>VG Connectors (DIN 41612/DIN 41617)</b><p> +The library contains devices which allow to place the contacts individually or +in one or several blocks.<p> +This behavior is indicated by the key words <i>single</i> and <i>block</i> in +the respective device descriptions.<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CONNECTOR</b><p> +female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +a +b +c +32 +DIN41612-R + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors in DIL Packages</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>AMD MACH4/MACH5 Family (Vantis)</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>THIN QUAD FLAT PACK</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +TQFP 100 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>LeitOn Design-Regeln</b> +<p> +Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! +<br><br> +<b>Übersicht der LeitOn Regeln:</b<<br><br> +<u>allgemein:</u><br> +minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> +(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> +kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> +<u>Kupferrestringe um DK-Bohrungen:</u><br> +Aussenlagen: <b>0.15 mm</b><br> +Innenlagen: <b>0.2 mm</b><br> +<br> +<u>Masselagen-Freimachungen:</u><br> +Innenlagen: <b>0.35 mm</b><br> +<br> +<u>Bestückungsdruck</u><br> +minimale Strichstärke: <b>0.2 mm</b><br><br> +<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. + +</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 6.2.2 text objects can contain more than one line, +which will not be processed correctly with this version. + + + diff --git a/Layout and PCB/68030-TK-V09b.sch b/Layout and PCB/68030-TK-V09b.sch new file mode 100644 index 0000000..1e0f991 --- /dev/null +++ b/Layout and PCB/68030-TK-V09b.sch @@ -0,0 +1,14401 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Motorola MC68000 Processors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>micro Ball Grid Array</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Dual In Line</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>PLASTIC LEADED CHIP CARRIER</b><p> +square + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>PLCC Socked</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME +68 + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +VCC +GND + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +VCC +GND + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +GND +VCC + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>68xxx PROCESSOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 3 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 4 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 6 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm + 5 mm, outline 2.4 x 7 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +Horizontal, grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 3.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 4.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 5.2 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 4.3 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 5.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 6.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 5.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 6.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 7.2 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 8.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 9.1 x 18.2 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 6.2 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 7.4 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 8.7 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 10.8 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 11.3 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 9.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 11.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 13.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 20.5 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 13.7 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 16.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 18.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 19.2 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 20.3 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 15.5 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 6.3 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 15.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 17.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> +Metric Code Size 1005 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> +Metric Code Size 1608 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> +Metric Code Size 2012 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> +Metric Code Size 3216 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> +Metric Code Size 3225 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> +Metric Code Size 4532 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> +Metric Code Size 4564 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 + + + + +>NAME +>VALUE + + + + +<b> </b><p> +Source: http://www.vishay.com/docs/10129/hpc0201a.pdf + + +>NAME +>VALUE + + + +Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf + + +>NAME +>VALUE + + + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> wave soldering<p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> wave soldering<p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0204, grid 5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 2.5 mm + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 10 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0207, grid 12 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 15mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 2.5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 10mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 2.5 mm + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0411, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 3.81 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0414, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0414, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0617, grid 17.5 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0922, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0613, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0613, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0817, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +0817 + + + + +<b>RESISTOR</b><p> +type 0817, grid 6.35 mm + + + + + + +>NAME +>VALUE +0817 + + + +<b>RESISTOR</b><p> +type V234, grid 12.5 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V235, grid 17.78 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V526-0, grid 2.5 mm + + + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Reflow Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Wave Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC3715</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC3715</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0922, grid 7.5 mm + + + + + + +>NAME +>VALUE +0922 + + + +<b>RESISTOR</b><p> +type RDH, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +RDH + + + + +<b>Mini MELF 0102 Axial</b> + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b> chip<p> +Source: http://www.vishay.com/docs/20008/dcrcw.pdf + + +>NAME +>VALUE + + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR52<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR53<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR54<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR56<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC60<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Package 4527</b><p> +Source: http://www.vishay.com/docs/31059/wsrhigh.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> +Source: http://www.vishay.com .. dcrcw.pdf + + + + +>NAME +>VALUE + + + + +<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> +Source: http://www.murata.com .. GRM43DR72E224KW01.pdf + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + +>NAME +>VALUE + + + + + + +<B>CAPACITOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<B>RESISTOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Frames for Sheet and Layout</b> + + + + + + + + + + + + + + + + + + + + +>DRAWING_NAME +>LAST_DATE_TIME +>SHEET +Sheet: + + + + + +<b>FRAME</b><p> +DIN A4, landscape with location and doc. field + + + + + + + + + + + + + + +<b>TTL Devices, 74xx Series with European Symbols</b><p> +Based on the following sources: +<ul> +<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. +<li>TTL Data Book, Volume 2 , 1993 +<li>National Seminconductor Databook 1990, ALS/LS Logic +<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 +<li>http://icmaster.com/ViewCompare.asp +</ul> +<author>Created by librarian@cadsoft.de</author> + + +<b>Dual In Line Package</b> + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Wide Small Outline package</b> 300 mil + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Leadless Chip Carrier</b><p> Ceramic Package + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>NAME +GND +VCC + + + + + + +Octal <b>BUS TRANSCEIVER</b>, 3-state + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Harting & 3M Connectors</b><p> +Low profile connectors, straight<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +10 +>NAME +>VALUE +1 +2 + + + + + + + + + + + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +1 +2 +>NAME +>VALUE +10 + + + + + + + + + + + + + + + + + + + + + + + +<b>3M</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + +<b>3M</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>VALUE +>NAME + + + + + + + + + + + + + + +<b>HARTING</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Crystals and Crystal Resonators</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +GND +VCC +OUT + + + + + + + +<b>CRYSTAL RESONATOR</b> + + + + + + + + + + + + + + + + + + + + + + + + +<b>VG Connectors (DIN 41612/DIN 41617)</b><p> +The library contains devices which allow to place the contacts individually or +in one or several blocks.<p> +This behavior is indicated by the key words <i>single</i> and <i>block</i> in +the respective device descriptions.<p> +<author>Created by librarian@cadsoft.de</author> + + +<b>CONNECTOR</b><p> +female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +a +b +c +32 +DIN41612-R + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +>NAME + + + + + +<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Resistors in DIL Packages</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>Chip Resistor Array</b> size 4 × 0603<p> +concave termination - Phycomp Components<br> +Source: RS Components + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array</b> size 4 × 0603<p> +convex termination - Phycomp Components<br> +Source: RS Components + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>BOURNS</b> Chip Resistor Array<p> +Source: RS Component / BUORNS + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>BOURNS</b> Chip Resistor Array<p> +Source: RS Component / BUORNS + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> +Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>Array chip resistor</b> size 4 × 0402<p> +Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + +<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> +Source: PANASONIC .. aoc0000ce1.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>VALUE +>NAME + + + + + + +<b>Array Chip Resistor</b><p> +Source: RS Component / Phycomp + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>AMD MACH4/MACH5 Family (Vantis)</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>THIN QUAD FLAT PACK</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +TQFP 100 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +GND +GND +VCC +GND +GND +VCC +GND +GND +VCC +GND +VCC +GND +GND +GND +VCC +GND +VCC +GND +GND +GND +GND +GND +GND +GND + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>AMD MACH SERIES</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Logic/.recordref b/Logic/.recordref new file mode 100644 index 0000000..e69de29 diff --git a/Logic/68030-68000-bus - Blinkend.vhd b/Logic/68030-68000-bus - Blinkend.vhd new file mode 100644 index 0000000..3fcfc52 --- /dev/null +++ b/Logic/68030-68000-bus - Blinkend.vhd @@ -0,0 +1,403 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; +-- DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + A: inout std_logic_vector ( 31 downto 0 ); + CPU_SPACE: in std_logic ; +-- BERR: inout std_logic ; --error: this is connected to a global input pin :( + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK: inout std_logic_vector ( 1 downto 0 ); + DTACK: inout std_logic ; + AVEC: out std_logic ; +-- AVEC_EXP: inout std_logic ; --this is a "free pin" + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: out std_logic ; + RW: in std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + + +subtype ESTATE is std_logic_vector(3 downto 0); + +constant E1 : ESTATE := "0110"; +constant E2 : ESTATE := "0111"; +constant E3 : ESTATE := "0100"; +constant E4 : ESTATE := "0101"; +constant E5 : ESTATE := "0010"; +constant E6 : ESTATE := "0011"; +constant E7 : ESTATE := "1010"; +constant E8 : ESTATE := "1011"; +constant E9 : ESTATE := "1100"; +constant E10 : ESTATE := "1111"; +-- Illegal states +constant E20 : ESTATE := "0000"; +constant E4a : ESTATE := "0001"; +constant E21 : ESTATE := "1000"; +constant E22 : ESTATE := "1001"; +constant E23 : ESTATE := "1101"; +constant E24 : ESTATE := "1110"; + +signal cpu_est : ESTATE := E20; + +subtype AMIGA_STATE is std_logic_vector(1 downto 0); + +constant IDLE : AMIGA_STATE := "00"; +constant AS_SET : AMIGA_STATE := "01"; +constant DATA_FETCH : AMIGA_STATE := "10"; +constant END_CYCLE : AMIGA_STATE := "11"; + +signal SM_AMIGA : AMIGA_STATE; +signal SM_AMIGA_LAST : AMIGA_STATE; + + + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal ZorroII:STD_LOGIC:= '0'; +signal AS_000_INT:STD_LOGIC:= '1'; +signal AS_000_INT_D:STD_LOGIC:= '1'; +signal BGACK_030_INT:STD_LOGIC:= '1'; +signal DTACK_DMA:STD_LOGIC:= '1'; +signal DTACK_INT:STD_LOGIC:= '1'; +signal DTACK_SYNC:STD_LOGIC:= '1'; +signal DTACK_SYNC_D:STD_LOGIC:= '1'; +signal DTACK_SYNC_DD:STD_LOGIC:= '1'; +signal FPU_CS_INT:STD_LOGIC:= '1'; +signal E_INT: STD_LOGIC:='1'; +signal VPA_SYNC: STD_LOGIC:='1'; +signal VMA_INT: STD_LOGIC:='1'; +signal VMA_INT_D: STD_LOGIC:='1'; +signal UDS_000_INT: STD_LOGIC:='1'; +signal LDS_000_INT: STD_LOGIC:='1'; +signal UDS_LOGIC: STD_LOGIC:='1'; +signal LDS_LOGIC: STD_LOGIC:='1'; +--signal AS_030_delay: STD_LOGIC:='1'; +signal AS_AMIGA_ENABLE: STD_LOGIC:='1'; +--signal DS_030_INT: STD_LOGIC:='Z'; +--signal A_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +--signal SIZE_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal DSACK_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal CLK_CNT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_OUT_INT: STD_LOGIC:='1'; +signal CLK_000_D: STD_LOGIC := '1'; + +begin + + --clk generation : up to now just half the clock + cpu_clk: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + + if(CLK_CNT="10") then + CLK_OUT_INT <= not CLK_OUT_INT; + CLK_CNT <= "00"; + else + CLK_CNT <= CLK_CNT+1; + end if; + end if; + + end process cpu_clk; + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT; + + + clk_delay: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + CLK_000_D <= CLK_000; + end if; + + end process clk_delay; + + --ZORROII (Amiga) space? + ZorroII <= '1' when (A(31 downto 24)= x"00") else '0'; -- 24-bit addres space. + + --BG_ACK is simple: + BGACK_030_gen: process (CLK_000,BGACK_000) begin + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + elsif rising_edge(CLK_000) then + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high + end if; + end process BGACK_030_gen; + BGACK_030 <= BGACK_030_INT; + + --DTACK + DTACK <= 'Z' when BGACK_030_INT ='1' else + DTACK_DMA; + DTACK_DMA <= '0' when AS_000_INT ='0' AND DSACK(1) ='0' else + '1'; + + --CO-Processor Chip select + FPU_CS_INT <= '0' when FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='0' + else '1'; + FPU_CS <= FPU_CS_INT; + + --if no copro is installed: +-- BERR <= 'Z' when FPU_CS_INT ='1' else '0'; + + --reset buffer + RESET <= RST; + + --cache inhibit: For now: disable + CIIN <= '1' WHEN A(31 downto 20) = x"00F" ELSE + '1' WHEN A(31 downto 16) = x"00E0" ELSE + '0'; + + --bus buffers + AMIGA_BUS_ENABLE <= '0'; --for now: allways on + AMIGA_BUS_DATA_DIR <='1' WHEN RW='0' ELSE '0'; + AMIGA_BUS_ENABLE_LOW <= '1'; --for now: allways off + + + -- vma and e clock + e_clk: process (CLK_000) + begin + if rising_edge(CLK_000) then + -- next state. + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + -- Illegal states + when E4a => cpu_est <= E5 ; + when E20 => cpu_est <= E10; + when E21 => cpu_est <= E10; + when E22 => cpu_est <= E9 ; + when E23 => cpu_est <= E9 ; + when E24 => cpu_est <= E10; + when others => + null; + end case; + end if; + end process e_clk; + + vma_gen: process (CLK_000,AS_030) begin + if(AS_030='1') then + VMA_INT <= '1'; + VPA_SYNC <= '1'; + elsif falling_edge(CLK_000) then + VPA_SYNC <= VPA; + if(cpu_est = E3 AND VPA_SYNC = '0' AND AS_000_INT = '0') then + VMA_INT <= '0'; -- low active ! + end if; + if(cpu_est = E10) then + VMA_INT <= '1'; + end if; + + end if; + end process vma_gen; + + vma_delay: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + VMA_INT_D<=VMA_INT; + end if; + end process vma_delay; + + E_INT <= cpu_est(3); + E <= E_INT; + VMA <= VMA_INT AND VMA_INT_D; + + + --AVEC + --AVEC <= '0' WHEN VMA='1' AND cpu_est = E10 -- + -- ELSE '1'; + AVEC <= '1'; + + --IPL: Buffer interrupts for a CPU-Cycle to avoid fake interupts + ipl_amiga: process(CLK_000) + begin + if(rising_edge(CLK_000)) then + IPL_030<=IPL; + end if; + end process ipl_amiga; + + --BG + bg_amiga: process(CLK_030,BG_030) + begin + if(BG_030= '1')then + BG_000 <= '1'; + elsif(falling_edge(CLK_030)) then + if(SM_AMIGA = IDLE and CPU_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + else + BG_000 <='1'; + end if; + end if; + end process bg_amiga; + + + + --as uds/lds generation + UDS_LOGIC <= '0' WHEN AS_030 = '0' AND A(0)='0' ELSE '1'; + LDS_LOGIC <= '0' WHEN AS_030 = '0' AND (A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1') ELSE '1'; + + as_amiga: process(AS_030, CLK_030) + begin + if(AS_030 = '1') then + AS_000_INT <= '1'; + UDS_000_INT<= '1'; + LDS_000_INT<= '1'; + elsif(rising_edge(CLK_030)) then --as is sampled at rising edge on a 68020/030 + + if ( AS_030 = '0' AND -- obviously as must be low + CPU_SPACE = '0' AND -- expansion board not in action + SM_AMIGA = IDLE AND -- last cycle completed + AS_AMIGA_ENABLE = '1' --indicator ready + AND CLK_000 = '1' --AND CLK_000_D = '1' -- as is sampled at falling edge on a 68000/010 thus it is set during high CLK_000 + ) then + AS_000_INT <= '0'; + if (RW='1') then --read: set udl/lds + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + end if; + elsif(RW='0' AND AS_000_INT_D='0')then --write: uds/lds have to wait for one 7m-clock later + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + end if; + + end if; + end process as_amiga; + + --helper signal for a delayed version of AS_000 + as_pe_amiga: process(AS_030, CLK_000) + begin + if(AS_030 ='1') then + AS_000_INT_D <= '1'; + elsif(rising_edge(CLK_000)) then -- positive edge delayed AS_000 + AS_000_INT_D <= AS_000_INT; + end if; + end process as_pe_amiga; + + + --state machine for amiga-cycle + sm_amiga: process(RST, CLK_000) + begin + if(RST='0') then + SM_AMIGA <= IDLE; + DTACK_INT<= '1'; + elsif(falling_edge(CLK_000)) then + case (SM_AMIGA) is + when IDLE => + if(AS_000_INT='0') then + SM_AMIGA <= AS_SET; + end if; + when AS_SET => + if(VPA_SYNC = '1' AND DTACK_SYNC='0') then + DTACK_INT<= '0'; + SM_AMIGA <= DATA_FETCH ; + elsif(E10=cpu_est AND VPA_SYNC='0' AND VMA_INT='0') then --vpa/vma cycle + DTACK_INT<= '0'; + SM_AMIGA <= DATA_FETCH ; + end if; + when DATA_FETCH => --here the data is written/read + SM_AMIGA <= END_CYCLE; + when END_CYCLE => -- internal DTACK is high here. end cycle! + DTACK_INT<= '1'; + SM_AMIGA <= IDLE ; + end case; + + end if; + end process sm_amiga; + + --positive edge deleyed statemachine + state_amiga_pe: process(CLK_000) + begin + if(rising_edge(CLK_000)) then --as is sampled at rising edge on a 68020/030 + SM_AMIGA_LAST <= SM_AMIGA; + end if; + end process state_amiga_pe; + + + AS_000 <= 'Z' when BGACK_030_INT ='0' else + AS_000_INT; + UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + UDS_000_INT; + LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + LDS_000_INT; + --dsack generation + dtack_sync: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + DTACK_SYNC <= DTACK; --for the AMIGA state machine + DTACK_SYNC_D <= DTACK_SYNC; + DTACK_SYNC_DD <= DTACK_SYNC_D; + end if; + end process dtack_sync; + + --dsack generation + dsack_CPU: process(AS_030,CLK_030) + begin + if(AS_030 ='1') then + DSACK_INT<="11"; + AS_AMIGA_ENABLE <='0'; + elsif(rising_edge(CLK_030)) then + -- this is a indicator, that we have been in idle state + -- this avoids that an "old" DTACK is used a second time in a new memory cycle + if(SM_AMIGA = IDLE) then + AS_AMIGA_ENABLE <= '1'; + end if; + if(SM_AMIGA = END_CYCLE AND AS_AMIGA_ENABLE = '1') then + DSACK_INT<="01"; + AS_AMIGA_ENABLE<='0'; + end if; + end if; + end process dsack_CPU; + + DSACK <= "ZZ" when CPU_SPACE = '1' else -- output on amiga cycle + DSACK_INT; + + -- signal assignment + --DS_030 <= "ZZ"; + --DS_030 <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- DS_030_INT; + + --A(1) <= 'Z'; + --A(0) <= 'Z'; + --A[1 downto 0] <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- A_INT; + + --SIZE <= "ZZ"; + --SIZE <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- SIZE_INT; + +end Behavioral; diff --git a/Logic/68030-68000-bus - Blinkend2.vhd b/Logic/68030-68000-bus - Blinkend2.vhd new file mode 100644 index 0000000..a09d06a --- /dev/null +++ b/Logic/68030-68000-bus - Blinkend2.vhd @@ -0,0 +1,402 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; +-- DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + A: inout std_logic_vector ( 31 downto 0 ); + CPU_SPACE: in std_logic ; +-- BERR: inout std_logic ; --error: this is connected to a global input pin :( + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK: inout std_logic_vector ( 1 downto 0 ); + DTACK: inout std_logic ; + AVEC: out std_logic ; +-- AVEC_EXP: inout std_logic ; --this is a "free pin" + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: out std_logic ; + RW: in std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + + +subtype ESTATE is std_logic_vector(3 downto 0); + +constant E1 : ESTATE := "0110"; +constant E2 : ESTATE := "0111"; +constant E3 : ESTATE := "0100"; +constant E4 : ESTATE := "0101"; +constant E5 : ESTATE := "0010"; +constant E6 : ESTATE := "0011"; +constant E7 : ESTATE := "1010"; +constant E8 : ESTATE := "1011"; +constant E9 : ESTATE := "1100"; +constant E10 : ESTATE := "1111"; +-- Illegal states +constant E20 : ESTATE := "0000"; +constant E4a : ESTATE := "0001"; +constant E21 : ESTATE := "1000"; +constant E22 : ESTATE := "1001"; +constant E23 : ESTATE := "1101"; +constant E24 : ESTATE := "1110"; + +signal cpu_est : ESTATE := E20; + +subtype AMIGA_STATE is std_logic_vector(1 downto 0); + +constant IDLE : AMIGA_STATE := "00"; +constant AS_SET : AMIGA_STATE := "01"; +constant DATA_FETCH : AMIGA_STATE := "10"; +constant END_CYCLE : AMIGA_STATE := "11"; + +signal SM_AMIGA : AMIGA_STATE; +signal SM_AMIGA_LAST : AMIGA_STATE; + + + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal ZorroII:STD_LOGIC:= '0'; +signal AS_000_INT:STD_LOGIC:= '1'; +signal AS_000_INT_D:STD_LOGIC:= '1'; +signal BGACK_030_INT:STD_LOGIC:= '1'; +signal DTACK_DMA:STD_LOGIC:= '1'; +signal DTACK_INT:STD_LOGIC:= '1'; +signal DTACK_SYNC:STD_LOGIC:= '1'; +signal DTACK_SYNC_D:STD_LOGIC:= '1'; +signal DTACK_SYNC_DD:STD_LOGIC:= '1'; +signal FPU_CS_INT:STD_LOGIC:= '1'; +signal E_INT: STD_LOGIC:='1'; +signal VPA_SYNC: STD_LOGIC:='1'; +signal VMA_INT: STD_LOGIC:='1'; +signal VMA_INT_D: STD_LOGIC:='1'; +signal UDS_000_INT: STD_LOGIC:='1'; +signal LDS_000_INT: STD_LOGIC:='1'; +signal UDS_LOGIC: STD_LOGIC:='1'; +signal LDS_LOGIC: STD_LOGIC:='1'; +--signal AS_030_delay: STD_LOGIC:='1'; +signal AS_AMIGA_ENABLE: STD_LOGIC:='1'; +--signal DS_030_INT: STD_LOGIC:='Z'; +--signal A_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +--signal SIZE_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal DSACK_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal CLK_CNT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_OUT_INT: STD_LOGIC:='1'; +signal CLK_000_D: STD_LOGIC := '1'; + +begin + + --clk generation : up to now just half the clock + cpu_clk: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + + if(CLK_CNT="00") then + CLK_OUT_INT <= not CLK_OUT_INT; + CLK_CNT <= "00"; + else + CLK_CNT <= CLK_CNT+1; + end if; + end if; + + end process cpu_clk; + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT; + + + clk_delay: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + CLK_000_D <= CLK_000; + end if; + + end process clk_delay; + + --ZORROII (Amiga) space? + ZorroII <= '1' when (A(31 downto 24)= x"00") else '0'; -- 24-bit addres space. + + --BG_ACK is simple: + BGACK_030_gen: process (CLK_000,BGACK_000) begin + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + elsif rising_edge(CLK_000) then + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high + end if; + end process BGACK_030_gen; + BGACK_030 <= BGACK_030_INT; + + --DTACK + DTACK <= 'Z' when BGACK_030_INT ='1' else + DTACK_DMA; + DTACK_DMA <= '0' when AS_000_INT ='0' AND DSACK(1) ='0' else + '1'; + + --CO-Processor Chip select + FPU_CS_INT <= '0' when FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='0' + else '1'; + FPU_CS <= FPU_CS_INT; + + --if no copro is installed: +-- BERR <= 'Z' when FPU_CS_INT ='1' else '0'; + + --reset buffer + RESET <= RST; + + --cache inhibit: For now: disable + CIIN <= '1' WHEN A(31 downto 20) = x"00F" ELSE + '1' WHEN A(31 downto 16) = x"00E0" ELSE + '0'; + + --bus buffers + AMIGA_BUS_ENABLE <= '0'; --for now: allways on + AMIGA_BUS_DATA_DIR <='1' WHEN RW='0' ELSE '0'; + AMIGA_BUS_ENABLE_LOW <= '1'; --for now: allways off + + + -- vma and e clock + e_clk: process (CLK_000) + begin + if rising_edge(CLK_000) then + -- next state. + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + -- Illegal states + when E4a => cpu_est <= E5 ; + when E20 => cpu_est <= E10; + when E21 => cpu_est <= E10; + when E22 => cpu_est <= E9 ; + when E23 => cpu_est <= E9 ; + when E24 => cpu_est <= E10; + when others => + null; + end case; + end if; + end process e_clk; + + vma_gen: process (CLK_000,AS_030) begin + if(AS_030='1') then + VMA_INT <= '1'; + VPA_SYNC <= '1'; + elsif falling_edge(CLK_000) then + VPA_SYNC <= VPA; + if(cpu_est = E3 AND VPA_SYNC = '0' AND AS_000_INT = '0') then + VMA_INT <= '0'; -- low active ! + end if; + if(cpu_est = E10) then + VMA_INT <= '1'; + end if; + + end if; + end process vma_gen; + + vma_delay: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + VMA_INT_D<=VMA_INT; + end if; + end process vma_delay; + + E_INT <= cpu_est(3); + E <= E_INT; + VMA <= VMA_INT AND VMA_INT_D; + + + --AVEC + --AVEC <= '0' WHEN VMA='1' AND cpu_est = E10 -- + -- ELSE '1'; + AVEC <= '1'; + + --IPL: Buffer interrupts for a CPU-Cycle to avoid fake interupts + ipl_amiga: process(CLK_000) + begin + if(rising_edge(CLK_000)) then + IPL_030<=IPL; + end if; + end process ipl_amiga; + + --BG + bg_amiga: process(CLK_030,BG_030) + begin + if(BG_030= '1')then + BG_000 <= '1'; + elsif(falling_edge(CLK_030)) then + if(SM_AMIGA = IDLE and CPU_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + else + BG_000 <='1'; + end if; + end if; + end process bg_amiga; + + + + --as uds/lds generation + UDS_LOGIC <= '0' WHEN AS_030 = '0' AND A(0)='0' ELSE '1'; + LDS_LOGIC <= '0' WHEN AS_030 = '0' AND (A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1') ELSE '1'; + + as_amiga: process(AS_030, CLK_030) + begin + if(rising_edge(CLK_030)) then --as is sampled at rising edge on a 68020/030 + + if ( AS_030 = '0' AND -- obviously as must be low + CPU_SPACE = '0' AND -- expansion board not in action + SM_AMIGA = IDLE AND -- last cycle completed + AS_AMIGA_ENABLE = '1' --indicator ready + AND CLK_000 = '1' --AND CLK_000_D = '1' -- as is sampled at falling edge on a 68000/010 thus it is set during high CLK_000 + ) then + AS_000_INT <= '0'; + if (RW='1') then --read: set udl/lds + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + end if; + elsif(RW='0' AND SM_AMIGA = AS_SET)then --write: uds/lds have to wait for one 7m-clock later + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + elsif(AS_030 = '1' and (SM_AMIGA = END_CYCLE OR SM_AMIGA = IDLE)) then + AS_000_INT <= '1'; + UDS_000_INT<= '1'; + LDS_000_INT<= '1'; + end if; + end if; + end process as_amiga; + + --helper signal for a delayed version of AS_000 + as_pe_amiga: process(AS_030, CLK_000) + begin + if(AS_030 ='1') then + AS_000_INT_D <= '1'; + elsif(rising_edge(CLK_000)) then -- positive edge delayed AS_000 + AS_000_INT_D <= AS_000_INT; + end if; + end process as_pe_amiga; + + + --state machine for amiga-cycle + sm_amiga: process(RST, CLK_000) + begin + if(RST='0') then + SM_AMIGA <= IDLE; + DTACK_INT<= '1'; + elsif(falling_edge(CLK_000)) then + case (SM_AMIGA) is + when IDLE => + if(AS_000_INT='0') then + SM_AMIGA <= AS_SET; + end if; + when AS_SET => + if(VPA_SYNC = '1' AND DTACK_SYNC='0') then + DTACK_INT<= '0'; + SM_AMIGA <= DATA_FETCH ; + elsif(E10=cpu_est AND VPA_SYNC='0' AND VMA_INT='0') then --vpa/vma cycle + DTACK_INT<= '0'; + SM_AMIGA <= DATA_FETCH ; + end if; + when DATA_FETCH => --here the data is written/read + SM_AMIGA <= END_CYCLE; + when END_CYCLE => -- internal DTACK is high here. end cycle! + DTACK_INT<= '1'; + SM_AMIGA <= IDLE ; + end case; + + end if; + end process sm_amiga; + + --positive edge deleyed statemachine + state_amiga_pe: process(CLK_000) + begin + if(rising_edge(CLK_000)) then --as is sampled at rising edge on a 68020/030 + SM_AMIGA_LAST <= SM_AMIGA; + end if; + end process state_amiga_pe; + + + AS_000 <= 'Z' when BGACK_030_INT ='0' else + AS_000_INT; + UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + UDS_000_INT; + LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + LDS_000_INT; + --dsack generation + dtack_sync: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + DTACK_SYNC <= DTACK; --for the AMIGA state machine + DTACK_SYNC_D <= DTACK_SYNC; + DTACK_SYNC_DD <= DTACK_SYNC_D; + end if; + end process dtack_sync; + + --dsack generation + dsack_CPU: process(AS_030,CLK_030) + begin + if(AS_030 ='1') then + DSACK_INT<="11"; + AS_AMIGA_ENABLE <='0'; + elsif(rising_edge(CLK_030)) then + -- this is a indicator, that we have been in idle state + -- this avoids that an "old" DTACK is used a second time in a new memory cycle + if(SM_AMIGA = IDLE) then + AS_AMIGA_ENABLE <= '1'; + end if; + if(SM_AMIGA = DATA_FETCH AND CLK_000='1' AND AS_AMIGA_ENABLE = '1') then + DSACK_INT<="01"; + AS_AMIGA_ENABLE<='0'; + end if; + end if; + end process dsack_CPU; + + DSACK <= "ZZ" when CPU_SPACE = '1' else -- output on amiga cycle + DSACK_INT; + + -- signal assignment + --DS_030 <= "ZZ"; + --DS_030 <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- DS_030_INT; + + --A(1) <= 'Z'; + --A(0) <= 'Z'; + --A[1 downto 0] <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- A_INT; + + --SIZE <= "ZZ"; + --SIZE <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- SIZE_INT; + +end Behavioral; diff --git a/Logic/68030-68000-bus - Vollsync.vhd b/Logic/68030-68000-bus - Vollsync.vhd new file mode 100644 index 0000000..3c42821 --- /dev/null +++ b/Logic/68030-68000-bus - Vollsync.vhd @@ -0,0 +1,403 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; +-- DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + A: inout std_logic_vector ( 31 downto 0 ); + CPU_SPACE: in std_logic ; +-- BERR: inout std_logic ; --error: this is connected to a global input pin :( + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK: inout std_logic_vector ( 1 downto 0 ); + DTACK: inout std_logic ; + AVEC: out std_logic ; + AVEC_EXP: inout std_logic ; --this is a "free pin" + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: out std_logic ; + RW: in std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + + +subtype ESTATE is std_logic_vector(3 downto 0); + +constant E1 : ESTATE := "0110"; +constant E2 : ESTATE := "0111"; +constant E3 : ESTATE := "0100"; +constant E4 : ESTATE := "0101"; +constant E5 : ESTATE := "0010"; +constant E6 : ESTATE := "0011"; +constant E7 : ESTATE := "1010"; +constant E8 : ESTATE := "1011"; +constant E9 : ESTATE := "1100"; +constant E10 : ESTATE := "1111"; +-- Illegal states +constant E20 : ESTATE := "0000"; +constant E4a : ESTATE := "0001"; +constant E21 : ESTATE := "1000"; +constant E22 : ESTATE := "1001"; +constant E23 : ESTATE := "1101"; +constant E24 : ESTATE := "1110"; + +signal cpu_est : ESTATE := E20; + +subtype AMIGA_STATE is std_logic_vector(2 downto 0); + +constant IDLE_P : AMIGA_STATE := "000"; +constant IDLE_N : AMIGA_STATE := "001"; +constant AS_SET_P : AMIGA_STATE := "010"; +constant AS_SET_N : AMIGA_STATE := "011"; +constant DATA_FETCH_P: AMIGA_STATE := "100"; +constant DATA_FETCH_N: AMIGA_STATE := "101"; +constant END_CYCLE_P : AMIGA_STATE := "110"; +constant END_CYCLE_N : AMIGA_STATE := "111"; + +signal SM_AMIGA : AMIGA_STATE := IDLE_P; + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal AS_000_INT:STD_LOGIC:= '1'; +signal BGACK_030_INT:STD_LOGIC:= '1'; +signal DTACK_DMA:STD_LOGIC:= '1'; +signal FPU_CS_INT:STD_LOGIC:= '1'; +signal E_INT: STD_LOGIC:='1'; +signal VPA_SYNC: STD_LOGIC:='1'; +signal VMA_INT: STD_LOGIC:='1'; +signal VMA_INT_D: STD_LOGIC:='1'; +signal UDS_000_INT: STD_LOGIC:='1'; +signal LDS_000_INT: STD_LOGIC:='1'; +signal DSACK_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal CLK_CNT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_REF: STD_LOGIC_VECTOR ( 1 downto 0 ) := "10"; +signal CLK_OUT_PRE: STD_LOGIC:='1'; +signal CLK_OUT_INT: STD_LOGIC:='1'; +signal CLK_030_D: STD_LOGIC:='1'; +signal CLK_000_D: STD_LOGIC := '1'; +signal RISING_CLK_AMIGA: STD_LOGIC :='0'; +signal FALLING_CLK_AMIGA: STD_LOGIC :='0'; +--signal RISING_CLK_030: STD_LOGIC :='0'; +--signal FALLING_CLK_030: STD_LOGIC :='0'; + +begin + + + + --the clocks + clk: process(RST, CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + --reset buffer + RESET <= RST; + + --clk generation : up to now just half the clock + if(CLK_CNT=CLK_REF) then + CLK_OUT_PRE <= not CLK_OUT_PRE; + CLK_CNT <= "00"; + else + CLK_CNT <= CLK_CNT+1; + end if; + -- the external clock to the processor is generated here + CLK_OUT_INT <= CLK_OUT_PRE; + --delayed Clocks for edge detection + CLK_000_D <= CLK_000; + + --RISING_CLK_030 <= CLK_OUT_PRE and not CLK_030; + --FALLING_CLK_030 <= not CLK_OUT_PRE and CLK_030; + --edge detection stuff + RISING_CLK_AMIGA <= not CLK_000_D and CLK_000; + FALLING_CLK_AMIGA <= CLK_000_D and not CLK_000; + -- e clock + if(CLK_000_D='0' and CLK_000='1')then + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + -- Illegal states + when E4a => cpu_est <= E5 ; + when E20 => cpu_est <= E10; + when E21 => cpu_est <= E10; + when E22 => cpu_est <= E9 ; + when E23 => cpu_est <= E9 ; + when E24 => cpu_est <= E10; + when others => + null; + end case; + end if; + E_INT <= cpu_est(3); + VPA_SYNC <= VPA; + end if; + end process clk; + + + + + --the state process + state_machine: process(RST, CLK_OSZI) + begin + if(RST = '0' ) then + SM_AMIGA <= IDLE_P; + AS_000_INT <='1'; + UDS_000_INT <='1'; + LDS_000_INT <='1'; + CLK_REF <= "11"; + VMA_INT <= '1'; + VMA_INT_D <= '1'; + FPU_CS_INT <= '1'; + BG_000 <= '1'; + BGACK_030_INT <= '1'; + DSACK_INT <= "11"; + DTACK_DMA <= '1'; + IPL_030 <= "111"; + elsif(rising_edge(CLK_OSZI)) then + + + + --bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + elsif (BGACK_000='1' AND RISING_CLK_AMIGA='1') then -- BGACK_000 is high here! + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high + end if; + + --bus grant only in idle state + if(BG_030= '1')then + BG_000 <= '1'; + elsif(CLK_030 ='0') then + if( BG_030= '0' AND (SM_AMIGA = IDLE_N or SM_AMIGA = IDLE_P) + and CPU_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + else + BG_000 <= '1'; + end if; + end if; + + --CO-Processor Chip select + if(FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1') then + FPU_CS_INT <= '0'; + else + FPU_CS_INT <= '1'; + end if; + + + + --interrupt buffering to avoid ghost interrupts + if(RISING_CLK_AMIGA='1')then + IPL_030<=IPL; + end if; + + --vma generation + if (CLK_000='0') then + if(cpu_est = E3 AND VPA_SYNC = '0' AND AS_000_INT = '0') then + VMA_INT <= '0'; -- low active ! + end if; + end if; + + --Amiga statemachine + case (SM_AMIGA) is + when IDLE_P => --68000:S0 wait for a falling edge + if(AS_030 ='1') then + DSACK_INT<="11"; + AS_000_INT <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + VMA_INT <= '1'; + if(CLK_000='0')then + SM_AMIGA<=IDLE_N; + end if; + end if; + when IDLE_N => --68000:S1 wait for rising edge and look for as + if(CLK_000='1')then + if( CLK_030 = '1' AND --68030 has a valid AS on high clocks + AS_030 = '0' AND -- obviously as must be low + CPU_SPACE = '0' + )then + SM_AMIGA <= AS_SET_P; --as for amiga set! + AS_000_INT <= '0'; + if (RW='1') then --read: set udl/lds + if(AS_030 = '0' AND A(0)='0') then + UDS_000_INT <= '0'; + else + UDS_000_INT <= '1'; + end if; + if(AS_030 = '0' AND (A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1')) then + LDS_000_INT <= '0'; + else + LDS_000_INT <= '1'; + end if; + end if; + end if; + end if; + when AS_SET_P => --68000:S2 nothing happens here just wait for negative clock + if(CLK_000='0')then + SM_AMIGA<=AS_SET_N; + end if; + when AS_SET_N => --68000:S3 sample dtack and set uds/lds on write and high clock + if(CLK_000='1')then + if (RW='0') then --write: set udl/lds + if(AS_030 = '0' AND A(0)='0') then + UDS_000_INT <= '0'; + else + UDS_000_INT <= '1'; + end if; + if(AS_030 = '0' AND (A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1')) then + LDS_000_INT <= '0'; + else + LDS_000_INT <= '1'; + end if; + end if; + if(VPA_SYNC = '1' AND DTACK='0') then + SM_AMIGA <= DATA_FETCH_P ; + elsif(E10=cpu_est AND VPA_SYNC='0' AND VMA_INT='0') then --vpa/vma cycle + SM_AMIGA <= DATA_FETCH_P ; + VMA_INT <= '1'; + end if; + end if; + when DATA_FETCH_P=> --68000:S4 nothing happens here just wait for negative clock + if(CLK_000='0')then + SM_AMIGA<=DATA_FETCH_N; + end if; + when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock + if(CLK_000='1')then + SM_AMIGA<=END_CYCLE_P; + DSACK_INT<="01"; + end if; + when END_CYCLE_P => --68000:S6: propagate dsack to 68030 + if(AS_030 ='1') then + DSACK_INT<="11"; + AS_000_INT <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + VMA_INT <= '1'; + end if; + if(CLK_000='0')then + SM_AMIGA<=END_CYCLE_N; + end if; + when END_CYCLE_N =>--68000:S7: deassert signals and go to IDLE on high clock + if(AS_030 ='1') then + DSACK_INT<="11"; + AS_000_INT <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + VMA_INT <= '1'; + end if; + if(CLK_000='1')then + SM_AMIGA<=IDLE_P; + end if; + end case; + + --delay for hold time of CIAs + VMA_INT_D <= VMA_INT; + + + --dma stuff + --DTACK for DMA cycles + if(AS_000_INT ='0' AND DSACK(1) ='0') then + DTACK_DMA <= '0'; + else + DTACK_DMA <= '1'; + end if; + + + + end if; + end process state_machine; + + --output clock assignment + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT; + AVEC_EXP <= SM_AMIGA(0); + + --dtack for dma + DTACK <= 'Z' when BGACK_030_INT ='1' else + DTACK_DMA; + + --fpu + FPU_CS <= FPU_CS_INT; + + --if no copro is installed: +-- BERR <= 'Z' when FPU_CS_INT ='1' else '0'; + + + + --cache inhibit: For now: disable + CIIN <= '1' WHEN A(31 downto 20) = x"00F" ELSE + '1' WHEN A(31 downto 16) = x"00E0" ELSE + 'Z' WHEN not(A(31 downto 24) = x"00") ELSE + '0'; + + --bus buffers + AMIGA_BUS_ENABLE <= '0'; --for now: allways on + AMIGA_BUS_DATA_DIR <='1' WHEN RW='0' ELSE '0'; + AMIGA_BUS_ENABLE_LOW <= '1'; --for now: allways off + + --e and VMA + E <= E_INT; + VMA <= VMA_INT AND VMA_INT_D; + + + --AVEC + AVEC <= '1'; + + --as and uds/lds + AS_000 <= 'Z' when BGACK_030_INT ='0' else + AS_000_INT; + UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + UDS_000_INT; + LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + LDS_000_INT; + + --dsack + DSACK <= "ZZ" when CPU_SPACE = '1' else -- output on amiga cycle + DSACK_INT; + + -- signal assignment + --DS_030 <= "ZZ"; + --DS_030 <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- DS_030_INT; + + --A(1) <= 'Z'; + --A(0) <= 'Z'; + --A[1 downto 0] <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- A_INT; + + --SIZE <= "ZZ"; + --SIZE <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- SIZE_INT; + +end Behavioral; diff --git a/Logic/68030-68000-bus-kaputt.vhd b/Logic/68030-68000-bus-kaputt.vhd new file mode 100644 index 0000000..de9414d --- /dev/null +++ b/Logic/68030-68000-bus-kaputt.vhd @@ -0,0 +1,425 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; + DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + A: inout std_logic_vector ( 31 downto 0 ); + CPU_SPACE: in std_logic ; +-- BERR: inout std_logic ; --error: this is connected to a global input pin :( + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK: inout std_logic_vector ( 1 downto 0 ); + DTACK: inout std_logic ; + AVEC: out std_logic ; +-- AVEC_EXP: inout std_logic ; --this is a "free pin" + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: out std_logic ; + RW: in std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + + +subtype ESTATE is std_logic_vector(3 downto 0); + +constant E1 : ESTATE := "0110"; +constant E2 : ESTATE := "0111"; +constant E3 : ESTATE := "0100"; +constant E4 : ESTATE := "0101"; +constant E5 : ESTATE := "0010"; +constant E6 : ESTATE := "0011"; +constant E7 : ESTATE := "1010"; +constant E8 : ESTATE := "1011"; +constant E9 : ESTATE := "1100"; +constant E10 : ESTATE := "1111"; +-- Illegal states +constant E20 : ESTATE := "0000"; +constant E4a : ESTATE := "0001"; +constant E21 : ESTATE := "1000"; +constant E22 : ESTATE := "1001"; +constant E23 : ESTATE := "1101"; +constant E24 : ESTATE := "1110"; + +signal cpu_est : ESTATE := E20; + +subtype AMIGA_STATE is std_logic_vector(1 downto 0); + +constant IDLE : AMIGA_STATE := "00"; +constant AS_SET : AMIGA_STATE := "01"; +constant DATA_FETCH : AMIGA_STATE := "10"; +constant END_CYCLE : AMIGA_STATE := "11"; + +signal SM_AMIGA : AMIGA_STATE; +signal SM_AMIGA_LAST : AMIGA_STATE; + + + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal ZorroII:STD_LOGIC:= '0'; +signal AS_000_INT:STD_LOGIC:= '1'; +signal AS_000_INT_D:STD_LOGIC:= '1'; +signal BGACK_030_INT:STD_LOGIC:= '1'; +signal DTACK_DMA:STD_LOGIC:= '1'; +signal DTACK_INT:STD_LOGIC:= '1'; +signal DTACK_SYNC:STD_LOGIC:= '1'; +signal DTACK_SYNC_D:STD_LOGIC:= '1'; +signal DTACK_SYNC_DD:STD_LOGIC:= '1'; +signal FPU_CS_INT:STD_LOGIC:= '1'; +signal E_INT: STD_LOGIC:='1'; +signal VPA_SYNC: STD_LOGIC:='1'; +signal VMA_INT: STD_LOGIC:='1'; +signal VMA_INT_D: STD_LOGIC:='1'; +signal UDS_000_INT: STD_LOGIC:='1'; +signal LDS_000_INT: STD_LOGIC:='1'; +signal UDS_LOGIC: STD_LOGIC:='1'; +signal LDS_LOGIC: STD_LOGIC:='1'; +--signal AS_030_delay: STD_LOGIC:='1'; +signal AS_AMIGA_ENABLE: STD_LOGIC:='1'; +--signal DS_030_INT: STD_LOGIC:='Z'; +--signal A_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +--signal SIZE_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal DSACK_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal CLK_CNT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_OUT_INT: STD_LOGIC:='1'; +signal CLK_000_D: STD_LOGIC := '1'; + +begin + + --clk generation : up to now just half the clock + cpu_clk: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + + if(CLK_CNT="11") then + CLK_OUT_INT <= not CLK_OUT_INT; + CLK_CNT <= "00"; + else + CLK_CNT <= CLK_CNT+1; + end if; + end if; + + end process cpu_clk; + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT; + + + clk_delay: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + CLK_000_D <= CLK_000; + end if; + + end process clk_delay; + + --ZORROII (Amiga) space? + ZorroII <= '1' when (A(31 downto 24)= x"00") else '0'; -- 24-bit addres space. + + --BG_ACK is simple: + BGACK_030_gen: process (CLK_000,BGACK_000) begin + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + elsif rising_edge(CLK_000) then + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high + end if; + end process BGACK_030_gen; + BGACK_030 <= BGACK_030_INT; + + --DTACK + DTACK <= 'Z' when BGACK_030_INT ='1' else + DTACK_DMA; + DTACK_DMA <= '0' when AS_000_INT ='0' AND DSACK(1) ='0' else + '1'; + + --CO-Processor Chip select + FPU_CS_INT <= '0' when FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='0' + else '1'; + FPU_CS <= FPU_CS_INT; + + --if no copro is installed: +-- BERR <= 'Z' when FPU_CS_INT ='1' else '0'; + + --reset buffer + RESET <= RST; + + --cache inhibit: For now: disable + CIIN <= '1' WHEN A(31 downto 20) = x"00F" ELSE + '1' WHEN A(31 downto 16) = x"00E0" ELSE + '0'; + + --bus buffers + AMIGA_BUS_ENABLE <= '0'; --for now: allways on + AMIGA_BUS_DATA_DIR <='1' WHEN RW='0' ELSE '0'; + AMIGA_BUS_ENABLE_LOW <= '1'; --for now: allways off + + + -- vma and e clock + e_clk: process (CLK_000) + begin + if falling_edge(CLK_000) then + -- next state. + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + -- Illegal states + when E4a => cpu_est <= E5 ; + when E20 => cpu_est <= E10; + when E21 => cpu_est <= E10; + when E22 => cpu_est <= E9 ; + when E23 => cpu_est <= E9 ; + when E24 => cpu_est <= E10; + when others => + null; + end case; + end if; + end process e_clk; + + vma_gen: process (CLK_000,AS_030) begin + --if(AS_030='1') then + -- VMA_INT <= '1'; + -- VPA_SYNC <= '1'; + --els + if falling_edge(CLK_000) then + VPA_SYNC <= VPA; + if(cpu_est = E3 AND VPA = '0' AND SM_AMIGA = AS_SET) then + VMA_INT <= '0'; -- low active ! + end if; + if(cpu_est = E10) then + VMA_INT <= '1'; + end if; + + end if; + end process vma_gen; + + vma_delay: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + VMA_INT_D<=VMA_INT; + end if; + end process vma_delay; + + E_INT <= cpu_est(3); + E <= E_INT; + VMA <= VMA_INT;-- AND VMA_INT_D; + + + --AVEC + --AVEC <= '0' WHEN VMA='1' AND cpu_est = E10 -- + -- ELSE '1'; + AVEC <= '1'; + + --IPL: Buffer interrupts for a CPU-Cycle to avoid fake interupts + ipl_amiga: process(CLK_000) + begin + if(rising_edge(CLK_000)) then + IPL_030<=IPL; + end if; + end process ipl_amiga; + + --BG + bg_amiga: process(CLK_030,BG_030) + begin + if(BG_030= '1')then + BG_000 <= '1'; + elsif(falling_edge(CLK_030)) then + if(SM_AMIGA = IDLE and CPU_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + else + BG_000 <='1'; + end if; + end if; + end process bg_amiga; + + + + --as uds/lds generation + UDS_LOGIC <= '0' WHEN DS_030 = '0' AND A(0)='0' ELSE '1'; + LDS_LOGIC <= '0' WHEN DS_030 = '0' AND (A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1') ELSE '1'; + + as_amiga: process(AS_030, CLK_030) + begin + --if(AS_030 = '1') then --Read-modify-write cycles do not deassert AS in between but DS does! + -- AS_000_INT <= '1'; + --els + if(rising_edge(CLK_030)) then --as is sampled at rising edge on a 68020/030 + case (SM_AMIGA) is + when IDLE => + if( AS_030 = '0' -- obviously as must be low + AND CPU_SPACE = '0' -- expansion board not in action + AND SM_AMIGA = IDLE -- last cycle completed + AND AS_AMIGA_ENABLE = '1' --indicator ready + AND CLK_000 = '1' --AND CLK_000_D = '1' -- as is sampled at falling edge on a 68000/010 thus it is set during high CLK_000 + ) then + AS_000_INT <= '0'; + if (RW='1')then -- read: immediate datastrobe! + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + end if; + end if; + when AS_SET => + if( CLK_000 = '1' + AND DS_030 = '0' + AND RW='0' + ) then + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + end if; + when DATA_FETCH => --here the data is written/read + if(AS_030 ='1') then + AS_000_INT <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + end if; + when END_CYCLE => -- internal DTACK is high here. end cycle! + if(AS_030 ='1') then + AS_000_INT <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + end if; + + end case; + + end if; + end process as_amiga; + + + --helper signal for a delayed version of AS_000 + as_pe_amiga: process(AS_030, CLK_000) + begin + if(AS_030 ='1') then + AS_000_INT_D <= '1'; + elsif(rising_edge(CLK_000)) then -- positive edge delayed AS_000 + AS_000_INT_D <= AS_000_INT; + end if; + end process as_pe_amiga; + + + --state machine for amiga-cycle + sm_amiga: process(RST, CLK_000) + begin + if(RST='0') then + SM_AMIGA <= IDLE; + DTACK_INT<= '1'; + elsif(falling_edge(CLK_000)) then + case (SM_AMIGA) is + when IDLE => + if(AS_000_INT='0') then + SM_AMIGA <= AS_SET; + end if; + when AS_SET => + if(VPA = '1' AND DTACK='0') then + DTACK_INT<= '0'; + SM_AMIGA <= DATA_FETCH ; + elsif(E8=cpu_est AND VPA_SYNC='0' AND VMA_INT='0') then --vpa/vma cycle ends on e10 but we have two clocks after this state! + DTACK_INT<= '0'; + SM_AMIGA <= DATA_FETCH ; + end if; + when DATA_FETCH => --here the data is written/read + SM_AMIGA <= END_CYCLE; + when END_CYCLE => -- internal DTACK is high here. end cycle! + DTACK_INT<= '1'; + SM_AMIGA <= IDLE ; + end case; + + end if; + end process sm_amiga; + + --positive edge deleyed statemachine + state_amiga_pe: process(CLK_000) + begin + if(rising_edge(CLK_000)) then --as is sampled at rising edge on a 68020/030 + SM_AMIGA_LAST <= SM_AMIGA; + end if; + end process state_amiga_pe; + + + AS_000 <= 'Z' when BGACK_030_INT ='0' else + AS_000_INT; + UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + UDS_000_INT; + LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + LDS_000_INT; + --dsack generation + dtack_sync: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + DTACK_SYNC <= DTACK; --for the AMIGA state machine + DTACK_SYNC_D <= DTACK_SYNC; + DTACK_SYNC_DD <= DTACK_SYNC_D; + end if; + end process dtack_sync; + + --dsack generation + dsack_CPU: process(DS_030,CLK_030) + begin + if(AS_030 ='1') then --Read-modify-write cycles do not deassert AS in between but DS does! + DSACK_INT<="11"; + AS_AMIGA_ENABLE <= '0'; + elsif(falling_edge(CLK_030)) then + if(SM_AMIGA = IDLE)then + --this is a indicator, that we have been in idle state + --this avoids that an "old" DTACK is used a second time in a new memory cycle + AS_AMIGA_ENABLE <= '1'; + elsif(SM_AMIGA_LAST = DATA_FETCH AND AS_AMIGA_ENABLE = '1') then + DSACK_INT<="01"; + AS_AMIGA_ENABLE <= '0'; + elsif(SM_AMIGA=END_CYCLE) then --Read-modify-write cycles do not deassert AS in between! + DSACK_INT<="11"; + end if; + end if; + end process dsack_CPU; + + DSACK <= "ZZ" when CPU_SPACE = '1' else -- output on amiga cycle + DSACK_INT; + + -- signal assignment + --DS_030 <= "ZZ"; + --DS_030 <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- DS_030_INT; + + --A(1) <= 'Z'; + --A(0) <= 'Z'; + --A[1 downto 0] <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- A_INT; + + --SIZE <= "ZZ"; + --SIZE <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- SIZE_INT; + +end Behavioral; diff --git a/Logic/68030-68000-bus-kaputt2.vhd b/Logic/68030-68000-bus-kaputt2.vhd new file mode 100644 index 0000000..e96f254 --- /dev/null +++ b/Logic/68030-68000-bus-kaputt2.vhd @@ -0,0 +1,387 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; +-- DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + A: inout std_logic_vector ( 31 downto 0 ); + CPU_SPACE: in std_logic ; +-- BERR: inout std_logic ; --error: this is connected to a global input pin :( + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK: inout std_logic_vector ( 1 downto 0 ); + DTACK: inout std_logic ; + AVEC: out std_logic ; +-- AVEC_EXP: inout std_logic ; --this is a "free pin" + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: out std_logic ; + RW: in std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + + +subtype ESTATE is std_logic_vector(3 downto 0); + +constant E1 : ESTATE := "0110"; +constant E2 : ESTATE := "0111"; +constant E3 : ESTATE := "0100"; +constant E4 : ESTATE := "0101"; +constant E5 : ESTATE := "0010"; +constant E6 : ESTATE := "0011"; +constant E7 : ESTATE := "1010"; +constant E8 : ESTATE := "1011"; +constant E9 : ESTATE := "1100"; +constant E10 : ESTATE := "1111"; +-- Illegal states +constant E20 : ESTATE := "0000"; +constant E4a : ESTATE := "0001"; +constant E21 : ESTATE := "1000"; +constant E22 : ESTATE := "1001"; +constant E23 : ESTATE := "1101"; +constant E24 : ESTATE := "1110"; + +signal cpu_est : ESTATE := E20; + +subtype AMIGA_STATE is std_logic_vector(1 downto 0); + +constant IDLE : AMIGA_STATE := "00"; +constant AS_SET : AMIGA_STATE := "01"; +constant DATA_FETCH : AMIGA_STATE := "10"; +constant END_CYCLE : AMIGA_STATE := "11"; + +signal SM_AMIGA : AMIGA_STATE; +signal SM_AMIGA_LAST : AMIGA_STATE; + + + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal ZorroII:STD_LOGIC:= '0'; +signal AS_000_INT:STD_LOGIC:= '1'; +signal AS_000_INT_D:STD_LOGIC:= '1'; +signal BGACK_030_INT:STD_LOGIC:= '1'; +signal DTACK_DMA:STD_LOGIC:= '1'; +signal DTACK_INT:STD_LOGIC:= '1'; +signal DTACK_SYNC:STD_LOGIC:= '1'; +signal DTACK_SYNC_D:STD_LOGIC:= '1'; +signal DTACK_SYNC_DD:STD_LOGIC:= '1'; +signal FPU_CS_INT:STD_LOGIC:= '1'; +signal E_INT: STD_LOGIC:='1'; +signal VPA_SYNC: STD_LOGIC:='1'; +signal VMA_INT: STD_LOGIC:='1'; +signal VMA_INT_D: STD_LOGIC:='1'; +signal UDS_000_INT: STD_LOGIC:='1'; +signal LDS_000_INT: STD_LOGIC:='1'; +signal UDS_LOGIC: STD_LOGIC:='1'; +signal LDS_LOGIC: STD_LOGIC:='1'; +--signal AS_030_delay: STD_LOGIC:='1'; +signal SM_AMIGA_ENABLE: STD_LOGIC:='1'; +--signal DS_030_INT: STD_LOGIC:='Z'; +--signal A_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +--signal SIZE_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal DSACK_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal CLK_CNT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_OUT_INT: STD_LOGIC:='1'; +signal CLK_000_D: STD_LOGIC := '1'; + +begin + + --clk generation : up to now just half the clock + cpu_clk: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + + if(CLK_CNT="01") then + CLK_OUT_INT <= not CLK_OUT_INT; + CLK_CNT <= "00"; + else + CLK_CNT <= CLK_CNT+1; + end if; + end if; + + end process cpu_clk; + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT; + + + clk_delay: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + CLK_000_D <= CLK_000; + end if; + + end process clk_delay; + + --ZORROII (Amiga) space? + ZorroII <= '1' when (A(31 downto 24)= x"00") else '0'; -- 24-bit addres space. + + --BG_ACK is simple: + BGACK_030_gen: process (CLK_000,BGACK_000) begin + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + elsif rising_edge(CLK_000) then + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high + end if; + end process BGACK_030_gen; + BGACK_030 <= BGACK_030_INT; + + --DTACK + DTACK <= 'Z' when BGACK_030_INT ='1' else + DTACK_DMA; + DTACK_DMA <= '0' when AS_000_INT ='0' AND DSACK(1) ='0' else + '1'; + + --CO-Processor Chip select + FPU_CS_INT <= '0' when FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='0' + else '1'; + FPU_CS <= FPU_CS_INT; + + --if no copro is installed: +-- BERR <= 'Z' when FPU_CS_INT ='1' else '0'; + + --reset buffer + RESET <= RST; + + --cache inhibit: For now: disable + CIIN <= '1' WHEN A(31 downto 20) = x"00F" ELSE + '1' WHEN A(31 downto 16) = x"00E0" ELSE + '0'; + + --bus buffers + AMIGA_BUS_ENABLE <= '0'; --for now: allways on + AMIGA_BUS_DATA_DIR <='1' WHEN RW='0' ELSE '0'; + AMIGA_BUS_ENABLE_LOW <= '1'; --for now: allways off + + + -- vma and e clock + e_clk: process (CLK_000) + begin + if rising_edge(CLK_000) then + -- next state. + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + -- Illegal states + when E4a => cpu_est <= E5 ; + when E20 => cpu_est <= E10; + when E21 => cpu_est <= E10; + when E22 => cpu_est <= E9 ; + when E23 => cpu_est <= E9 ; + when E24 => cpu_est <= E10; + when others => + null; + end case; + end if; + end process e_clk; + + vma_gen: process (CLK_000,AS_030) begin + if(AS_030='1') then + VMA_INT <= '1'; + VPA_SYNC <= '1'; + elsif falling_edge(CLK_000) then + VPA_SYNC <= VPA; + if(cpu_est = E3 AND VPA_SYNC = '0' AND AS_000_INT = '0') then + VMA_INT <= '0'; -- low active ! + end if; + if(cpu_est = E10) then + VMA_INT <= '1'; + end if; + + end if; + end process vma_gen; + + vma_delay: process(CLK_030) + begin + if(rising_edge(CLK_030)) then + VMA_INT_D<=VMA_INT; + end if; + end process vma_delay; + + E_INT <= cpu_est(3); + E <= E_INT; + VMA <= VMA_INT AND VMA_INT_D; + + + --AVEC + --AVEC <= '0' WHEN VMA='1' AND cpu_est = E10 -- + -- ELSE '1'; + AVEC <= '1'; + + --IPL: Buffer interrupts for a CPU-Cycle to avoid fake interupts + ipl_amiga: process(CLK_000) + begin + if(rising_edge(CLK_000)) then + IPL_030<=IPL; + end if; + end process ipl_amiga; + + --BG + bg_amiga: process(CLK_030,BG_030) + begin + if(BG_030= '1')then + BG_000 <= '1'; + elsif(falling_edge(CLK_030)) then + if(SM_AMIGA = IDLE and CPU_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + else + BG_000 <='1'; + end if; + end if; + end process bg_amiga; + + + + --as uds/lds generation + UDS_LOGIC <= '0' WHEN AS_030 = '0' AND A(0)='0' ELSE '1'; + LDS_LOGIC <= '0' WHEN AS_030 = '0' AND (A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1') ELSE '1'; + + + --state machine for amiga-cycle + sm_amiga: process(RST, CLK_030) + begin + if(RST='0') then + SM_AMIGA <= IDLE; + DTACK_INT <= '1'; + DSACK_INT <="11"; + UDS_000_INT <='1'; + LDS_000_INT <='1'; + AS_000_INT <='1'; + SM_AMIGA_ENABLE <='0'; + elsif(rising_edge(CLK_030)) then + case (SM_AMIGA) is + when IDLE => + if(CLK_000 ='0') then + SM_AMIGA_ENABLE<='1'; + end if; + if ( AS_030 = '0' -- obviously as must be low + AND CPU_SPACE = '0' -- expansion board not in action + AND CLK_000 = '1' --AND CLK_000_D = '1' -- as is sampled at falling edge on a 68000/010 thus it is set during high CLK_000 + AND SM_AMIGA_ENABLE = '1' + ) then + AS_000_INT <= '0'; + if (RW='1') then --read: set udl/lds + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + end if; + SM_AMIGA_ENABLE <='0'; + SM_AMIGA <= AS_SET; + else + DSACK_INT<="11"; + UDS_000_INT <='1'; + LDS_000_INT <='1'; + AS_000_INT <='1'; + end if; + when AS_SET => + if(CLK_000 ='0') then + SM_AMIGA_ENABLE<='1'; + end if; + if ( CLK_000 = '1' and SM_AMIGA_ENABLE='1' ) then + UDS_000_INT <= UDS_LOGIC; + LDS_000_INT <= LDS_LOGIC; + if(VPA_SYNC = '1' AND DTACK='0') then + DTACK_INT<= '0'; + SM_AMIGA_ENABLE <='0'; + SM_AMIGA <= DATA_FETCH ; + elsif(E10=cpu_est AND VPA_SYNC='0' AND VMA_INT='0') then --vpa/vma cycle + DTACK_INT<= '0'; + SM_AMIGA_ENABLE <='0'; + SM_AMIGA <= DATA_FETCH ; + end if; + end if; + when DATA_FETCH => --here the data is written/read + DSACK_INT<="01"; + if(CLK_000_D ='0') then + SM_AMIGA_ENABLE<='1'; + end if; + if(AS_030 ='1') then + DSACK_INT<="11"; + UDS_000_INT <='1'; + LDS_000_INT <='1'; + AS_000_INT <='1'; + end if; + if( CLK_000 = '1' AND SM_AMIGA_ENABLE = '1')then + SM_AMIGA_ENABLE <='0'; + SM_AMIGA <= END_CYCLE; + end if; + when END_CYCLE => -- internal DTACK is high here. end cycle! + if(CLK_000 ='0') then + SM_AMIGA_ENABLE<='1'; + end if; + if(AS_030 ='1') then + DSACK_INT<="11"; + UDS_000_INT <='1'; + LDS_000_INT <='1'; + AS_000_INT <='1'; + end if; + if ( CLK_000 = '1' and SM_AMIGA_ENABLE <='1' ) then + SM_AMIGA_ENABLE <='0'; + SM_AMIGA <= IDLE ; + end if; + end case; + + end if; + end process sm_amiga; + + + + AS_000 <= 'Z' when BGACK_030_INT ='0' else + AS_000_INT; + UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + UDS_000_INT; + LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + LDS_000_INT; + + DSACK <= "ZZ" when CPU_SPACE = '1' else -- output on amiga cycle + DSACK_INT; + + -- signal assignment + --DS_030 <= "ZZ"; + --DS_030 <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- DS_030_INT; + + --A(1) <= 'Z'; + --A(0) <= 'Z'; + --A[1 downto 0] <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- A_INT; + + --SIZE <= "ZZ"; + --SIZE <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- SIZE_INT; + +end Behavioral; diff --git a/Logic/68030-68000-bus.jhd b/Logic/68030-68000-bus.jhd new file mode 100644 index 0000000..d895d82 --- /dev/null +++ b/Logic/68030-68000-bus.jhd @@ -0,0 +1,3 @@ + + +MODULE BUS68030 diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd new file mode 100644 index 0000000..6744b5b --- /dev/null +++ b/Logic/68030-68000-bus.vhd @@ -0,0 +1,494 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity BUS68030 is + +port( + AS_030: inout std_logic ; + AS_000: inout std_logic ; + DS_030: inout std_logic ; + UDS_000: inout std_logic; + LDS_000: inout std_logic; + SIZE: inout std_logic_vector ( 1 downto 0 ); + A: inout std_logic_vector ( 31 downto 0 ); + CPU_SPACE: in std_logic ; + BERR: inout std_logic ; --error: this is connected to a global input pin :( + BG_030: in std_logic ; + BG_000: out std_logic ; + BGACK_030: out std_logic ; + BGACK_000: in std_logic ; + CLK_030: in std_logic ; + CLK_000: in std_logic ; + CLK_OSZI: in std_logic ; + CLK_DIV_OUT: out std_logic ; + CLK_EXP: out std_logic ; + FPU_CS: out std_logic ; + IPL_030: out std_logic_vector ( 2 downto 0 ); + IPL: in std_logic_vector ( 2 downto 0 ); + DSACK: inout std_logic_vector ( 1 downto 0 ); + DTACK: inout std_logic ; + AVEC: out std_logic ; + AVEC_EXP: inout std_logic ; --this is a "free pin" + E: out std_logic ; + VPA: in std_logic ; + VMA: out std_logic ; + RST: in std_logic ; + RESET: out std_logic ; + RW: in std_logic ; +-- D: inout std_logic_vector ( 31 downto 28 ); + FC: in std_logic_vector ( 1 downto 0 ); + AMIGA_BUS_ENABLE: out std_logic ; + AMIGA_BUS_DATA_DIR: out std_logic ; + AMIGA_BUS_ENABLE_LOW: out std_logic; + CIIN: out std_logic + ); +end BUS68030; + +architecture Behavioral of BUS68030 is + + +subtype ESTATE is std_logic_vector(3 downto 0); + +constant E1 : ESTATE := "0110"; +constant E2 : ESTATE := "0111"; +constant E3 : ESTATE := "0100"; +constant E4 : ESTATE := "0101"; +constant E5 : ESTATE := "0010"; +constant E6 : ESTATE := "0011"; +constant E7 : ESTATE := "1010"; +constant E8 : ESTATE := "1011"; +constant E9 : ESTATE := "1100"; +constant E10 : ESTATE := "1111"; +-- Illegal states +constant E20 : ESTATE := "0000"; +constant E4a : ESTATE := "0001"; +constant E21 : ESTATE := "1000"; +constant E22 : ESTATE := "1001"; +constant E23 : ESTATE := "1101"; +constant E24 : ESTATE := "1110"; + +signal cpu_est : ESTATE := E20; +signal cpu_est_d : ESTATE := E20; + +subtype AMIGA_STATE is std_logic_vector(2 downto 0); + +constant IDLE_P : AMIGA_STATE := "000"; +constant IDLE_N : AMIGA_STATE := "001"; +constant AS_SET_P : AMIGA_STATE := "010"; +constant AS_SET_N : AMIGA_STATE := "011"; +constant SAMPLE_DTACK_P: AMIGA_STATE := "100"; +constant DATA_FETCH_N: AMIGA_STATE := "101"; +constant DATA_FETCH_P : AMIGA_STATE := "110"; +constant END_CYCLE_N : AMIGA_STATE := "111"; + +signal SM_AMIGA : AMIGA_STATE := IDLE_P; +signal SM_AMIGA_D : AMIGA_STATE := IDLE_P; + +--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000"; +signal AS_000_INT:STD_LOGIC:= '1'; +signal AS_000_START:STD_LOGIC:= '1'; +signal AS_030_000_SYNC:STD_LOGIC:= '1'; +signal BGACK_030_INT:STD_LOGIC:= '1'; +signal DTACK_SYNC:STD_LOGIC:= '1'; +signal DTACK_DMA:STD_LOGIC:= '1'; +signal FPU_CS_INT:STD_LOGIC:= '1'; +signal VPA_D: STD_LOGIC:='1'; +signal VPA_SYNC: STD_LOGIC:='1'; +signal VMA_INT: STD_LOGIC:='1'; +signal VMA_INT_D: STD_LOGIC:='1'; +signal UDS_000_INT: STD_LOGIC:='1'; +signal LDS_000_INT: STD_LOGIC:='1'; +signal DSACK_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11"; +signal CLK_CNT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00"; +signal CLK_REF: STD_LOGIC_VECTOR ( 1 downto 0 ) := "10"; +signal CLK_000_CNT: STD_LOGIC_VECTOR ( 3 downto 0 ) := "0000"; +signal CLK_OUT_PRE: STD_LOGIC:='1'; +signal CLK_OUT_INT: STD_LOGIC:='1'; +signal CLK_030_D: STD_LOGIC:='1'; +signal CLK_000_D: STD_LOGIC := '1'; +signal CLK_000_DD: STD_LOGIC := '1'; +signal RISING_CLK_AMIGA: STD_LOGIC :='0'; +signal FALLING_CLK_AMIGA: STD_LOGIC :='0'; +--signal RISING_CLK_030: STD_LOGIC :='0'; +--signal FALLING_CLK_030: STD_LOGIC :='0'; + +begin + + + + --the clocks + clk: process(CLK_OSZI) + begin + if(rising_edge(CLK_OSZI)) then + --reset buffer + RESET <= RST; + + --clk generation : up to now just half the clock + if(CLK_CNT="01") then + CLK_OUT_PRE <= not CLK_OUT_PRE; + CLK_CNT <= "00"; + else + CLK_CNT <= CLK_CNT+1; + end if; + -- the external clock to the processor is generated here + CLK_OUT_INT <= CLK_OUT_PRE; --this way we know the clock of the next state: Its like looking in the future, cool! + --delayed Clocks for edge detection + CLK_000_D <= CLK_000; + CLK_000_DD <= CLK_000_D; + + + + --RISING_CLK_030 <= CLK_OUT_PRE and not CLK_030; + --FALLING_CLK_030 <= not CLK_OUT_PRE and CLK_030; + --edge detection stuff + RISING_CLK_AMIGA <= not CLK_000_D and CLK_000; + FALLING_CLK_AMIGA <= CLK_000_D and not CLK_000; + + --cycle counter for Amiga-Bus-Timing + + + if( CLK_000_D /= CLK_000)then --not equal + CLK_000_CNT <= "0001"; + else + CLK_000_CNT <= CLK_000_CNT+1; --4bit counter + end if; + + -- e-clock + if(CLK_000_DD = '0' and CLK_000_D = '1') then + case (cpu_est) is + when E1 => cpu_est <= E2 ; + when E2 => cpu_est <= E3 ; + when E3 => cpu_est <= E4; + when E4 => cpu_est <= E5 ; + when E5 => cpu_est <= E6 ; + when E6 => cpu_est <= E7 ; + when E7 => cpu_est <= E8 ; + when E8 => cpu_est <= E9 ; + when E9 => cpu_est <= E10; + when E10 => cpu_est <= E1 ; + -- Illegal states + when E4a => cpu_est <= E5 ; + when E20 => cpu_est <= E10; + when E21 => cpu_est <= E10; + when E22 => cpu_est <= E9 ; + when E23 => cpu_est <= E9 ; + when E24 => cpu_est <= E10; + when others => + null; + end case; + end if; + cpu_est_d <= cpu_est; + VPA_D <= VPA; + end if; + end process clk; + + --eclk: process(CLK_000) + --begin + -- if(rising_edge(CLK_000)) then + -- -- e clock + -- case (cpu_est) is + -- when E1 => cpu_est <= E2 ; + -- when E2 => cpu_est <= E3 ; + -- when E3 => cpu_est <= E4; + -- when E4 => cpu_est <= E5 ; + -- when E5 => cpu_est <= E6 ; + -- when E6 => cpu_est <= E7 ; + -- when E7 => cpu_est <= E8 ; + -- when E8 => cpu_est <= E9 ; + -- when E9 => cpu_est <= E10; + -- when E10 => cpu_est <= E1 ; + -- -- Illegal states + -- when E4a => cpu_est <= E5 ; + -- when E20 => cpu_est <= E10; + -- when E21 => cpu_est <= E10; + -- when E22 => cpu_est <= E9 ; + -- when E23 => cpu_est <= E9 ; + -- when E24 => cpu_est <= E10; + -- when others => + -- null; + -- end case; + -- end if; + --end process eclk; + + + + --the state process + state_machine: process(RST, CLK_OSZI) + begin + if(RST = '0' ) then + SM_AMIGA <= IDLE_P; + AS_000_INT <='1'; + AS_000_START<= '0'; + AS_030_000_SYNC <='1'; + UDS_000_INT <='1'; + LDS_000_INT <='1'; + CLK_REF <= "10"; + VMA_INT <= '1'; + VMA_INT_D <= '1'; + FPU_CS_INT <= '1'; + BG_000 <= '1'; + BGACK_030_INT <= '1'; + DSACK_INT <= "11"; + DTACK_DMA <= '1'; + DTACK_SYNC <= '1'; + VPA_SYNC <= '1'; + IPL_030 <= "111"; + elsif(rising_edge(CLK_OSZI)) then + + + + --bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock + if(BGACK_000='0') then + BGACK_030_INT <= '0'; + elsif (BGACK_000='1' AND RISING_CLK_AMIGA='1') then -- BGACK_000 is high here! + BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high + end if; + + --bus grant only in idle state + if(BG_030= '1')then + BG_000 <= '1'; + elsif(CLK_030 ='0') then + if( BG_030= '0' AND (SM_AMIGA = IDLE_N or SM_AMIGA = IDLE_P) + and CPU_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running! + BG_000 <= '0'; + else + BG_000 <= '1'; + end if; + end if; + + --CO-Processor Chip select + + + + --interrupt buffering to avoid ghost interrupts + if(RISING_CLK_AMIGA='1')then + IPL_030<=IPL; + end if; + + -- as030-sampling and FPU-Select + + if(AS_030 ='1') then + AS_030_000_SYNC <= '1'; + FPU_CS_INT <= '1'; + elsif( CLK_030 = '1' AND --68030 has a valid AS on high clocks + AS_030 = '0') then + + if(FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1') then + FPU_CS_INT <= '0'; + AS_030_000_SYNC <= '1'; + else + AS_030_000_SYNC <= CPU_SPACE; + FPU_CS_INT <= '1'; + end if; + end if; + + -- "async" reset + if(AS_030 ='1') then + DSACK_INT<="11"; + AS_000_INT <= '1'; + UDS_000_INT <= '1'; + LDS_000_INT <= '1'; + DTACK_SYNC <= '1'; + VPA_SYNC <= '1'; + end if; + + -- VMA generation + --assert + if(CLK_000_D='0' AND VPA_SYNC='0')then + VMA_INT <= '0'; + end if; + + --deassert + if(CLK_000_D='1' AND AS_000_INT='1')then + VMA_INT <= '1'; + end if; + + + --Amiga statemachine + case (SM_AMIGA) is + when IDLE_P => --68000:S0 wait for a falling edge + if( CLK_000_D='0' )then + SM_AMIGA<=IDLE_N; + end if; + when IDLE_N => --68000:S1 wait for rising edge, on a rising CLK_000 look for a amiga adressrobe + --AS_000_START <='0'; + if(CLK_000_D='1' )then --sample AS only at the rising edge! + if( AS_030_000_SYNC = '0' )then + AS_000_INT <= '0'; + if (RW='1' and DS_030 = '0') then --read: set udl/lds + if(A(0)='0') then + UDS_000_INT <= '0'; + else + UDS_000_INT <= '1'; + end if; + if((A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1')) then + LDS_000_INT <= '0'; + else + LDS_000_INT <= '1'; + end if; + end if; + SM_AMIGA <= AS_SET_P; --as for amiga set! + end if; + end if; + when AS_SET_P => --68000:S2 Amiga cycle starts here: since AS is asserted during transition to this state we simply wait here + if (RW='1' and DS_030 = '0') then --read: set udl/lds if ds was not ready + if(A(0)='0') then + UDS_000_INT <= '0'; + else + UDS_000_INT <= '1'; + end if; + if((A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1')) then + LDS_000_INT <= '0'; + else + LDS_000_INT <= '1'; + end if; + end if; + if(CLK_000_D='0')then + SM_AMIGA<=AS_SET_N; + end if; + when AS_SET_N => --68000:S3: nothing happens here; on a transition to s4: assert uds/lds on write + if(CLK_000_D='1')then + if (RW='0' and DS_030 = '0') then --write: set udl/lds + if(A(0)='0') then + UDS_000_INT <= '0'; + else + UDS_000_INT <= '1'; + end if; + if((A(0)='1' OR SIZE(0)='0' OR SIZE(1)='1')) then + LDS_000_INT <= '0'; + else + LDS_000_INT <= '1'; + end if; + end if; + SM_AMIGA <= SAMPLE_DTACK_P; + end if; + when SAMPLE_DTACK_P=> --68000:S4 wait for dtack or VMA + if(CLK_000_D='0' )then + if(DTACK_SYNC = '0' OR VPA_SYNC ='0')then + SM_AMIGA<=DATA_FETCH_N; + --else + -- SM_AMIGA<=AS_SET_N; -- no dtack sampled wait one clock: go back to AS_SET_N + end if; + else -- high clock: sample DTACK + if(VPA_D = '1' AND DTACK='0') then + DTACK_SYNC <= '0'; + elsif(VPA_D='0' AND cpu_est=E4) then --vpa/vma cycle: sync VPA on E3 + VPA_SYNC <= '0'; + + end if; + end if; + when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock + + if(CLK_000_D='1')then + SM_AMIGA<=DATA_FETCH_P; + end if; + when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus! + + if( CLK_000 ='0')then + if( DTACK_SYNC ='0' OR + (VPA_SYNC ='0' and cpu_est=E10 ) )then + SM_AMIGA<=END_CYCLE_N; + --elsif(VPA_SYNC ='0')then + -- SM_AMIGA<=DATA_FETCH_N; --wait for right moment to end vpa-cyclus + end if; + end if; + when END_CYCLE_N =>--68000:S7: Latch/Store data and go to IDLE on high clock + if(CLK_000_D='1' and AS_000_INT='1' )then + SM_AMIGA<=IDLE_P; + elsif( CLK_000_D='0' AND CLK_OUT_PRE='1' --assert here (next 68030-Clock will be high)! + and AS_030_000_SYNC ='0' -- if the cycle somehow aboarded do not send a dsack! + ) then --timing is everything! + if( (VPA_SYNC ='0' AND CLK_000_CNT > x"0" and RW='0') OR + (VPA_SYNC ='0' AND CLK_000_CNT > x"0" and RW='1') OR + (DTACK_SYNC='0' AND CLK_000_CNT > x"0" and RW='0') OR + (DTACK_SYNC='0' AND CLK_000_CNT > x"0" and RW='1') + )then + DSACK_INT<="01"; + end if; + + end if; + end case; + + --delay for hold time of CIAs + VMA_INT_D <= VMA_INT; + + + --dma stuff + --DTACK for DMA cycles + if(AS_000_INT ='0' AND DSACK(1) ='0') then + DTACK_DMA <= '0'; + else + DTACK_DMA <= '1'; + end if; + + SM_AMIGA_D <= SM_AMIGA; + + end if; + end process state_machine; + + --output clock assignment + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= '1' when SM_AMIGA_D /= SM_AMIGA ELSE '0'; + AVEC_EXP <= 'Z' when FPU_CS_INT ='1' else '0'; + + --dtack for dma + DTACK <= 'Z' when BGACK_030_INT ='1' else + DTACK_DMA; + + --fpu + FPU_CS <= FPU_CS_INT; + + --if no copro is installed: + BERR <= 'Z' when FPU_CS_INT ='1' else '0'; + + + + --cache inhibit: For now: disable + CIIN <= '1' WHEN A(31 downto 20) = x"00F" ELSE + --'1' WHEN A(31 downto 16) = x"00E0" ELSE + 'Z' WHEN not(A(31 downto 24) = x"00") ELSE + '0'; + + --bus buffers + AMIGA_BUS_ENABLE <= '0'; --for now: allways on + AMIGA_BUS_DATA_DIR <='1' WHEN RW='0' ELSE '0'; + AMIGA_BUS_ENABLE_LOW <= '1'; --for now: allways off + + --e and VMA + E <= cpu_est(3); + VMA <= VMA_INT; + + + --AVEC + AVEC <= '1'; + + --as and uds/lds + AS_000 <= 'Z' when BGACK_030_INT ='0' else + AS_000_INT; + UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + UDS_000_INT; + LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle + LDS_000_INT; + + --dsack + DSACK <= "ZZ" when CPU_SPACE = '1' else -- output on amiga cycle + DSACK_INT; + BGACK_030 <= BGACK_030_INT; + -- signal assignment + --DS_030 <= "ZZ"; + --DS_030 <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- DS_030_INT; + + --A(1) <= 'Z'; + --A(0) <= 'Z'; + --A[1 downto 0] <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- A_INT; + + --SIZE <= "ZZ"; + --SIZE <= "ZZ" when BGACK_030_INT ='1' else -- output on dma cycle + -- SIZE_INT; + +end Behavioral; + diff --git a/Logic/68030_TK.STY b/Logic/68030_TK.STY new file mode 100644 index 0000000..6d689ed --- /dev/null +++ b/Logic/68030_TK.STY @@ -0,0 +1,6 @@ +[synthesis-type] +tool=Synplify +[STRATEGY-LIST] +Normal=True, 1385910337 +[TOUCHED-REPORT] +Design.tt4File=1400149811 diff --git a/Logic/68030_TK.cmi b/Logic/68030_TK.cmi new file mode 100644 index 0000000..bf59577 --- /dev/null +++ b/Logic/68030_TK.cmi @@ -0,0 +1,46 @@ +[WINDOWS] +MAIN_WINDOW_POSITION=0,0,1920,1200 +LEFT_PANE_WIDTH=634 +CHILD_FRAME_STATE=Maximal +CHILD_WINDOW_SIZE=1920,974 +CHILD_WINDOW_POS=-8,-30 +[GUI SETTING] +Remember_Setting=1 +Open_PV_Opt=2 +Open_PV=0 +PV_IS_ACTIVE=0 +ACTIVE_SHEET=Pin Attributes +Show_Def_Opt=2 +Show_Def_Val=1 +Expand_All_Column=0 +Show_All_Signals=0 +Sort_Type=0 +Sort_Direction=0 +Skip_Next_Pin=0 +[Pin Attributes] +sort_column_1=Signal/Group Name +Type=42,no +Signal/Group Name=209,no +Group Members=111,no +GLB=36,no +Macrocell=73,no +Pin=32,no +Power=50,no +Slewrate=64,no +[Global Constraints] +Constraint Name=117,no +Constraint Value=115,no +[Resource Reservation] +Segment=66,no +GLB=36,no +Macrocell=73,no +Pin=32,no +State=43,no +[Opt Global Constraints] +Constraint Name=162,no +Constraint Value=115,no +[OPT WINDOWS] +MAIN_WINDOW_POSITION=0,0,1920,1200 +[OPT GUI SETTING] +Remember_Setting=1 +ACTIVE_SHEET= diff --git a/Logic/68030_TK.lci b/Logic/68030_TK.lci new file mode 100644 index 0000000..11d9481 --- /dev/null +++ b/Logic/68030_TK.lci @@ -0,0 +1,183 @@ + +[Device] +Family = M4A5; +PartNumber = M4A5-128/64-10VC; +Package = 100TQFP; +PartType = M4A5-128/64; +Speed = -10; +Operating_condition = COM; +Status = Production; +EN_PinGLB = Yes; +EN_PinMacrocell = Yes; + +[Revision] +Parent = m4a5.lci; +DATE = 05/15/2014; +TIME = 12:30:11; +Source_Format = Pure_VHDL; +Synthesis = Synplify; + +[Ignore Assignments] + +[Clear Assignments] + +[Backannotate Assignments] + +[Global Constraints] +Spread_placement = Yes; +Zero_hold_time = Yes; + +[Location Assignments] +layer = OFF; +AS_030 = Pin, 82, -, H, -; +A_0_ = Pin, 69, -, G, -; +A_16_ = Pin, 96, -, A, -; +A_17_ = Pin, 59, -, F, -; +A_18_ = Pin, 95, -, A, -; +A_19_ = Pin, 97, -, A, -; +BGACK_000 = Pin, 28, -, D, -; +BG_030 = Pin, 21, -, C, -; +CLK_000 = Pin, 11, -, -, -; +CLK_030 = Pin, 64, -, -, -; +CLK_OSZI = Pin, 61, -, -, -; +CPU_SPACE = Pin, 14, -, -, -; +FC_0_ = Pin, 57, -, F, -; +FC_1_ = Pin, 58, -, F, -; +IPL_0_ = Pin, 67, -, G, -; +IPL_1_ = Pin, 56, -, F, -; +IPL_2_ = Pin, 68, -, G, -; +RST = Pin, 86, -, -, -; +RW = Pin, 71, -, G, -; +SIZE_1_ = Pin, 79, -, H, -; +SIZE_0_ = Pin, 70, -, G, -; +VPA = Pin, 36, -, -, -; +AVEC = Pin, 92, -, A, -; +BGACK_030 = Pin, 83, -, H, -; +BG_000 = Pin, 29, -, D, -; +CLK_DIV_OUT = Pin, 65, -, G, -; +CLK_EXP = Pin, 10, -, B, -; +DSACK_0_ = Pin, 80, -, H, -; +E = Pin, 66, -, G, -; +FPU_CS = Pin, 78, -, H, -; +IPL_030_0_ = Pin, 8, -, B, -; +IPL_030_1_ = Pin, 7, -, B, -; +IPL_030_2_ = Pin, 9, -, B, -; +LDS_000 = Pin, 31, -, D, -; +UDS_000 = Pin, 32, -, D, -; +VMA = Pin, 35, -, D, -; +AS_000 = Pin, 33, -, D, -; +DSACK_1_ = Pin, 81, -, H, -; +DTACK = Pin, 30, -, D, -; +RESET = Pin, 3, -, B, -; +AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -; +AMIGA_BUS_ENABLE = Pin, 34, -, D, -; +AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -; +CIIN = Pin, 47, -, E, -; +A_20_ = Pin, 93, -, A, -; +A_21_ = Pin, 94, -, A, -; +A_22_ = Pin, 85, -, H, -; +A_23_ = Pin, 84, -, H, -; +A_24_ = Pin, 19, -, C, -; +A_25_ = Pin, 18, -, C, -; +A_26_ = Pin, 17, -, C, -; +A_27_ = Pin, 16, -, C, -; +A_28_ = Pin, 15, -, C, -; +A_29_ = Pin, 6, -, B, -; +A_30_ = Pin, 5, -, B, -; +A_31_ = Pin, 4, -, B, -; +DS_030 = Pin, 98, -, A, -; +AVEC_EXP = Pin, 22, -, C, -; +BERR = Pin, 41, -, E, -; + +[Group Assignments] +layer = OFF; + +[Resource Reservations] +layer = OFF; + +[Fitter Report Format] + +[Power] + +[Source Constraint Option] + +[Fast Bypass] + +[OSM Bypass] + +[Input Registers] + +[Netlist/Delay Format] +NetList = VHDL; + +[IO Types] +layer = OFF; + +[Pullup] +Default = UP; + +[Slewrate] + +[Region] + +[Timing Constraints] + +[HSI Attributes] + +[Input Delay] + +[opt global constraints list] + +[Explorer User Settings] + +[Pin attributes list] + +[global constraints list] + +[Global Constraints Process Update] + +[pin lock limitation] + +[LOCATION ASSIGNMENTS LIST] + +[RESOURCE RESERVATIONS LIST] + +[individual constraints list] + +[Attributes list setting] + +[Timing Analyzer] + +[PLL Assignments] + +[Dual Function Macrocell] + +[Explorer Results] + +[VHDL synplify constraints] + +[VHDL spectrum constraints] + +[verilog synplify constraints] + +[verilog spectrum constraints] + +[VHDL synplify constraints list] + +[VHDL spectrum constraints list] + +[verilog synplify constraints list] + +[verilog spectrum constraints list] + +[Constraint Version] +version = 1.0; + +[ORP ASSIGNMENTS] +layer = OFF; + +[Node attribute] +layer = OFF; + +[SYMBOL/MODULE attribute] +layer = OFF; diff --git a/Logic/68030_TK.lct b/Logic/68030_TK.lct new file mode 100644 index 0000000..11d9481 --- /dev/null +++ b/Logic/68030_TK.lct @@ -0,0 +1,183 @@ + +[Device] +Family = M4A5; +PartNumber = M4A5-128/64-10VC; +Package = 100TQFP; +PartType = M4A5-128/64; +Speed = -10; +Operating_condition = COM; +Status = Production; +EN_PinGLB = Yes; +EN_PinMacrocell = Yes; + +[Revision] +Parent = m4a5.lci; +DATE = 05/15/2014; +TIME = 12:30:11; +Source_Format = Pure_VHDL; +Synthesis = Synplify; + +[Ignore Assignments] + +[Clear Assignments] + +[Backannotate Assignments] + +[Global Constraints] +Spread_placement = Yes; +Zero_hold_time = Yes; + +[Location Assignments] +layer = OFF; +AS_030 = Pin, 82, -, H, -; +A_0_ = Pin, 69, -, G, -; +A_16_ = Pin, 96, -, A, -; +A_17_ = Pin, 59, -, F, -; +A_18_ = Pin, 95, -, A, -; +A_19_ = Pin, 97, -, A, -; +BGACK_000 = Pin, 28, -, D, -; +BG_030 = Pin, 21, -, C, -; +CLK_000 = Pin, 11, -, -, -; +CLK_030 = Pin, 64, -, -, -; +CLK_OSZI = Pin, 61, -, -, -; +CPU_SPACE = Pin, 14, -, -, -; +FC_0_ = Pin, 57, -, F, -; +FC_1_ = Pin, 58, -, F, -; +IPL_0_ = Pin, 67, -, G, -; +IPL_1_ = Pin, 56, -, F, -; +IPL_2_ = Pin, 68, -, G, -; +RST = Pin, 86, -, -, -; +RW = Pin, 71, -, G, -; +SIZE_1_ = Pin, 79, -, H, -; +SIZE_0_ = Pin, 70, -, G, -; +VPA = Pin, 36, -, -, -; +AVEC = Pin, 92, -, A, -; +BGACK_030 = Pin, 83, -, H, -; +BG_000 = Pin, 29, -, D, -; +CLK_DIV_OUT = Pin, 65, -, G, -; +CLK_EXP = Pin, 10, -, B, -; +DSACK_0_ = Pin, 80, -, H, -; +E = Pin, 66, -, G, -; +FPU_CS = Pin, 78, -, H, -; +IPL_030_0_ = Pin, 8, -, B, -; +IPL_030_1_ = Pin, 7, -, B, -; +IPL_030_2_ = Pin, 9, -, B, -; +LDS_000 = Pin, 31, -, D, -; +UDS_000 = Pin, 32, -, D, -; +VMA = Pin, 35, -, D, -; +AS_000 = Pin, 33, -, D, -; +DSACK_1_ = Pin, 81, -, H, -; +DTACK = Pin, 30, -, D, -; +RESET = Pin, 3, -, B, -; +AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -; +AMIGA_BUS_ENABLE = Pin, 34, -, D, -; +AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -; +CIIN = Pin, 47, -, E, -; +A_20_ = Pin, 93, -, A, -; +A_21_ = Pin, 94, -, A, -; +A_22_ = Pin, 85, -, H, -; +A_23_ = Pin, 84, -, H, -; +A_24_ = Pin, 19, -, C, -; +A_25_ = Pin, 18, -, C, -; +A_26_ = Pin, 17, -, C, -; +A_27_ = Pin, 16, -, C, -; +A_28_ = Pin, 15, -, C, -; +A_29_ = Pin, 6, -, B, -; +A_30_ = Pin, 5, -, B, -; +A_31_ = Pin, 4, -, B, -; +DS_030 = Pin, 98, -, A, -; +AVEC_EXP = Pin, 22, -, C, -; +BERR = Pin, 41, -, E, -; + +[Group Assignments] +layer = OFF; + +[Resource Reservations] +layer = OFF; + +[Fitter Report Format] + +[Power] + +[Source Constraint Option] + +[Fast Bypass] + +[OSM Bypass] + +[Input Registers] + +[Netlist/Delay Format] +NetList = VHDL; + +[IO Types] +layer = OFF; + +[Pullup] +Default = UP; + +[Slewrate] + +[Region] + +[Timing Constraints] + +[HSI Attributes] + +[Input Delay] + +[opt global constraints list] + +[Explorer User Settings] + +[Pin attributes list] + +[global constraints list] + +[Global Constraints Process Update] + +[pin lock limitation] + +[LOCATION ASSIGNMENTS LIST] + +[RESOURCE RESERVATIONS LIST] + +[individual constraints list] + +[Attributes list setting] + +[Timing Analyzer] + +[PLL Assignments] + +[Dual Function Macrocell] + +[Explorer Results] + +[VHDL synplify constraints] + +[VHDL spectrum constraints] + +[verilog synplify constraints] + +[verilog spectrum constraints] + +[VHDL synplify constraints list] + +[VHDL spectrum constraints list] + +[verilog synplify constraints list] + +[verilog spectrum constraints list] + +[Constraint Version] +version = 1.0; + +[ORP ASSIGNMENTS] +layer = OFF; + +[Node attribute] +layer = OFF; + +[SYMBOL/MODULE attribute] +layer = OFF; diff --git a/Logic/68030_TK.syn b/Logic/68030_TK.syn new file mode 100644 index 0000000..829d9ce --- /dev/null +++ b/Logic/68030_TK.syn @@ -0,0 +1,11 @@ +JDF B +// Created by Version 1.7 +PROJECT 68030_TK +DESIGN 68030_tk Normal +DEVKIT M4A5-128/64-10VC +ENTRY Pure VHDL +MODULE 68030-68000-bus.vhd +MODSTYLE BUS68030 Normal +SYNTHESIS_TOOL Synplify +SIMULATOR_TOOL ActiveHDL +TOPMODULE BUS68030 diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl new file mode 100644 index 0000000..d75a148 --- /dev/null +++ b/Logic/68030_TK.tcl @@ -0,0 +1,115106 @@ + +########## Tcl recorder starts at 12/01/13 16:23:00 ########## + +set version "1.6" +set proj_dir "C:/Users/Matze/Amiga/Hardwarehacks/68030-TK/Logic" +cd $proj_dir + +# Get directory paths +set pver $version +regsub -all {\.} $pver {_} pver +set lscfile "lsc_" +append lscfile $pver ".ini" +set lsvini_dir [lindex [array get env LSC_INI_PATH] 1] +set lsvini_path [file join $lsvini_dir $lscfile] +if {[catch {set fid [open $lsvini_path]} msg]} { + puts "File Open Error: $lsvini_path" + return false +} else {set data [read $fid]; close $fid } +foreach line [split $data '\n'] { + set lline [string tolower $line] + set lline [string trim $lline] + if {[string compare $lline "\[paths\]"] == 0} { set path 1; continue} + if {$path && [regexp {^\[} $lline]} {set path 0; break} + if {$path && [regexp {^bin} $lline]} {set cpld_bin $line; continue} + if {$path && [regexp {^fpgapath} $lline]} {set fpga_dir $line; continue} + if {$path && [regexp {^fpgabinpath} $lline]} {set fpga_bin $line}} + +set cpld_bin [string range $cpld_bin [expr [string first "=" $cpld_bin]+1] end] +regsub -all "\"" $cpld_bin "" cpld_bin +set cpld_bin [file join $cpld_bin] +set install_dir [string range $cpld_bin 0 [expr [string first "ispcpld" $cpld_bin]-2]] +regsub -all "\"" $install_dir "" install_dir +set install_dir [file join $install_dir] +set fpga_dir [string range $fpga_dir [expr [string first "=" $fpga_dir]+1] end] +regsub -all "\"" $fpga_dir "" fpga_dir +set fpga_dir [file join $fpga_dir] +set fpga_bin [string range $fpga_bin [expr [string first "=" $fpga_bin]+1] end] +regsub -all "\"" $fpga_bin "" fpga_bin +set fpga_bin [file join $fpga_bin] + +if {[string match "*$fpga_bin;*" $env(PATH)] == 0 } { + set env(PATH) "$fpga_bin;$env(PATH)" } + +if {[string match "*$cpld_bin;*" $env(PATH)] == 0 } { + set env(PATH) "$cpld_bin;$env(PATH)" } + +lappend auto_path [file join $install_dir "ispcpld" "tcltk" "lib" "ispwidget" "runproc"] +package require runcmd + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 16:23:00 ########### + + +########## Tcl recorder starts at 12/01/13 16:23:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 16:23:45 ########### + + +########## Tcl recorder starts at 12/01/13 16:23:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 16:23:49 ########### + + +########## Tcl recorder starts at 12/01/13 16:51:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 16:51:33 ########### + + +########## Tcl recorder starts at 12/01/13 19:32:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 19:32:22 ########### + + +########## Tcl recorder starts at 12/01/13 21:16:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:16:53 ########### + + +########## Tcl recorder starts at 12/01/13 21:20:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:20:44 ########### + + +########## Tcl recorder starts at 12/01/13 21:21:10 ########## + +# Commands to make the Process: +# Hierarchy Browser +# - none - +# Application to view the Process: +# Hierarchy Browser +if [runCmd "\"$cpld_bin/hierbro\" 68030_tk.jid BUS68030"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:21:10 ########### + + +########## Tcl recorder starts at 12/01/13 21:21:16 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:21:16 ########### + + +########## Tcl recorder starts at 12/01/13 21:21:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:21:50 ########### + + +########## Tcl recorder starts at 12/01/13 21:21:52 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:21:52 ########### + + +########## Tcl recorder starts at 12/01/13 21:22:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:22:46 ########### + + +########## Tcl recorder starts at 12/01/13 21:22:49 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:22:49 ########### + + +########## Tcl recorder starts at 12/01/13 21:23:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:23:14 ########### + + +########## Tcl recorder starts at 12/01/13 21:24:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:24:01 ########### + + +########## Tcl recorder starts at 12/01/13 21:24:06 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:24:06 ########### + + +########## Tcl recorder starts at 12/01/13 21:46:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:46:04 ########### + + +########## Tcl recorder starts at 12/01/13 21:46:08 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:46:08 ########### + + +########## Tcl recorder starts at 12/01/13 21:46:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:46:31 ########### + + +########## Tcl recorder starts at 12/01/13 21:46:33 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:46:33 ########### + + +########## Tcl recorder starts at 12/01/13 21:47:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:47:11 ########### + + +########## Tcl recorder starts at 12/01/13 21:47:14 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:47:14 ########### + + +########## Tcl recorder starts at 12/01/13 21:47:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:47:53 ########### + + +########## Tcl recorder starts at 12/01/13 21:47:54 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:47:54 ########### + + +########## Tcl recorder starts at 12/01/13 21:53:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:53:00 ########### + + +########## Tcl recorder starts at 12/01/13 21:53:13 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:53:13 ########### + + +########## Tcl recorder starts at 12/01/13 21:53:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:53:32 ########### + + +########## Tcl recorder starts at 12/01/13 21:53:34 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:53:34 ########### + + +########## Tcl recorder starts at 12/01/13 21:54:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:54:01 ########### + + +########## Tcl recorder starts at 12/01/13 21:54:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:54:13 ########### + + +########## Tcl recorder starts at 12/01/13 21:54:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:54:20 ########### + + +########## Tcl recorder starts at 12/01/13 21:54:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:54:28 ########### + + +########## Tcl recorder starts at 12/01/13 21:55:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:55:02 ########### + + +########## Tcl recorder starts at 12/01/13 21:55:04 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:55:04 ########### + + +########## Tcl recorder starts at 12/01/13 21:55:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:55:29 ########### + + +########## Tcl recorder starts at 12/01/13 21:55:31 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:55:31 ########### + + +########## Tcl recorder starts at 12/01/13 21:55:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:55:58 ########### + + +########## Tcl recorder starts at 12/01/13 21:56:09 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:56:09 ########### + + +########## Tcl recorder starts at 12/01/13 21:56:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:56:33 ########### + + +########## Tcl recorder starts at 12/01/13 21:56:34 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:56:34 ########### + + +########## Tcl recorder starts at 12/01/13 21:56:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:56:45 ########### + + +########## Tcl recorder starts at 12/01/13 21:56:47 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:56:47 ########### + + +########## Tcl recorder starts at 12/01/13 21:56:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:56:57 ########### + + +########## Tcl recorder starts at 12/01/13 21:56:58 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:56:58 ########### + + +########## Tcl recorder starts at 12/01/13 21:57:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:57:17 ########### + + +########## Tcl recorder starts at 12/01/13 21:57:23 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:57:23 ########### + + +########## Tcl recorder starts at 12/01/13 21:58:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 21:58:25 ########### + + +########## Tcl recorder starts at 12/01/13 22:00:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:00:13 ########### + + +########## Tcl recorder starts at 12/01/13 22:00:18 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:00:18 ########### + + +########## Tcl recorder starts at 12/01/13 22:01:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:01:40 ########### + + +########## Tcl recorder starts at 12/01/13 22:01:42 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:01:42 ########### + + +########## Tcl recorder starts at 12/01/13 22:04:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:04:57 ########### + + +########## Tcl recorder starts at 12/01/13 22:05:08 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:05:08 ########### + + +########## Tcl recorder starts at 12/01/13 22:28:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:28:14 ########### + + +########## Tcl recorder starts at 12/01/13 22:28:18 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:28:18 ########### + + +########## Tcl recorder starts at 12/01/13 22:29:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:29:32 ########### + + +########## Tcl recorder starts at 12/01/13 22:29:37 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:29:37 ########### + + +########## Tcl recorder starts at 12/01/13 22:29:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:29:42 ########### + + +########## Tcl recorder starts at 12/01/13 22:29:44 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:29:44 ########### + + +########## Tcl recorder starts at 12/01/13 22:30:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:30:09 ########### + + +########## Tcl recorder starts at 12/01/13 22:30:28 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:30:28 ########### + + +########## Tcl recorder starts at 12/01/13 22:30:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:30:40 ########### + + +########## Tcl recorder starts at 12/01/13 22:30:44 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 12/01/13 22:30:44 ########### + + +########## Tcl recorder starts at 04/24/14 11:43:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:43:55 ########### + + +########## Tcl recorder starts at 04/24/14 11:44:04 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:44:04 ########### + + +########## Tcl recorder starts at 04/24/14 11:44:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:44:28 ########### + + +########## Tcl recorder starts at 04/24/14 11:44:36 ########## + +# Commands to make the Process: +# Compile EDIF File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:44:36 ########### + + +########## Tcl recorder starts at 04/24/14 11:44:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:44:59 ########### + + +########## Tcl recorder starts at 04/24/14 11:45:01 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:45:01 ########### + + +########## Tcl recorder starts at 04/24/14 11:46:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:46:14 ########### + + +########## Tcl recorder starts at 04/24/14 11:46:16 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:46:16 ########### + + +########## Tcl recorder starts at 04/24/14 11:46:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:46:34 ########### + + +########## Tcl recorder starts at 04/24/14 11:46:39 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:46:39 ########### + + +########## Tcl recorder starts at 04/24/14 11:47:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:47:03 ########### + + +########## Tcl recorder starts at 04/24/14 11:47:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:47:51 ########### + + +########## Tcl recorder starts at 04/24/14 11:47:57 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:47:57 ########### + + +########## Tcl recorder starts at 04/24/14 11:48:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:48:34 ########### + + +########## Tcl recorder starts at 04/24/14 11:48:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:48:44 ########### + + +########## Tcl recorder starts at 04/24/14 11:48:47 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:48:47 ########### + + +########## Tcl recorder starts at 04/24/14 11:50:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:50:38 ########### + + +########## Tcl recorder starts at 04/24/14 11:51:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:51:09 ########### + + +########## Tcl recorder starts at 04/24/14 11:51:12 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:51:12 ########### + + +########## Tcl recorder starts at 04/24/14 11:51:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:51:33 ########### + + +########## Tcl recorder starts at 04/24/14 11:51:37 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:51:37 ########### + + +########## Tcl recorder starts at 04/24/14 11:52:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:52:06 ########### + + +########## Tcl recorder starts at 04/24/14 11:52:10 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:52:10 ########### + + +########## Tcl recorder starts at 04/24/14 11:53:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:53:07 ########### + + +########## Tcl recorder starts at 04/24/14 11:53:09 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:53:09 ########### + + +########## Tcl recorder starts at 04/24/14 11:54:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:54:18 ########### + + +########## Tcl recorder starts at 04/24/14 11:54:21 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:54:21 ########### + + +########## Tcl recorder starts at 04/24/14 11:55:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:55:07 ########### + + +########## Tcl recorder starts at 04/24/14 11:55:12 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:55:12 ########### + + +########## Tcl recorder starts at 04/24/14 11:56:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:56:05 ########### + + +########## Tcl recorder starts at 04/24/14 11:56:15 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:56:15 ########### + + +########## Tcl recorder starts at 04/24/14 11:57:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:57:46 ########### + + +########## Tcl recorder starts at 04/24/14 11:57:47 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:57:48 ########### + + +########## Tcl recorder starts at 04/24/14 11:58:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:58:15 ########### + + +########## Tcl recorder starts at 04/24/14 11:58:20 ########## + +# Commands to make the Process: +# Compiled Equations +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:58:20 ########### + + +########## Tcl recorder starts at 04/24/14 11:58:32 ########## + +# Commands to make the Process: +# Constraint Editor +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 11:58:32 ########### + + +########## Tcl recorder starts at 04/24/14 12:10:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:10:27 ########### + + +########## Tcl recorder starts at 04/24/14 12:10:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:10:54 ########### + + +########## Tcl recorder starts at 04/24/14 12:11:36 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:11:36 ########### + + +########## Tcl recorder starts at 04/24/14 12:12:16 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:12:16 ########### + + +########## Tcl recorder starts at 04/24/14 12:23:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:23:04 ########### + + +########## Tcl recorder starts at 04/24/14 12:24:53 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:24:53 ########### + + +########## Tcl recorder starts at 04/24/14 12:25:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:25:27 ########### + + +########## Tcl recorder starts at 04/24/14 12:25:29 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:25:29 ########### + + +########## Tcl recorder starts at 04/24/14 12:26:02 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:26:02 ########### + + +########## Tcl recorder starts at 04/24/14 12:27:03 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:27:03 ########### + + +########## Tcl recorder starts at 04/24/14 12:33:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:33:53 ########### + + +########## Tcl recorder starts at 04/24/14 12:33:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 12:33:54 ########### + + +########## Tcl recorder starts at 04/24/14 13:40:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 13:40:50 ########### + + +########## Tcl recorder starts at 04/24/14 13:40:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 13:40:54 ########### + + +########## Tcl recorder starts at 04/24/14 13:41:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 13:41:15 ########### + + +########## Tcl recorder starts at 04/24/14 13:41:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 13:41:17 ########### + + +########## Tcl recorder starts at 04/24/14 21:21:55 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:21:55 ########### + + +########## Tcl recorder starts at 04/24/14 21:24:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:24:17 ########### + + +########## Tcl recorder starts at 04/24/14 21:24:25 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:24:25 ########### + + +########## Tcl recorder starts at 04/24/14 21:26:44 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:26:44 ########### + + +########## Tcl recorder starts at 04/24/14 21:26:59 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:26:59 ########### + + +########## Tcl recorder starts at 04/24/14 21:27:27 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:27:27 ########### + + +########## Tcl recorder starts at 04/24/14 21:27:29 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:27:30 ########### + + +########## Tcl recorder starts at 04/24/14 21:31:37 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:31:37 ########### + + +########## Tcl recorder starts at 04/24/14 21:32:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:32:24 ########### + + +########## Tcl recorder starts at 04/24/14 21:32:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:32:28 ########### + + +########## Tcl recorder starts at 04/24/14 21:39:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:39:44 ########### + + +########## Tcl recorder starts at 04/24/14 21:39:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 21:39:49 ########### + + +########## Tcl recorder starts at 04/24/14 22:00:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:00:36 ########### + + +########## Tcl recorder starts at 04/24/14 22:01:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:01:45 ########### + + +########## Tcl recorder starts at 04/24/14 22:04:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:04:02 ########### + + +########## Tcl recorder starts at 04/24/14 22:04:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:04:15 ########### + + +########## Tcl recorder starts at 04/24/14 22:13:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:13:20 ########### + + +########## Tcl recorder starts at 04/24/14 22:13:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:13:59 ########### + + +########## Tcl recorder starts at 04/24/14 22:14:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:14:56 ########### + + +########## Tcl recorder starts at 04/24/14 22:15:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:15:03 ########### + + +########## Tcl recorder starts at 04/24/14 22:16:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:16:02 ########### + + +########## Tcl recorder starts at 04/24/14 22:16:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:16:04 ########### + + +########## Tcl recorder starts at 04/24/14 22:21:05 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:21:05 ########### + + +########## Tcl recorder starts at 04/24/14 22:23:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:23:04 ########### + + +########## Tcl recorder starts at 04/24/14 22:23:15 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:23:15 ########### + + +########## Tcl recorder starts at 04/24/14 22:25:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:25:11 ########### + + +########## Tcl recorder starts at 04/24/14 22:25:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:25:17 ########### + + +########## Tcl recorder starts at 04/24/14 22:25:30 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:25:30 ########### + + +########## Tcl recorder starts at 04/24/14 22:33:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:33:54 ########### + + +########## Tcl recorder starts at 04/24/14 22:34:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:34:14 ########### + + +########## Tcl recorder starts at 04/24/14 22:40:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:40:37 ########### + + +########## Tcl recorder starts at 04/24/14 22:40:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/24/14 22:40:53 ########### + + +########## Tcl recorder starts at 04/25/14 12:41:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 12:41:09 ########### + + +########## Tcl recorder starts at 04/25/14 12:41:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 12:41:12 ########### + + +########## Tcl recorder starts at 04/25/14 12:44:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 12:44:06 ########### + + +########## Tcl recorder starts at 04/25/14 12:44:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 12:44:41 ########### + + +########## Tcl recorder starts at 04/25/14 12:44:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 12:44:45 ########### + + +########## Tcl recorder starts at 04/25/14 13:52:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 13:52:48 ########### + + +########## Tcl recorder starts at 04/25/14 13:52:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 13:52:52 ########### + + +########## Tcl recorder starts at 04/25/14 13:58:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 13:58:53 ########### + + +########## Tcl recorder starts at 04/25/14 13:58:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 13:58:57 ########### + + +########## Tcl recorder starts at 04/25/14 14:02:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:02:00 ########### + + +########## Tcl recorder starts at 04/25/14 14:02:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:02:14 ########### + + +########## Tcl recorder starts at 04/25/14 14:04:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:04:57 ########### + + +########## Tcl recorder starts at 04/25/14 14:05:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:05:07 ########### + + +########## Tcl recorder starts at 04/25/14 14:07:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:07:49 ########### + + +########## Tcl recorder starts at 04/25/14 14:08:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:08:00 ########### + + +########## Tcl recorder starts at 04/25/14 14:09:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:09:45 ########### + + +########## Tcl recorder starts at 04/25/14 14:09:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:09:46 ########### + + +########## Tcl recorder starts at 04/25/14 14:11:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:11:34 ########### + + +########## Tcl recorder starts at 04/25/14 14:12:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:12:28 ########### + + +########## Tcl recorder starts at 04/25/14 14:12:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:12:53 ########### + + +########## Tcl recorder starts at 04/25/14 14:13:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:13:10 ########### + + +########## Tcl recorder starts at 04/25/14 14:13:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:13:12 ########### + + +########## Tcl recorder starts at 04/25/14 14:15:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:15:14 ########### + + +########## Tcl recorder starts at 04/25/14 14:15:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/25/14 14:15:18 ########### + + +########## Tcl recorder starts at 04/26/14 07:45:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 07:45:42 ########### + + +########## Tcl recorder starts at 04/26/14 07:45:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 07:45:49 ########### + + +########## Tcl recorder starts at 04/26/14 11:53:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 11:53:50 ########### + + +########## Tcl recorder starts at 04/26/14 11:53:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 11:53:57 ########### + + +########## Tcl recorder starts at 04/26/14 11:55:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 11:55:46 ########### + + +########## Tcl recorder starts at 04/26/14 11:55:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 11:55:50 ########### + + +########## Tcl recorder starts at 04/26/14 11:56:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 11:56:10 ########### + + +########## Tcl recorder starts at 04/26/14 11:56:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 11:56:13 ########### + + +########## Tcl recorder starts at 04/26/14 12:41:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:41:19 ########### + + +########## Tcl recorder starts at 04/26/14 12:42:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:42:00 ########### + + +########## Tcl recorder starts at 04/26/14 12:42:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:42:04 ########### + + +########## Tcl recorder starts at 04/26/14 12:48:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:48:01 ########### + + +########## Tcl recorder starts at 04/26/14 12:48:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:48:08 ########### + + +########## Tcl recorder starts at 04/26/14 12:52:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:52:06 ########### + + +########## Tcl recorder starts at 04/26/14 12:52:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:52:14 ########### + + +########## Tcl recorder starts at 04/26/14 12:55:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:55:57 ########### + + +########## Tcl recorder starts at 04/26/14 12:56:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:56:02 ########### + + +########## Tcl recorder starts at 04/26/14 12:58:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:58:49 ########### + + +########## Tcl recorder starts at 04/26/14 12:58:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 12:58:54 ########### + + +########## Tcl recorder starts at 04/26/14 13:00:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:00:37 ########### + + +########## Tcl recorder starts at 04/26/14 13:00:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:00:42 ########### + + +########## Tcl recorder starts at 04/26/14 13:05:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:05:43 ########### + + +########## Tcl recorder starts at 04/26/14 13:06:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:06:21 ########### + + +########## Tcl recorder starts at 04/26/14 13:06:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:06:34 ########### + + +########## Tcl recorder starts at 04/26/14 13:06:38 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:06:38 ########### + + +########## Tcl recorder starts at 04/26/14 13:10:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:10:34 ########### + + +########## Tcl recorder starts at 04/26/14 13:11:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:11:01 ########### + + +########## Tcl recorder starts at 04/26/14 13:11:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:11:08 ########### + + +########## Tcl recorder starts at 04/26/14 13:12:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:12:30 ########### + + +########## Tcl recorder starts at 04/26/14 13:12:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:12:33 ########### + + +########## Tcl recorder starts at 04/26/14 13:31:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:31:13 ########### + + +########## Tcl recorder starts at 04/26/14 13:31:23 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:31:23 ########### + + +########## Tcl recorder starts at 04/26/14 13:31:54 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:31:54 ########### + + +########## Tcl recorder starts at 04/26/14 13:38:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:38:00 ########### + + +########## Tcl recorder starts at 04/26/14 13:38:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:38:10 ########### + + +########## Tcl recorder starts at 04/26/14 13:40:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:40:23 ########### + + +########## Tcl recorder starts at 04/26/14 13:40:32 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:40:32 ########### + + +########## Tcl recorder starts at 04/26/14 13:46:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:46:08 ########### + + +########## Tcl recorder starts at 04/26/14 13:46:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:46:12 ########### + + +########## Tcl recorder starts at 04/26/14 13:48:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:48:41 ########### + + +########## Tcl recorder starts at 04/26/14 13:48:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:48:46 ########### + + +########## Tcl recorder starts at 04/26/14 13:49:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 13:49:55 ########### + + +########## Tcl recorder starts at 04/26/14 20:38:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 20:38:18 ########### + + +########## Tcl recorder starts at 04/26/14 20:38:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 20:38:29 ########### + + +########## Tcl recorder starts at 04/26/14 20:39:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 20:39:32 ########### + + +########## Tcl recorder starts at 04/26/14 20:39:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 20:39:37 ########### + + +########## Tcl recorder starts at 04/26/14 20:43:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 20:43:53 ########### + + +########## Tcl recorder starts at 04/26/14 20:59:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 20:59:57 ########### + + +########## Tcl recorder starts at 04/26/14 21:10:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:10:17 ########### + + +########## Tcl recorder starts at 04/26/14 21:18:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:18:00 ########### + + +########## Tcl recorder starts at 04/26/14 21:18:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:18:18 ########### + + +########## Tcl recorder starts at 04/26/14 21:18:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:18:22 ########### + + +########## Tcl recorder starts at 04/26/14 21:18:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:18:36 ########### + + +########## Tcl recorder starts at 04/26/14 21:18:38 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:18:38 ########### + + +########## Tcl recorder starts at 04/26/14 21:19:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:19:06 ########### + + +########## Tcl recorder starts at 04/26/14 21:19:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:19:09 ########### + + +########## Tcl recorder starts at 04/26/14 21:20:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:20:09 ########### + + +########## Tcl recorder starts at 04/26/14 21:20:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:20:20 ########### + + +########## Tcl recorder starts at 04/26/14 21:23:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:23:15 ########### + + +########## Tcl recorder starts at 04/26/14 21:24:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:24:09 ########### + + +########## Tcl recorder starts at 04/26/14 21:24:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:24:11 ########### + + +########## Tcl recorder starts at 04/26/14 21:24:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:24:51 ########### + + +########## Tcl recorder starts at 04/26/14 21:26:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:26:37 ########### + + +########## Tcl recorder starts at 04/26/14 21:26:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:26:43 ########### + + +########## Tcl recorder starts at 04/26/14 21:27:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:27:10 ########### + + +########## Tcl recorder starts at 04/26/14 21:27:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:27:12 ########### + + +########## Tcl recorder starts at 04/26/14 21:27:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:27:30 ########### + + +########## Tcl recorder starts at 04/26/14 21:27:32 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:27:32 ########### + + +########## Tcl recorder starts at 04/26/14 21:28:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:28:47 ########### + + +########## Tcl recorder starts at 04/26/14 21:28:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:28:54 ########### + + +########## Tcl recorder starts at 04/26/14 21:32:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:32:27 ########### + + +########## Tcl recorder starts at 04/26/14 21:34:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:34:08 ########### + + +########## Tcl recorder starts at 04/26/14 21:34:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:34:13 ########### + + +########## Tcl recorder starts at 04/26/14 21:43:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:43:16 ########### + + +########## Tcl recorder starts at 04/26/14 21:44:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:44:10 ########### + + +########## Tcl recorder starts at 04/26/14 21:44:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:44:12 ########### + + +########## Tcl recorder starts at 04/26/14 21:47:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:47:06 ########### + + +########## Tcl recorder starts at 04/26/14 21:48:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:48:56 ########### + + +########## Tcl recorder starts at 04/26/14 21:49:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:49:22 ########### + + +########## Tcl recorder starts at 04/26/14 21:50:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:50:59 ########### + + +########## Tcl recorder starts at 04/26/14 21:55:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:55:15 ########### + + +########## Tcl recorder starts at 04/26/14 21:57:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 21:57:40 ########### + + +########## Tcl recorder starts at 04/26/14 22:00:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:00:43 ########### + + +########## Tcl recorder starts at 04/26/14 22:01:52 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:01:52 ########### + + +########## Tcl recorder starts at 04/26/14 22:07:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:07:15 ########### + + +########## Tcl recorder starts at 04/26/14 22:08:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:08:40 ########### + + +########## Tcl recorder starts at 04/26/14 22:09:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:09:30 ########### + + +########## Tcl recorder starts at 04/26/14 22:09:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:09:34 ########### + + +########## Tcl recorder starts at 04/26/14 22:11:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:11:51 ########### + + +########## Tcl recorder starts at 04/26/14 22:13:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:13:04 ########### + + +########## Tcl recorder starts at 04/26/14 22:13:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:13:37 ########### + + +########## Tcl recorder starts at 04/26/14 22:13:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:13:39 ########### + + +########## Tcl recorder starts at 04/26/14 22:16:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:16:19 ########### + + +########## Tcl recorder starts at 04/26/14 22:16:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:16:23 ########### + + +########## Tcl recorder starts at 04/26/14 22:23:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:23:08 ########### + + +########## Tcl recorder starts at 04/26/14 22:23:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:23:13 ########### + + +########## Tcl recorder starts at 04/26/14 22:23:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:23:34 ########### + + +########## Tcl recorder starts at 04/26/14 22:23:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:23:35 ########### + + +########## Tcl recorder starts at 04/26/14 22:24:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:24:14 ########### + + +########## Tcl recorder starts at 04/26/14 22:26:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:26:02 ########### + + +########## Tcl recorder starts at 04/26/14 22:26:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:26:22 ########### + + +########## Tcl recorder starts at 04/26/14 22:26:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:26:25 ########### + + +########## Tcl recorder starts at 04/26/14 22:27:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:27:09 ########### + + +########## Tcl recorder starts at 04/26/14 22:27:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:27:16 ########### + + +########## Tcl recorder starts at 04/26/14 22:28:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:28:23 ########### + + +########## Tcl recorder starts at 04/26/14 22:28:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:28:29 ########### + + +########## Tcl recorder starts at 04/26/14 22:30:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:30:58 ########### + + +########## Tcl recorder starts at 04/26/14 22:31:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:31:05 ########### + + +########## Tcl recorder starts at 04/26/14 22:32:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:32:26 ########### + + +########## Tcl recorder starts at 04/26/14 22:32:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:32:28 ########### + + +########## Tcl recorder starts at 04/26/14 22:32:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:32:48 ########### + + +########## Tcl recorder starts at 04/26/14 22:32:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:32:49 ########### + + +########## Tcl recorder starts at 04/26/14 22:33:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:33:53 ########### + + +########## Tcl recorder starts at 04/26/14 22:33:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:33:57 ########### + + +########## Tcl recorder starts at 04/26/14 22:34:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:34:58 ########### + + +########## Tcl recorder starts at 04/26/14 22:35:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:35:00 ########### + + +########## Tcl recorder starts at 04/26/14 22:35:13 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:35:13 ########### + + +########## Tcl recorder starts at 04/26/14 22:36:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:36:58 ########### + + +########## Tcl recorder starts at 04/26/14 22:38:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:38:34 ########### + + +########## Tcl recorder starts at 04/26/14 22:44:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:44:12 ########### + + +########## Tcl recorder starts at 04/26/14 22:44:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:44:18 ########### + + +########## Tcl recorder starts at 04/26/14 22:50:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:50:27 ########### + + +########## Tcl recorder starts at 04/26/14 22:50:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:50:42 ########### + + +########## Tcl recorder starts at 04/26/14 22:50:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:50:51 ########### + + +########## Tcl recorder starts at 04/26/14 22:51:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:51:08 ########### + + +########## Tcl recorder starts at 04/26/14 22:51:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:51:09 ########### + + +########## Tcl recorder starts at 04/26/14 22:53:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:53:56 ########### + + +########## Tcl recorder starts at 04/26/14 22:54:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:54:00 ########### + + +########## Tcl recorder starts at 04/26/14 22:56:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 22:56:17 ########### + + +########## Tcl recorder starts at 04/26/14 23:03:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:03:34 ########### + + +########## Tcl recorder starts at 04/26/14 23:03:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:03:37 ########### + + +########## Tcl recorder starts at 04/26/14 23:03:55 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:03:56 ########### + + +########## Tcl recorder starts at 04/26/14 23:06:21 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:06:21 ########### + + +########## Tcl recorder starts at 04/26/14 23:08:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:08:15 ########### + + +########## Tcl recorder starts at 04/26/14 23:08:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:08:20 ########### + + +########## Tcl recorder starts at 04/26/14 23:11:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:11:17 ########### + + +########## Tcl recorder starts at 04/26/14 23:11:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:11:22 ########### + + +########## Tcl recorder starts at 04/26/14 23:12:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:12:28 ########### + + +########## Tcl recorder starts at 04/26/14 23:12:32 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:12:32 ########### + + +########## Tcl recorder starts at 04/26/14 23:18:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:18:41 ########### + + +########## Tcl recorder starts at 04/26/14 23:18:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:18:56 ########### + + +########## Tcl recorder starts at 04/26/14 23:19:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:19:16 ########### + + +########## Tcl recorder starts at 04/26/14 23:31:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:31:52 ########### + + +########## Tcl recorder starts at 04/26/14 23:31:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:31:56 ########### + + +########## Tcl recorder starts at 04/26/14 23:33:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:33:53 ########### + + +########## Tcl recorder starts at 04/26/14 23:34:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:34:17 ########### + + +########## Tcl recorder starts at 04/26/14 23:37:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:37:18 ########### + + +########## Tcl recorder starts at 04/26/14 23:37:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:37:21 ########### + + +########## Tcl recorder starts at 04/26/14 23:37:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:37:45 ########### + + +########## Tcl recorder starts at 04/26/14 23:37:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:37:47 ########### + + +########## Tcl recorder starts at 04/26/14 23:41:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:41:15 ########### + + +########## Tcl recorder starts at 04/26/14 23:41:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:41:18 ########### + + +########## Tcl recorder starts at 04/26/14 23:41:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:41:29 ########### + + +########## Tcl recorder starts at 04/26/14 23:41:31 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:41:31 ########### + + +########## Tcl recorder starts at 04/26/14 23:41:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:41:52 ########### + + +########## Tcl recorder starts at 04/26/14 23:42:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:42:12 ########### + + +########## Tcl recorder starts at 04/26/14 23:42:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:42:36 ########### + + +########## Tcl recorder starts at 04/26/14 23:42:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:42:40 ########### + + +########## Tcl recorder starts at 04/26/14 23:42:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:42:57 ########### + + +########## Tcl recorder starts at 04/26/14 23:43:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:43:02 ########### + + +########## Tcl recorder starts at 04/26/14 23:43:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:43:06 ########### + + +########## Tcl recorder starts at 04/26/14 23:43:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:43:24 ########### + + +########## Tcl recorder starts at 04/26/14 23:43:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:43:26 ########### + + +########## Tcl recorder starts at 04/26/14 23:43:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:43:39 ########### + + +########## Tcl recorder starts at 04/26/14 23:43:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:43:40 ########### + + +########## Tcl recorder starts at 04/26/14 23:44:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:44:20 ########### + + +########## Tcl recorder starts at 04/26/14 23:44:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:44:23 ########### + + +########## Tcl recorder starts at 04/26/14 23:45:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:45:22 ########### + + +########## Tcl recorder starts at 04/26/14 23:46:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:46:49 ########### + + +########## Tcl recorder starts at 04/26/14 23:46:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:46:57 ########### + + +########## Tcl recorder starts at 04/26/14 23:47:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:47:36 ########### + + +########## Tcl recorder starts at 04/26/14 23:47:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:47:39 ########### + + +########## Tcl recorder starts at 04/26/14 23:57:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:57:03 ########### + + +########## Tcl recorder starts at 04/26/14 23:57:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/26/14 23:57:10 ########### + + +########## Tcl recorder starts at 04/27/14 00:00:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:00:20 ########### + + +########## Tcl recorder starts at 04/27/14 00:02:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:02:43 ########### + + +########## Tcl recorder starts at 04/27/14 00:03:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:03:00 ########### + + +########## Tcl recorder starts at 04/27/14 00:07:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:07:29 ########### + + +########## Tcl recorder starts at 04/27/14 00:07:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:07:45 ########### + + +########## Tcl recorder starts at 04/27/14 00:08:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:08:03 ########### + + +########## Tcl recorder starts at 04/27/14 00:08:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:08:13 ########### + + +########## Tcl recorder starts at 04/27/14 00:08:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:08:17 ########### + + +########## Tcl recorder starts at 04/27/14 00:12:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:12:36 ########### + + +########## Tcl recorder starts at 04/27/14 00:12:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:12:57 ########### + + +########## Tcl recorder starts at 04/27/14 00:13:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:13:10 ########### + + +########## Tcl recorder starts at 04/27/14 00:13:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:13:11 ########### + + +########## Tcl recorder starts at 04/27/14 00:16:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:16:29 ########### + + +########## Tcl recorder starts at 04/27/14 00:18:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:18:33 ########### + + +########## Tcl recorder starts at 04/27/14 00:20:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:20:55 ########### + + +########## Tcl recorder starts at 04/27/14 00:21:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:21:02 ########### + + +########## Tcl recorder starts at 04/27/14 00:24:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:24:22 ########### + + +########## Tcl recorder starts at 04/27/14 00:24:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:24:29 ########### + + +########## Tcl recorder starts at 04/27/14 00:24:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:24:45 ########### + + +########## Tcl recorder starts at 04/27/14 00:24:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:24:46 ########### + + +########## Tcl recorder starts at 04/27/14 00:25:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:25:00 ########### + + +########## Tcl recorder starts at 04/27/14 00:25:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:25:01 ########### + + +########## Tcl recorder starts at 04/27/14 00:27:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:27:17 ########### + + +########## Tcl recorder starts at 04/27/14 00:27:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:27:25 ########### + + +########## Tcl recorder starts at 04/27/14 00:27:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:27:33 ########### + + +########## Tcl recorder starts at 04/27/14 00:30:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:30:14 ########### + + +########## Tcl recorder starts at 04/27/14 00:30:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:30:17 ########### + + +########## Tcl recorder starts at 04/27/14 00:33:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:33:12 ########### + + +########## Tcl recorder starts at 04/27/14 00:39:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:39:05 ########### + + +########## Tcl recorder starts at 04/27/14 00:39:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:39:42 ########### + + +########## Tcl recorder starts at 04/27/14 00:40:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:40:54 ########### + + +########## Tcl recorder starts at 04/27/14 00:41:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:41:19 ########### + + +########## Tcl recorder starts at 04/27/14 00:41:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:41:29 ########### + + +########## Tcl recorder starts at 04/27/14 00:42:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:42:10 ########### + + +########## Tcl recorder starts at 04/27/14 00:42:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:42:17 ########### + + +########## Tcl recorder starts at 04/27/14 00:45:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:45:10 ########### + + +########## Tcl recorder starts at 04/27/14 00:45:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:45:16 ########### + + +########## Tcl recorder starts at 04/27/14 00:46:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:46:12 ########### + + +########## Tcl recorder starts at 04/27/14 00:46:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:46:17 ########### + + +########## Tcl recorder starts at 04/27/14 00:53:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:53:39 ########### + + +########## Tcl recorder starts at 04/27/14 00:53:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:53:45 ########### + + +########## Tcl recorder starts at 04/27/14 00:57:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:57:38 ########### + + +########## Tcl recorder starts at 04/27/14 00:57:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:57:43 ########### + + +########## Tcl recorder starts at 04/27/14 00:58:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:58:41 ########### + + +########## Tcl recorder starts at 04/27/14 00:58:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 00:58:47 ########### + + +########## Tcl recorder starts at 04/27/14 01:00:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:00:17 ########### + + +########## Tcl recorder starts at 04/27/14 01:00:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:00:20 ########### + + +########## Tcl recorder starts at 04/27/14 01:01:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:01:17 ########### + + +########## Tcl recorder starts at 04/27/14 01:05:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:05:08 ########### + + +########## Tcl recorder starts at 04/27/14 01:05:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:05:30 ########### + + +########## Tcl recorder starts at 04/27/14 01:05:31 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:05:31 ########### + + +########## Tcl recorder starts at 04/27/14 01:06:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:06:06 ########### + + +########## Tcl recorder starts at 04/27/14 01:06:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:06:12 ########### + + +########## Tcl recorder starts at 04/27/14 01:08:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:08:17 ########### + + +########## Tcl recorder starts at 04/27/14 01:08:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:08:19 ########### + + +########## Tcl recorder starts at 04/27/14 01:08:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:08:55 ########### + + +########## Tcl recorder starts at 04/27/14 01:09:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:09:02 ########### + + +########## Tcl recorder starts at 04/27/14 01:10:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:10:46 ########### + + +########## Tcl recorder starts at 04/27/14 01:10:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:10:53 ########### + + +########## Tcl recorder starts at 04/27/14 01:13:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:13:41 ########### + + +########## Tcl recorder starts at 04/27/14 01:13:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:13:46 ########### + + +########## Tcl recorder starts at 04/27/14 01:16:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:16:48 ########### + + +########## Tcl recorder starts at 04/27/14 01:17:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:17:01 ########### + + +########## Tcl recorder starts at 04/27/14 01:19:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:19:18 ########### + + +########## Tcl recorder starts at 04/27/14 01:19:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:19:22 ########### + + +########## Tcl recorder starts at 04/27/14 01:20:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:20:31 ########### + + +########## Tcl recorder starts at 04/27/14 01:20:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:20:34 ########### + + +########## Tcl recorder starts at 04/27/14 01:25:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:25:02 ########### + + +########## Tcl recorder starts at 04/27/14 01:25:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:25:20 ########### + + +########## Tcl recorder starts at 04/27/14 01:25:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:25:31 ########### + + +########## Tcl recorder starts at 04/27/14 01:25:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 01:25:36 ########### + + +########## Tcl recorder starts at 04/27/14 07:58:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 07:58:36 ########### + + +########## Tcl recorder starts at 04/27/14 07:58:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 07:58:43 ########### + + +########## Tcl recorder starts at 04/27/14 10:05:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:05:51 ########### + + +########## Tcl recorder starts at 04/27/14 10:05:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:05:57 ########### + + +########## Tcl recorder starts at 04/27/14 10:06:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:06:09 ########### + + +########## Tcl recorder starts at 04/27/14 10:06:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:06:12 ########### + + +########## Tcl recorder starts at 04/27/14 10:07:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:07:45 ########### + + +########## Tcl recorder starts at 04/27/14 10:07:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:07:48 ########### + + +########## Tcl recorder starts at 04/27/14 10:08:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:08:19 ########### + + +########## Tcl recorder starts at 04/27/14 10:08:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:08:23 ########### + + +########## Tcl recorder starts at 04/27/14 10:09:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:09:39 ########### + + +########## Tcl recorder starts at 04/27/14 10:09:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:09:40 ########### + + +########## Tcl recorder starts at 04/27/14 10:10:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:10:42 ########### + + +########## Tcl recorder starts at 04/27/14 10:10:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:10:54 ########### + + +########## Tcl recorder starts at 04/27/14 10:11:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:11:03 ########### + + +########## Tcl recorder starts at 04/27/14 10:11:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:11:08 ########### + + +########## Tcl recorder starts at 04/27/14 10:11:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:11:44 ########### + + +########## Tcl recorder starts at 04/27/14 10:11:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:11:47 ########### + + +########## Tcl recorder starts at 04/27/14 10:12:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:12:33 ########### + + +########## Tcl recorder starts at 04/27/14 10:12:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:12:37 ########### + + +########## Tcl recorder starts at 04/27/14 10:12:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:12:39 ########### + + +########## Tcl recorder starts at 04/27/14 10:13:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:13:57 ########### + + +########## Tcl recorder starts at 04/27/14 10:13:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:13:59 ########### + + +########## Tcl recorder starts at 04/27/14 10:15:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:15:17 ########### + + +########## Tcl recorder starts at 04/27/14 10:15:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:15:22 ########### + + +########## Tcl recorder starts at 04/27/14 10:17:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:17:22 ########### + + +########## Tcl recorder starts at 04/27/14 10:17:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:17:42 ########### + + +########## Tcl recorder starts at 04/27/14 10:18:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:18:29 ########### + + +########## Tcl recorder starts at 04/27/14 10:18:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:18:36 ########### + + +########## Tcl recorder starts at 04/27/14 10:19:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:19:30 ########### + + +########## Tcl recorder starts at 04/27/14 10:19:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:19:33 ########### + + +########## Tcl recorder starts at 04/27/14 10:20:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:20:57 ########### + + +########## Tcl recorder starts at 04/27/14 10:21:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:21:13 ########### + + +########## Tcl recorder starts at 04/27/14 10:22:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:22:13 ########### + + +########## Tcl recorder starts at 04/27/14 10:22:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:22:18 ########### + + +########## Tcl recorder starts at 04/27/14 10:24:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:24:06 ########### + + +########## Tcl recorder starts at 04/27/14 10:25:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:25:08 ########### + + +########## Tcl recorder starts at 04/27/14 10:25:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:25:19 ########### + + +########## Tcl recorder starts at 04/27/14 10:25:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:25:25 ########### + + +########## Tcl recorder starts at 04/27/14 10:25:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:25:47 ########### + + +########## Tcl recorder starts at 04/27/14 10:25:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:25:51 ########### + + +########## Tcl recorder starts at 04/27/14 10:26:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:26:40 ########### + + +########## Tcl recorder starts at 04/27/14 10:27:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:27:47 ########### + + +########## Tcl recorder starts at 04/27/14 10:27:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:27:51 ########### + + +########## Tcl recorder starts at 04/27/14 10:29:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:29:37 ########### + + +########## Tcl recorder starts at 04/27/14 10:30:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:30:37 ########### + + +########## Tcl recorder starts at 04/27/14 10:31:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:31:22 ########### + + +########## Tcl recorder starts at 04/27/14 10:31:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:31:33 ########### + + +########## Tcl recorder starts at 04/27/14 10:31:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:31:49 ########### + + +########## Tcl recorder starts at 04/27/14 10:32:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:32:21 ########### + + +########## Tcl recorder starts at 04/27/14 10:32:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:32:23 ########### + + +########## Tcl recorder starts at 04/27/14 10:35:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:35:58 ########### + + +########## Tcl recorder starts at 04/27/14 10:36:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:36:12 ########### + + +########## Tcl recorder starts at 04/27/14 10:36:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:36:17 ########### + + +########## Tcl recorder starts at 04/27/14 10:37:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:37:28 ########### + + +########## Tcl recorder starts at 04/27/14 10:50:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:50:14 ########### + + +########## Tcl recorder starts at 04/27/14 10:50:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:50:55 ########### + + +########## Tcl recorder starts at 04/27/14 10:51:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 10:51:01 ########### + + +########## Tcl recorder starts at 04/27/14 11:03:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:03:54 ########### + + +########## Tcl recorder starts at 04/27/14 11:04:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:04:11 ########### + + +########## Tcl recorder starts at 04/27/14 11:09:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:09:27 ########### + + +########## Tcl recorder starts at 04/27/14 11:12:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:12:08 ########### + + +########## Tcl recorder starts at 04/27/14 11:12:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:12:25 ########### + + +########## Tcl recorder starts at 04/27/14 11:18:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:18:07 ########### + + +########## Tcl recorder starts at 04/27/14 11:18:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:18:33 ########### + + +########## Tcl recorder starts at 04/27/14 11:18:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:18:37 ########### + + +########## Tcl recorder starts at 04/27/14 11:18:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:18:42 ########### + + +########## Tcl recorder starts at 04/27/14 11:19:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:19:33 ########### + + +########## Tcl recorder starts at 04/27/14 11:27:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:27:36 ########### + + +########## Tcl recorder starts at 04/27/14 11:27:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:27:41 ########### + + +########## Tcl recorder starts at 04/27/14 11:30:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:30:44 ########### + + +########## Tcl recorder starts at 04/27/14 11:30:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:30:52 ########### + + +########## Tcl recorder starts at 04/27/14 11:31:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:31:49 ########### + + +########## Tcl recorder starts at 04/27/14 11:31:51 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:31:51 ########### + + +########## Tcl recorder starts at 04/27/14 11:32:28 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:32:28 ########### + + +########## Tcl recorder starts at 04/27/14 11:32:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:32:39 ########### + + +########## Tcl recorder starts at 04/27/14 11:35:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:35:01 ########### + + +########## Tcl recorder starts at 04/27/14 11:36:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:36:02 ########### + + +########## Tcl recorder starts at 04/27/14 11:36:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:36:07 ########### + + +########## Tcl recorder starts at 04/27/14 11:36:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:36:19 ########### + + +########## Tcl recorder starts at 04/27/14 11:36:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:36:20 ########### + + +########## Tcl recorder starts at 04/27/14 11:41:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:41:25 ########### + + +########## Tcl recorder starts at 04/27/14 11:41:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:41:27 ########### + + +########## Tcl recorder starts at 04/27/14 11:41:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:41:48 ########### + + +########## Tcl recorder starts at 04/27/14 11:43:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:43:20 ########### + + +########## Tcl recorder starts at 04/27/14 11:43:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:43:22 ########### + + +########## Tcl recorder starts at 04/27/14 11:44:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:44:08 ########### + + +########## Tcl recorder starts at 04/27/14 11:44:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:44:25 ########### + + +########## Tcl recorder starts at 04/27/14 11:44:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:44:32 ########### + + +########## Tcl recorder starts at 04/27/14 11:44:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:44:39 ########### + + +########## Tcl recorder starts at 04/27/14 11:47:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:47:08 ########### + + +########## Tcl recorder starts at 04/27/14 11:47:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:47:44 ########### + + +########## Tcl recorder starts at 04/27/14 11:47:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:47:47 ########### + + +########## Tcl recorder starts at 04/27/14 11:49:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:49:52 ########### + + +########## Tcl recorder starts at 04/27/14 11:50:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:50:10 ########### + + +########## Tcl recorder starts at 04/27/14 11:50:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:50:14 ########### + + +########## Tcl recorder starts at 04/27/14 11:50:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:50:34 ########### + + +########## Tcl recorder starts at 04/27/14 11:50:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 11:50:36 ########### + + +########## Tcl recorder starts at 04/27/14 16:50:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:50:08 ########### + + +########## Tcl recorder starts at 04/27/14 16:50:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:50:28 ########### + + +########## Tcl recorder starts at 04/27/14 16:52:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:52:18 ########### + + +########## Tcl recorder starts at 04/27/14 16:52:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:52:34 ########### + + +########## Tcl recorder starts at 04/27/14 16:52:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:52:40 ########### + + +########## Tcl recorder starts at 04/27/14 16:55:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:55:33 ########### + + +########## Tcl recorder starts at 04/27/14 16:56:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:56:18 ########### + + +########## Tcl recorder starts at 04/27/14 16:56:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:56:24 ########### + + +########## Tcl recorder starts at 04/27/14 16:57:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:57:35 ########### + + +########## Tcl recorder starts at 04/27/14 16:57:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 16:57:47 ########### + + +########## Tcl recorder starts at 04/27/14 18:01:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:01:32 ########### + + +########## Tcl recorder starts at 04/27/14 18:01:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:01:50 ########### + + +########## Tcl recorder starts at 04/27/14 18:02:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:02:05 ########### + + +########## Tcl recorder starts at 04/27/14 18:02:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:02:07 ########### + + +########## Tcl recorder starts at 04/27/14 18:02:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:02:36 ########### + + +########## Tcl recorder starts at 04/27/14 18:03:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:03:01 ########### + + +########## Tcl recorder starts at 04/27/14 18:03:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:03:46 ########### + + +########## Tcl recorder starts at 04/27/14 18:03:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:03:49 ########### + + +########## Tcl recorder starts at 04/27/14 18:04:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:04:33 ########### + + +########## Tcl recorder starts at 04/27/14 18:04:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:04:41 ########### + + +########## Tcl recorder starts at 04/27/14 18:14:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:14:07 ########### + + +########## Tcl recorder starts at 04/27/14 18:19:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:19:04 ########### + + +########## Tcl recorder starts at 04/27/14 18:19:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:19:24 ########### + + +########## Tcl recorder starts at 04/27/14 18:20:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:20:23 ########### + + +########## Tcl recorder starts at 04/27/14 18:20:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:20:27 ########### + + +########## Tcl recorder starts at 04/27/14 18:23:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:23:49 ########### + + +########## Tcl recorder starts at 04/27/14 18:24:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:24:11 ########### + + +########## Tcl recorder starts at 04/27/14 18:26:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:26:38 ########### + + +########## Tcl recorder starts at 04/27/14 18:27:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:27:12 ########### + + +########## Tcl recorder starts at 04/27/14 18:27:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:27:55 ########### + + +########## Tcl recorder starts at 04/27/14 18:29:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:29:34 ########### + + +########## Tcl recorder starts at 04/27/14 18:31:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:31:00 ########### + + +########## Tcl recorder starts at 04/27/14 18:31:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:31:04 ########### + + +########## Tcl recorder starts at 04/27/14 18:31:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:31:15 ########### + + +########## Tcl recorder starts at 04/27/14 18:31:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:31:17 ########### + + +########## Tcl recorder starts at 04/27/14 18:31:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:31:44 ########### + + +########## Tcl recorder starts at 04/27/14 18:31:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:31:53 ########### + + +########## Tcl recorder starts at 04/27/14 18:35:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:35:00 ########### + + +########## Tcl recorder starts at 04/27/14 18:35:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:35:03 ########### + + +########## Tcl recorder starts at 04/27/14 18:36:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:36:04 ########### + + +########## Tcl recorder starts at 04/27/14 18:36:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/27/14 18:36:08 ########### + + +########## Tcl recorder starts at 04/28/14 23:12:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:12:00 ########### + + +########## Tcl recorder starts at 04/28/14 23:12:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:12:11 ########### + + +########## Tcl recorder starts at 04/28/14 23:15:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:15:54 ########### + + +########## Tcl recorder starts at 04/28/14 23:15:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:15:56 ########### + + +########## Tcl recorder starts at 04/28/14 23:16:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:16:51 ########### + + +########## Tcl recorder starts at 04/28/14 23:16:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:16:52 ########### + + +########## Tcl recorder starts at 04/28/14 23:18:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:18:08 ########### + + +########## Tcl recorder starts at 04/28/14 23:18:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:18:11 ########### + + +########## Tcl recorder starts at 04/28/14 23:18:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:18:26 ########### + + +########## Tcl recorder starts at 04/28/14 23:18:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:18:29 ########### + + +########## Tcl recorder starts at 04/28/14 23:19:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:19:17 ########### + + +########## Tcl recorder starts at 04/28/14 23:19:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:19:21 ########### + + +########## Tcl recorder starts at 04/28/14 23:20:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:20:12 ########### + + +########## Tcl recorder starts at 04/28/14 23:20:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:20:14 ########### + + +########## Tcl recorder starts at 04/28/14 23:22:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:22:35 ########### + + +########## Tcl recorder starts at 04/28/14 23:22:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:22:39 ########### + + +########## Tcl recorder starts at 04/28/14 23:22:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:22:58 ########### + + +########## Tcl recorder starts at 04/28/14 23:23:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:23:31 ########### + + +########## Tcl recorder starts at 04/28/14 23:23:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:23:34 ########### + + +########## Tcl recorder starts at 04/28/14 23:23:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:23:48 ########### + + +########## Tcl recorder starts at 04/28/14 23:23:56 ########## + +# Commands to make the Process: +# ISC-1532 File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:23:56 ########### + + +########## Tcl recorder starts at 04/28/14 23:26:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:26:06 ########### + + +########## Tcl recorder starts at 04/28/14 23:26:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:26:08 ########### + + +########## Tcl recorder starts at 04/28/14 23:26:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:26:27 ########### + + +########## Tcl recorder starts at 04/28/14 23:26:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:26:29 ########### + + +########## Tcl recorder starts at 04/28/14 23:27:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:27:55 ########### + + +########## Tcl recorder starts at 04/28/14 23:27:56 ########## + +# Commands to make the Process: +# Post-Fit Pinouts +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Post-Fit Pinouts +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:27:56 ########### + + +########## Tcl recorder starts at 04/28/14 23:33:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:33:32 ########### + + +########## Tcl recorder starts at 04/28/14 23:33:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:33:36 ########### + + +########## Tcl recorder starts at 04/28/14 23:34:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:34:58 ########### + + +########## Tcl recorder starts at 04/28/14 23:35:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:35:01 ########### + + +########## Tcl recorder starts at 04/28/14 23:40:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:40:57 ########### + + +########## Tcl recorder starts at 04/28/14 23:41:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/28/14 23:41:09 ########### + + +########## Tcl recorder starts at 04/29/14 08:22:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 08:22:01 ########### + + +########## Tcl recorder starts at 04/29/14 08:22:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 08:22:05 ########### + + +########## Tcl recorder starts at 04/29/14 08:24:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 08:24:46 ########### + + +########## Tcl recorder starts at 04/29/14 08:24:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 08:24:52 ########### + + +########## Tcl recorder starts at 04/29/14 18:14:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 18:14:54 ########### + + +########## Tcl recorder starts at 04/29/14 22:01:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:01:00 ########### + + +########## Tcl recorder starts at 04/29/14 22:01:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:01:06 ########### + + +########## Tcl recorder starts at 04/29/14 22:03:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:03:25 ########### + + +########## Tcl recorder starts at 04/29/14 22:03:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:03:30 ########### + + +########## Tcl recorder starts at 04/29/14 22:05:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:05:53 ########### + + +########## Tcl recorder starts at 04/29/14 22:07:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:07:50 ########### + + +########## Tcl recorder starts at 04/29/14 22:08:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:08:01 ########### + + +########## Tcl recorder starts at 04/29/14 22:09:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:09:01 ########### + + +########## Tcl recorder starts at 04/29/14 22:09:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:09:03 ########### + + +########## Tcl recorder starts at 04/29/14 22:10:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:10:17 ########### + + +########## Tcl recorder starts at 04/29/14 22:10:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:10:20 ########### + + +########## Tcl recorder starts at 04/29/14 22:12:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:12:02 ########### + + +########## Tcl recorder starts at 04/29/14 22:12:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:12:03 ########### + + +########## Tcl recorder starts at 04/29/14 22:12:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:12:37 ########### + + +########## Tcl recorder starts at 04/29/14 22:13:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:13:28 ########### + + +########## Tcl recorder starts at 04/29/14 22:13:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:13:34 ########### + + +########## Tcl recorder starts at 04/29/14 22:14:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:14:27 ########### + + +########## Tcl recorder starts at 04/29/14 22:14:29 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:14:29 ########### + + +########## Tcl recorder starts at 04/29/14 22:17:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:17:36 ########### + + +########## Tcl recorder starts at 04/29/14 22:20:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:20:19 ########### + + +########## Tcl recorder starts at 04/29/14 22:20:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:20:23 ########### + + +########## Tcl recorder starts at 04/29/14 22:21:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:21:04 ########### + + +########## Tcl recorder starts at 04/29/14 22:21:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:21:06 ########### + + +########## Tcl recorder starts at 04/29/14 22:22:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:22:07 ########### + + +########## Tcl recorder starts at 04/29/14 22:22:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:22:10 ########### + + +########## Tcl recorder starts at 04/29/14 22:24:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:24:05 ########### + + +########## Tcl recorder starts at 04/29/14 22:24:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:24:09 ########### + + +########## Tcl recorder starts at 04/29/14 22:29:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:29:44 ########### + + +########## Tcl recorder starts at 04/29/14 22:29:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/29/14 22:29:58 ########### + + +########## Tcl recorder starts at 04/30/14 16:53:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 16:53:57 ########### + + +########## Tcl recorder starts at 04/30/14 16:55:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 16:55:36 ########### + + +########## Tcl recorder starts at 04/30/14 16:55:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 16:55:40 ########### + + +########## Tcl recorder starts at 04/30/14 16:55:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 16:55:51 ########### + + +########## Tcl recorder starts at 04/30/14 16:55:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 16:55:53 ########### + + +########## Tcl recorder starts at 04/30/14 16:56:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 16:56:17 ########### + + +########## Tcl recorder starts at 04/30/14 16:56:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 16:56:22 ########### + + +########## Tcl recorder starts at 04/30/14 17:00:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:00:28 ########### + + +########## Tcl recorder starts at 04/30/14 17:00:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:00:35 ########### + + +########## Tcl recorder starts at 04/30/14 17:01:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:01:11 ########### + + +########## Tcl recorder starts at 04/30/14 17:01:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:01:16 ########### + + +########## Tcl recorder starts at 04/30/14 17:02:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:02:32 ########### + + +########## Tcl recorder starts at 04/30/14 17:04:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:04:57 ########### + + +########## Tcl recorder starts at 04/30/14 17:05:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:05:56 ########### + + +########## Tcl recorder starts at 04/30/14 17:05:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:05:58 ########### + + +########## Tcl recorder starts at 04/30/14 17:13:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:13:48 ########### + + +########## Tcl recorder starts at 04/30/14 17:13:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:13:59 ########### + + +########## Tcl recorder starts at 04/30/14 17:14:05 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:14:05 ########### + + +########## Tcl recorder starts at 04/30/14 17:14:33 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:14:33 ########### + + +########## Tcl recorder starts at 04/30/14 17:15:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:15:44 ########### + + +########## Tcl recorder starts at 04/30/14 17:15:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:15:53 ########### + + +########## Tcl recorder starts at 04/30/14 17:18:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:18:06 ########### + + +########## Tcl recorder starts at 04/30/14 17:18:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:18:15 ########### + + +########## Tcl recorder starts at 04/30/14 17:18:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:18:34 ########### + + +########## Tcl recorder starts at 04/30/14 17:21:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:21:37 ########### + + +########## Tcl recorder starts at 04/30/14 17:21:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:21:58 ########### + + +########## Tcl recorder starts at 04/30/14 17:22:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:22:05 ########### + + +########## Tcl recorder starts at 04/30/14 17:27:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:27:34 ########### + + +########## Tcl recorder starts at 04/30/14 17:29:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:29:17 ########### + + +########## Tcl recorder starts at 04/30/14 17:29:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:29:42 ########### + + +########## Tcl recorder starts at 04/30/14 17:30:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:30:12 ########### + + +########## Tcl recorder starts at 04/30/14 17:30:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:30:14 ########### + + +########## Tcl recorder starts at 04/30/14 17:33:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:33:24 ########### + + +########## Tcl recorder starts at 04/30/14 17:33:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:33:53 ########### + + +########## Tcl recorder starts at 04/30/14 17:35:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:35:56 ########### + + +########## Tcl recorder starts at 04/30/14 17:36:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:36:01 ########### + + +########## Tcl recorder starts at 04/30/14 17:45:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:45:07 ########### + + +########## Tcl recorder starts at 04/30/14 17:45:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:45:08 ########### + + +########## Tcl recorder starts at 04/30/14 17:47:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:47:13 ########### + + +########## Tcl recorder starts at 04/30/14 17:47:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:47:27 ########### + + +########## Tcl recorder starts at 04/30/14 17:49:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:49:45 ########### + + +########## Tcl recorder starts at 04/30/14 17:49:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 17:49:54 ########### + + +########## Tcl recorder starts at 04/30/14 18:19:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:19:33 ########### + + +########## Tcl recorder starts at 04/30/14 18:19:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:19:47 ########### + + +########## Tcl recorder starts at 04/30/14 18:20:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:20:05 ########### + + +########## Tcl recorder starts at 04/30/14 18:20:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:20:30 ########### + + +########## Tcl recorder starts at 04/30/14 18:20:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:20:35 ########### + + +########## Tcl recorder starts at 04/30/14 18:21:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:21:00 ########### + + +########## Tcl recorder starts at 04/30/14 18:21:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:21:06 ########### + + +########## Tcl recorder starts at 04/30/14 18:36:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:36:51 ########### + + +########## Tcl recorder starts at 04/30/14 18:37:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:37:43 ########### + + +########## Tcl recorder starts at 04/30/14 18:37:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:37:48 ########### + + +########## Tcl recorder starts at 04/30/14 18:43:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 18:43:08 ########### + + +########## Tcl recorder starts at 04/30/14 19:43:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 19:43:35 ########### + + +########## Tcl recorder starts at 04/30/14 19:44:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 19:44:08 ########### + + +########## Tcl recorder starts at 04/30/14 19:44:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 19:44:45 ########### + + +########## Tcl recorder starts at 04/30/14 20:10:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:10:17 ########### + + +########## Tcl recorder starts at 04/30/14 20:11:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:11:19 ########### + + +########## Tcl recorder starts at 04/30/14 20:11:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:11:25 ########### + + +########## Tcl recorder starts at 04/30/14 20:11:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:11:49 ########### + + +########## Tcl recorder starts at 04/30/14 20:13:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:13:35 ########### + + +########## Tcl recorder starts at 04/30/14 20:14:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:14:05 ########### + + +########## Tcl recorder starts at 04/30/14 20:15:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:15:07 ########### + + +########## Tcl recorder starts at 04/30/14 20:16:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:16:42 ########### + + +########## Tcl recorder starts at 04/30/14 20:31:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:31:34 ########### + + +########## Tcl recorder starts at 04/30/14 20:31:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:31:40 ########### + + +########## Tcl recorder starts at 04/30/14 20:37:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:37:11 ########### + + +########## Tcl recorder starts at 04/30/14 20:37:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:37:19 ########### + + +########## Tcl recorder starts at 04/30/14 20:41:34 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:41:34 ########### + + +########## Tcl recorder starts at 04/30/14 20:42:27 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:42:27 ########### + + +########## Tcl recorder starts at 04/30/14 20:43:51 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:43:51 ########### + + +########## Tcl recorder starts at 04/30/14 20:44:31 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:44:31 ########### + + +########## Tcl recorder starts at 04/30/14 20:46:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:46:21 ########### + + +########## Tcl recorder starts at 04/30/14 20:47:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:47:01 ########### + + +########## Tcl recorder starts at 04/30/14 20:47:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 04/30/14 20:47:07 ########### + + +########## Tcl recorder starts at 05/01/14 12:42:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:42:12 ########### + + +########## Tcl recorder starts at 05/01/14 12:42:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:42:12 ########### + + +########## Tcl recorder starts at 05/01/14 12:42:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:42:28 ########### + + +########## Tcl recorder starts at 05/01/14 12:43:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:43:42 ########### + + +########## Tcl recorder starts at 05/01/14 12:43:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:43:45 ########### + + +########## Tcl recorder starts at 05/01/14 12:49:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:49:37 ########### + + +########## Tcl recorder starts at 05/01/14 12:49:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:49:37 ########### + + +########## Tcl recorder starts at 05/01/14 12:50:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:50:54 ########### + + +########## Tcl recorder starts at 05/01/14 12:51:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:51:02 ########### + + +########## Tcl recorder starts at 05/01/14 12:51:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:51:51 ########### + + +########## Tcl recorder starts at 05/01/14 12:51:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:51:58 ########### + + +########## Tcl recorder starts at 05/01/14 12:52:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:52:10 ########### + + +########## Tcl recorder starts at 05/01/14 12:53:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:53:31 ########### + + +########## Tcl recorder starts at 05/01/14 12:53:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:53:54 ########### + + +########## Tcl recorder starts at 05/01/14 12:57:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:57:34 ########### + + +########## Tcl recorder starts at 05/01/14 12:58:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:58:01 ########### + + +########## Tcl recorder starts at 05/01/14 12:59:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:59:02 ########### + + +########## Tcl recorder starts at 05/01/14 12:59:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:59:26 ########### + + +########## Tcl recorder starts at 05/01/14 12:59:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 12:59:27 ########### + + +########## Tcl recorder starts at 05/01/14 13:00:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:00:39 ########### + + +########## Tcl recorder starts at 05/01/14 13:00:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:00:41 ########### + + +########## Tcl recorder starts at 05/01/14 13:02:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:02:12 ########### + + +########## Tcl recorder starts at 05/01/14 13:02:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:02:47 ########### + + +########## Tcl recorder starts at 05/01/14 13:09:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:09:39 ########### + + +########## Tcl recorder starts at 05/01/14 13:19:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:19:14 ########### + + +########## Tcl recorder starts at 05/01/14 13:19:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:19:15 ########### + + +########## Tcl recorder starts at 05/01/14 13:20:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:20:03 ########### + + +########## Tcl recorder starts at 05/01/14 13:20:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:20:05 ########### + + +########## Tcl recorder starts at 05/01/14 13:20:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:20:19 ########### + + +########## Tcl recorder starts at 05/01/14 13:20:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:20:21 ########### + + +########## Tcl recorder starts at 05/01/14 13:20:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:20:36 ########### + + +########## Tcl recorder starts at 05/01/14 13:20:38 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:20:38 ########### + + +########## Tcl recorder starts at 05/01/14 13:20:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:20:55 ########### + + +########## Tcl recorder starts at 05/01/14 13:21:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:21:00 ########### + + +########## Tcl recorder starts at 05/01/14 13:21:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:21:13 ########### + + +########## Tcl recorder starts at 05/01/14 13:21:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:21:15 ########### + + +########## Tcl recorder starts at 05/01/14 13:21:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:21:37 ########### + + +########## Tcl recorder starts at 05/01/14 13:21:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:21:40 ########### + + +########## Tcl recorder starts at 05/01/14 13:24:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:24:45 ########### + + +########## Tcl recorder starts at 05/01/14 13:24:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:24:51 ########### + + +########## Tcl recorder starts at 05/01/14 13:33:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:33:24 ########### + + +########## Tcl recorder starts at 05/01/14 13:33:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:33:26 ########### + + +########## Tcl recorder starts at 05/01/14 13:34:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:34:51 ########### + + +########## Tcl recorder starts at 05/01/14 13:34:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:34:55 ########### + + +########## Tcl recorder starts at 05/01/14 13:37:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:37:30 ########### + + +########## Tcl recorder starts at 05/01/14 13:37:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:37:55 ########### + + +########## Tcl recorder starts at 05/01/14 13:38:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:38:03 ########### + + +########## Tcl recorder starts at 05/01/14 13:41:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:41:40 ########### + + +########## Tcl recorder starts at 05/01/14 13:41:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:41:46 ########### + + +########## Tcl recorder starts at 05/01/14 13:42:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:42:55 ########### + + +########## Tcl recorder starts at 05/01/14 13:43:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:43:06 ########### + + +########## Tcl recorder starts at 05/01/14 13:43:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:43:14 ########### + + +########## Tcl recorder starts at 05/01/14 13:44:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:44:18 ########### + + +########## Tcl recorder starts at 05/01/14 13:44:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 13:44:20 ########### + + +########## Tcl recorder starts at 05/01/14 15:58:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 15:58:24 ########### + + +########## Tcl recorder starts at 05/01/14 15:58:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 15:58:35 ########### + + +########## Tcl recorder starts at 05/01/14 18:26:45 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 18:26:45 ########### + + +########## Tcl recorder starts at 05/01/14 19:03:56 ########## + +# Commands to make the Process: +# Optimization Constraint +# - none - +# Application to view the Process: +# Optimization Constraint +if [catch {open opt_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" +} else { + puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp +" + close $rspFile +} +if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:03:56 ########### + + +########## Tcl recorder starts at 05/01/14 19:04:15 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:04:15 ########### + + +########## Tcl recorder starts at 05/01/14 19:05:16 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:05:16 ########### + + +########## Tcl recorder starts at 05/01/14 19:17:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:17:13 ########### + + +########## Tcl recorder starts at 05/01/14 19:20:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:20:03 ########### + + +########## Tcl recorder starts at 05/01/14 19:20:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:20:11 ########### + + +########## Tcl recorder starts at 05/01/14 19:29:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:29:33 ########### + + +########## Tcl recorder starts at 05/01/14 19:29:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:29:39 ########### + + +########## Tcl recorder starts at 05/01/14 19:31:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:31:08 ########### + + +########## Tcl recorder starts at 05/01/14 19:31:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:31:14 ########### + + +########## Tcl recorder starts at 05/01/14 19:35:28 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:35:28 ########### + + +########## Tcl recorder starts at 05/01/14 19:35:51 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:35:51 ########### + + +########## Tcl recorder starts at 05/01/14 19:37:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:37:41 ########### + + +########## Tcl recorder starts at 05/01/14 19:39:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:39:07 ########### + + +########## Tcl recorder starts at 05/01/14 19:39:10 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:39:10 ########### + + +########## Tcl recorder starts at 05/01/14 19:39:32 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:39:32 ########### + + +########## Tcl recorder starts at 05/01/14 19:41:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:41:56 ########### + + +########## Tcl recorder starts at 05/01/14 19:42:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:42:01 ########### + + +########## Tcl recorder starts at 05/01/14 19:50:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:50:02 ########### + + +########## Tcl recorder starts at 05/01/14 19:50:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:50:04 ########### + + +########## Tcl recorder starts at 05/01/14 19:51:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:51:06 ########### + + +########## Tcl recorder starts at 05/01/14 19:51:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:51:22 ########### + + +########## Tcl recorder starts at 05/01/14 19:51:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 19:51:24 ########### + + +########## Tcl recorder starts at 05/01/14 20:12:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:12:55 ########### + + +########## Tcl recorder starts at 05/01/14 20:12:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:12:55 ########### + + +########## Tcl recorder starts at 05/01/14 20:15:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:15:38 ########### + + +########## Tcl recorder starts at 05/01/14 20:15:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:15:58 ########### + + +########## Tcl recorder starts at 05/01/14 20:17:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:17:41 ########### + + +########## Tcl recorder starts at 05/01/14 20:17:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:17:44 ########### + + +########## Tcl recorder starts at 05/01/14 20:26:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:26:18 ########### + + +########## Tcl recorder starts at 05/01/14 20:27:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 20:27:08 ########### + + +########## Tcl recorder starts at 05/01/14 23:18:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 23:18:19 ########### + + +########## Tcl recorder starts at 05/01/14 23:18:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 23:18:27 ########### + + +########## Tcl recorder starts at 05/01/14 23:26:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/01/14 23:26:12 ########### + + +########## Tcl recorder starts at 05/02/14 11:10:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:10:40 ########### + + +########## Tcl recorder starts at 05/02/14 11:11:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:11:22 ########### + + +########## Tcl recorder starts at 05/02/14 11:11:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:11:44 ########### + + +########## Tcl recorder starts at 05/02/14 11:11:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:11:46 ########### + + +########## Tcl recorder starts at 05/02/14 11:16:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:16:01 ########### + + +########## Tcl recorder starts at 05/02/14 11:16:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:16:13 ########### + + +########## Tcl recorder starts at 05/02/14 11:16:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:16:21 ########### + + +########## Tcl recorder starts at 05/02/14 11:30:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:30:03 ########### + + +########## Tcl recorder starts at 05/02/14 11:30:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:30:03 ########### + + +########## Tcl recorder starts at 05/02/14 11:33:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:33:50 ########### + + +########## Tcl recorder starts at 05/02/14 11:33:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:33:55 ########### + + +########## Tcl recorder starts at 05/02/14 11:34:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:34:12 ########### + + +########## Tcl recorder starts at 05/02/14 11:34:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:34:50 ########### + + +########## Tcl recorder starts at 05/02/14 11:35:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:35:12 ########### + + +########## Tcl recorder starts at 05/02/14 11:35:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:35:24 ########### + + +########## Tcl recorder starts at 05/02/14 11:35:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:35:26 ########### + + +########## Tcl recorder starts at 05/02/14 11:36:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:36:29 ########### + + +########## Tcl recorder starts at 05/02/14 11:36:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:36:43 ########### + + +########## Tcl recorder starts at 05/02/14 11:39:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:39:45 ########### + + +########## Tcl recorder starts at 05/02/14 11:39:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:39:47 ########### + + +########## Tcl recorder starts at 05/02/14 11:40:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:40:02 ########### + + +########## Tcl recorder starts at 05/02/14 11:40:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:40:03 ########### + + +########## Tcl recorder starts at 05/02/14 11:43:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:43:02 ########### + + +########## Tcl recorder starts at 05/02/14 11:44:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:44:39 ########### + + +########## Tcl recorder starts at 05/02/14 11:44:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:44:43 ########### + + +########## Tcl recorder starts at 05/02/14 11:45:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:45:21 ########### + + +########## Tcl recorder starts at 05/02/14 11:45:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:45:26 ########### + + +########## Tcl recorder starts at 05/02/14 11:50:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:50:37 ########### + + +########## Tcl recorder starts at 05/02/14 11:50:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:50:52 ########### + + +########## Tcl recorder starts at 05/02/14 11:50:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:50:59 ########### + + +########## Tcl recorder starts at 05/02/14 11:53:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:53:41 ########### + + +########## Tcl recorder starts at 05/02/14 11:54:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:54:33 ########### + + +########## Tcl recorder starts at 05/02/14 11:54:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:54:43 ########### + + +########## Tcl recorder starts at 05/02/14 11:55:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:55:03 ########### + + +########## Tcl recorder starts at 05/02/14 11:57:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:57:07 ########### + + +########## Tcl recorder starts at 05/02/14 11:57:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 11:57:16 ########### + + +########## Tcl recorder starts at 05/02/14 12:12:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:12:11 ########### + + +########## Tcl recorder starts at 05/02/14 12:12:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:12:13 ########### + + +########## Tcl recorder starts at 05/02/14 12:16:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:16:17 ########### + + +########## Tcl recorder starts at 05/02/14 12:16:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:16:59 ########### + + +########## Tcl recorder starts at 05/02/14 12:17:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:17:35 ########### + + +########## Tcl recorder starts at 05/02/14 12:20:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:20:50 ########### + + +########## Tcl recorder starts at 05/02/14 12:22:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:22:53 ########### + + +########## Tcl recorder starts at 05/02/14 12:25:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:25:26 ########### + + +########## Tcl recorder starts at 05/02/14 12:25:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:25:26 ########### + + +########## Tcl recorder starts at 05/02/14 12:26:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:26:11 ########### + + +########## Tcl recorder starts at 05/02/14 12:26:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:26:21 ########### + + +########## Tcl recorder starts at 05/02/14 12:32:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:32:54 ########### + + +########## Tcl recorder starts at 05/02/14 12:32:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:32:59 ########### + + +########## Tcl recorder starts at 05/02/14 12:40:45 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:40:45 ########### + + +########## Tcl recorder starts at 05/02/14 12:41:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:41:14 ########### + + +########## Tcl recorder starts at 05/02/14 12:49:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:49:31 ########### + + +########## Tcl recorder starts at 05/02/14 12:51:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:51:29 ########### + + +########## Tcl recorder starts at 05/02/14 12:51:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 12:51:42 ########### + + +########## Tcl recorder starts at 05/02/14 16:16:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:16:06 ########### + + +########## Tcl recorder starts at 05/02/14 16:16:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:16:46 ########### + + +########## Tcl recorder starts at 05/02/14 16:16:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:16:51 ########### + + +########## Tcl recorder starts at 05/02/14 16:17:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:17:27 ########### + + +########## Tcl recorder starts at 05/02/14 16:17:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:17:28 ########### + + +########## Tcl recorder starts at 05/02/14 16:22:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:22:43 ########### + + +########## Tcl recorder starts at 05/02/14 16:22:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:22:49 ########### + + +########## Tcl recorder starts at 05/02/14 16:25:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:25:10 ########### + + +########## Tcl recorder starts at 05/02/14 16:25:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:25:14 ########### + + +########## Tcl recorder starts at 05/02/14 16:26:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:26:25 ########### + + +########## Tcl recorder starts at 05/02/14 16:26:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 16:26:44 ########### + + +########## Tcl recorder starts at 05/02/14 19:08:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:08:32 ########### + + +########## Tcl recorder starts at 05/02/14 19:08:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:08:39 ########### + + +########## Tcl recorder starts at 05/02/14 19:09:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:09:36 ########### + + +########## Tcl recorder starts at 05/02/14 19:09:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:09:39 ########### + + +########## Tcl recorder starts at 05/02/14 19:10:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:10:17 ########### + + +########## Tcl recorder starts at 05/02/14 19:10:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:10:22 ########### + + +########## Tcl recorder starts at 05/02/14 19:11:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:11:13 ########### + + +########## Tcl recorder starts at 05/02/14 19:11:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:11:16 ########### + + +########## Tcl recorder starts at 05/02/14 19:14:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:14:31 ########### + + +########## Tcl recorder starts at 05/02/14 19:14:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:14:36 ########### + + +########## Tcl recorder starts at 05/02/14 19:16:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:16:01 ########### + + +########## Tcl recorder starts at 05/02/14 19:16:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:16:39 ########### + + +########## Tcl recorder starts at 05/02/14 19:16:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:16:44 ########### + + +########## Tcl recorder starts at 05/02/14 19:17:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:17:37 ########### + + +########## Tcl recorder starts at 05/02/14 19:17:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/02/14 19:17:42 ########### + + +########## Tcl recorder starts at 05/03/14 11:10:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:10:31 ########### + + +########## Tcl recorder starts at 05/03/14 11:10:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:10:39 ########### + + +########## Tcl recorder starts at 05/03/14 11:12:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:12:56 ########### + + +########## Tcl recorder starts at 05/03/14 11:13:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:13:13 ########### + + +########## Tcl recorder starts at 05/03/14 11:14:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:14:23 ########### + + +########## Tcl recorder starts at 05/03/14 11:14:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:14:41 ########### + + +########## Tcl recorder starts at 05/03/14 11:14:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:14:48 ########### + + +########## Tcl recorder starts at 05/03/14 11:15:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:15:46 ########### + + +########## Tcl recorder starts at 05/03/14 11:15:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:15:51 ########### + + +########## Tcl recorder starts at 05/03/14 11:16:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:16:26 ########### + + +########## Tcl recorder starts at 05/03/14 11:16:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:16:28 ########### + + +########## Tcl recorder starts at 05/03/14 11:17:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:17:20 ########### + + +########## Tcl recorder starts at 05/03/14 11:17:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:17:23 ########### + + +########## Tcl recorder starts at 05/03/14 11:33:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 11:33:05 ########### + + +########## Tcl recorder starts at 05/03/14 20:54:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 20:54:38 ########### + + +########## Tcl recorder starts at 05/03/14 20:55:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 20:55:33 ########### + + +########## Tcl recorder starts at 05/03/14 21:02:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 21:02:00 ########### + + +########## Tcl recorder starts at 05/03/14 21:02:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 21:02:02 ########### + + +########## Tcl recorder starts at 05/03/14 21:59:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 21:59:42 ########### + + +########## Tcl recorder starts at 05/03/14 21:59:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 21:59:53 ########### + + +########## Tcl recorder starts at 05/03/14 22:02:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 22:02:32 ########### + + +########## Tcl recorder starts at 05/03/14 22:02:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 22:02:40 ########### + + +########## Tcl recorder starts at 05/03/14 22:02:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 22:02:45 ########### + + +########## Tcl recorder starts at 05/03/14 22:03:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 22:03:51 ########### + + +########## Tcl recorder starts at 05/03/14 22:04:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 22:04:05 ########### + + +########## Tcl recorder starts at 05/03/14 22:04:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/03/14 22:04:16 ########### + + +########## Tcl recorder starts at 05/04/14 10:18:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:18:22 ########### + + +########## Tcl recorder starts at 05/04/14 10:20:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:20:27 ########### + + +########## Tcl recorder starts at 05/04/14 10:40:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:40:08 ########### + + +########## Tcl recorder starts at 05/04/14 10:41:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:41:01 ########### + + +########## Tcl recorder starts at 05/04/14 10:41:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:41:20 ########### + + +########## Tcl recorder starts at 05/04/14 10:41:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:41:27 ########### + + +########## Tcl recorder starts at 05/04/14 10:41:41 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:41:41 ########### + + +########## Tcl recorder starts at 05/04/14 10:54:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:54:32 ########### + + +########## Tcl recorder starts at 05/04/14 10:55:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 10:55:20 ########### + + +########## Tcl recorder starts at 05/04/14 11:02:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:02:42 ########### + + +########## Tcl recorder starts at 05/04/14 11:02:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:02:47 ########### + + +########## Tcl recorder starts at 05/04/14 11:03:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:03:42 ########### + + +########## Tcl recorder starts at 05/04/14 11:03:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:03:47 ########### + + +########## Tcl recorder starts at 05/04/14 11:04:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:04:54 ########### + + +########## Tcl recorder starts at 05/04/14 11:05:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:05:05 ########### + + +########## Tcl recorder starts at 05/04/14 11:05:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:05:09 ########### + + +########## Tcl recorder starts at 05/04/14 11:06:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:06:04 ########### + + +########## Tcl recorder starts at 05/04/14 11:06:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:06:05 ########### + + +########## Tcl recorder starts at 05/04/14 11:07:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:07:04 ########### + + +########## Tcl recorder starts at 05/04/14 11:07:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:07:09 ########### + + +########## Tcl recorder starts at 05/04/14 11:12:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:12:55 ########### + + +########## Tcl recorder starts at 05/04/14 11:13:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:13:02 ########### + + +########## Tcl recorder starts at 05/04/14 11:14:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:14:14 ########### + + +########## Tcl recorder starts at 05/04/14 11:14:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:14:16 ########### + + +########## Tcl recorder starts at 05/04/14 11:20:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:20:03 ########### + + +########## Tcl recorder starts at 05/04/14 11:20:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:20:07 ########### + + +########## Tcl recorder starts at 05/04/14 11:21:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:21:03 ########### + + +########## Tcl recorder starts at 05/04/14 11:21:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:21:05 ########### + + +########## Tcl recorder starts at 05/04/14 11:22:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:22:09 ########### + + +########## Tcl recorder starts at 05/04/14 11:22:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:22:11 ########### + + +########## Tcl recorder starts at 05/04/14 11:54:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:54:35 ########### + + +########## Tcl recorder starts at 05/04/14 11:54:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:54:48 ########### + + +########## Tcl recorder starts at 05/04/14 11:59:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:59:33 ########### + + +########## Tcl recorder starts at 05/04/14 11:59:38 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 11:59:38 ########### + + +########## Tcl recorder starts at 05/04/14 12:00:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:00:33 ########### + + +########## Tcl recorder starts at 05/04/14 12:00:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:00:37 ########### + + +########## Tcl recorder starts at 05/04/14 12:08:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:08:32 ########### + + +########## Tcl recorder starts at 05/04/14 12:08:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:08:46 ########### + + +########## Tcl recorder starts at 05/04/14 12:08:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:08:50 ########### + + +########## Tcl recorder starts at 05/04/14 12:10:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:10:36 ########### + + +########## Tcl recorder starts at 05/04/14 12:10:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:10:36 ########### + + +########## Tcl recorder starts at 05/04/14 12:11:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:11:41 ########### + + +########## Tcl recorder starts at 05/04/14 12:13:38 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:13:38 ########### + + +########## Tcl recorder starts at 05/04/14 12:14:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:14:35 ########### + + +########## Tcl recorder starts at 05/04/14 12:14:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:14:37 ########### + + +########## Tcl recorder starts at 05/04/14 12:16:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:16:49 ########### + + +########## Tcl recorder starts at 05/04/14 12:16:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:16:49 ########### + + +########## Tcl recorder starts at 05/04/14 12:18:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:18:18 ########### + + +########## Tcl recorder starts at 05/04/14 12:18:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/04/14 12:18:21 ########### + + +########## Tcl recorder starts at 05/07/14 13:54:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 13:54:08 ########### + + +########## Tcl recorder starts at 05/07/14 13:54:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 13:54:15 ########### + + +########## Tcl recorder starts at 05/07/14 13:55:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 13:55:30 ########### + + +########## Tcl recorder starts at 05/07/14 13:55:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 13:55:36 ########### + + +########## Tcl recorder starts at 05/07/14 14:00:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:00:00 ########### + + +########## Tcl recorder starts at 05/07/14 14:00:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:00:04 ########### + + +########## Tcl recorder starts at 05/07/14 14:01:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:01:11 ########### + + +########## Tcl recorder starts at 05/07/14 14:01:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:01:24 ########### + + +########## Tcl recorder starts at 05/07/14 14:09:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:09:51 ########### + + +########## Tcl recorder starts at 05/07/14 14:09:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:09:59 ########### + + +########## Tcl recorder starts at 05/07/14 14:10:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:10:36 ########### + + +########## Tcl recorder starts at 05/07/14 14:10:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:10:43 ########### + + +########## Tcl recorder starts at 05/07/14 14:32:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:32:39 ########### + + +########## Tcl recorder starts at 05/07/14 14:33:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:33:02 ########### + + +########## Tcl recorder starts at 05/07/14 14:34:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:34:35 ########### + + +########## Tcl recorder starts at 05/07/14 14:34:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:34:39 ########### + + +########## Tcl recorder starts at 05/07/14 14:36:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:36:35 ########### + + +########## Tcl recorder starts at 05/07/14 14:36:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:36:37 ########### + + +########## Tcl recorder starts at 05/07/14 14:38:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:38:38 ########### + + +########## Tcl recorder starts at 05/07/14 14:38:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:38:46 ########### + + +########## Tcl recorder starts at 05/07/14 14:39:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:39:01 ########### + + +########## Tcl recorder starts at 05/07/14 14:39:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:39:03 ########### + + +########## Tcl recorder starts at 05/07/14 14:39:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:39:42 ########### + + +########## Tcl recorder starts at 05/07/14 14:39:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:39:44 ########### + + +########## Tcl recorder starts at 05/07/14 14:40:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:40:30 ########### + + +########## Tcl recorder starts at 05/07/14 14:40:31 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:40:31 ########### + + +########## Tcl recorder starts at 05/07/14 14:41:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:41:16 ########### + + +########## Tcl recorder starts at 05/07/14 14:41:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:41:18 ########### + + +########## Tcl recorder starts at 05/07/14 14:42:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:42:36 ########### + + +########## Tcl recorder starts at 05/07/14 14:42:38 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:42:38 ########### + + +########## Tcl recorder starts at 05/07/14 14:43:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:43:29 ########### + + +########## Tcl recorder starts at 05/07/14 14:43:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:43:35 ########### + + +########## Tcl recorder starts at 05/07/14 14:44:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:44:17 ########### + + +########## Tcl recorder starts at 05/07/14 14:44:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:44:19 ########### + + +########## Tcl recorder starts at 05/07/14 14:45:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:45:07 ########### + + +########## Tcl recorder starts at 05/07/14 14:45:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:45:11 ########### + + +########## Tcl recorder starts at 05/07/14 14:46:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:46:00 ########### + + +########## Tcl recorder starts at 05/07/14 14:46:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:46:04 ########### + + +########## Tcl recorder starts at 05/07/14 14:46:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:46:43 ########### + + +########## Tcl recorder starts at 05/07/14 14:46:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:46:47 ########### + + +########## Tcl recorder starts at 05/07/14 14:50:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:50:28 ########### + + +########## Tcl recorder starts at 05/07/14 14:50:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:50:33 ########### + + +########## Tcl recorder starts at 05/07/14 14:55:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:55:00 ########### + + +########## Tcl recorder starts at 05/07/14 14:55:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:55:22 ########### + + +########## Tcl recorder starts at 05/07/14 14:57:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:57:55 ########### + + +########## Tcl recorder starts at 05/07/14 14:59:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:59:21 ########### + + +########## Tcl recorder starts at 05/07/14 14:59:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:59:28 ########### + + +########## Tcl recorder starts at 05/07/14 14:59:31 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 14:59:31 ########### + + +########## Tcl recorder starts at 05/07/14 16:35:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 16:35:15 ########### + + +########## Tcl recorder starts at 05/07/14 16:35:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 16:35:21 ########### + + +########## Tcl recorder starts at 05/07/14 16:38:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 16:38:00 ########### + + +########## Tcl recorder starts at 05/07/14 16:38:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 16:38:03 ########### + + +########## Tcl recorder starts at 05/07/14 17:23:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 17:23:01 ########### + + +########## Tcl recorder starts at 05/07/14 17:23:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 17:23:06 ########### + + +########## Tcl recorder starts at 05/07/14 17:24:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 17:24:31 ########### + + +########## Tcl recorder starts at 05/07/14 17:24:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 17:24:35 ########### + + +########## Tcl recorder starts at 05/07/14 21:29:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 21:29:40 ########### + + +########## Tcl recorder starts at 05/07/14 21:29:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 21:29:46 ########### + + +########## Tcl recorder starts at 05/07/14 22:03:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:03:01 ########### + + +########## Tcl recorder starts at 05/07/14 22:03:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:03:04 ########### + + +########## Tcl recorder starts at 05/07/14 22:03:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:03:25 ########### + + +########## Tcl recorder starts at 05/07/14 22:03:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:03:27 ########### + + +########## Tcl recorder starts at 05/07/14 22:04:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:04:13 ########### + + +########## Tcl recorder starts at 05/07/14 22:04:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:04:15 ########### + + +########## Tcl recorder starts at 05/07/14 22:52:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:52:29 ########### + + +########## Tcl recorder starts at 05/07/14 22:52:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:52:33 ########### + + +########## Tcl recorder starts at 05/07/14 22:53:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:53:50 ########### + + +########## Tcl recorder starts at 05/07/14 22:54:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:54:13 ########### + + +########## Tcl recorder starts at 05/07/14 22:54:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/07/14 22:54:15 ########### + + +########## Tcl recorder starts at 05/08/14 11:46:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 11:46:36 ########### + + +########## Tcl recorder starts at 05/08/14 11:46:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 11:46:52 ########### + + +########## Tcl recorder starts at 05/08/14 12:59:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 12:59:07 ########### + + +########## Tcl recorder starts at 05/08/14 12:59:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 12:59:13 ########### + + +########## Tcl recorder starts at 05/08/14 13:02:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:02:51 ########### + + +########## Tcl recorder starts at 05/08/14 13:02:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:02:53 ########### + + +########## Tcl recorder starts at 05/08/14 13:04:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:04:33 ########### + + +########## Tcl recorder starts at 05/08/14 13:04:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:04:53 ########### + + +########## Tcl recorder starts at 05/08/14 13:07:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:07:03 ########### + + +########## Tcl recorder starts at 05/08/14 13:07:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:07:08 ########### + + +########## Tcl recorder starts at 05/08/14 13:08:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:08:23 ########### + + +########## Tcl recorder starts at 05/08/14 13:08:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:08:42 ########### + + +########## Tcl recorder starts at 05/08/14 13:08:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:08:45 ########### + + +########## Tcl recorder starts at 05/08/14 13:09:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:09:00 ########### + + +########## Tcl recorder starts at 05/08/14 13:09:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:09:00 ########### + + +########## Tcl recorder starts at 05/08/14 13:09:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:09:43 ########### + + +########## Tcl recorder starts at 05/08/14 13:09:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:09:54 ########### + + +########## Tcl recorder starts at 05/08/14 13:09:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:09:58 ########### + + +########## Tcl recorder starts at 05/08/14 13:11:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:11:03 ########### + + +########## Tcl recorder starts at 05/08/14 13:11:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:11:10 ########### + + +########## Tcl recorder starts at 05/08/14 13:12:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:12:06 ########### + + +########## Tcl recorder starts at 05/08/14 13:12:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:12:13 ########### + + +########## Tcl recorder starts at 05/08/14 13:12:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:12:54 ########### + + +########## Tcl recorder starts at 05/08/14 13:12:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:12:58 ########### + + +########## Tcl recorder starts at 05/08/14 13:13:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:13:45 ########### + + +########## Tcl recorder starts at 05/08/14 13:13:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:13:47 ########### + + +########## Tcl recorder starts at 05/08/14 13:16:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:16:08 ########### + + +########## Tcl recorder starts at 05/08/14 13:16:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:16:27 ########### + + +########## Tcl recorder starts at 05/08/14 13:16:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:16:35 ########### + + +########## Tcl recorder starts at 05/08/14 13:17:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:17:48 ########### + + +########## Tcl recorder starts at 05/08/14 13:17:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:17:50 ########### + + +########## Tcl recorder starts at 05/08/14 13:19:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:19:15 ########### + + +########## Tcl recorder starts at 05/08/14 13:19:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:19:34 ########### + + +########## Tcl recorder starts at 05/08/14 13:19:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:19:48 ########### + + +########## Tcl recorder starts at 05/08/14 13:20:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:20:38 ########### + + +########## Tcl recorder starts at 05/08/14 13:20:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:20:45 ########### + + +########## Tcl recorder starts at 05/08/14 13:23:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:23:43 ########### + + +########## Tcl recorder starts at 05/08/14 13:23:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:23:54 ########### + + +########## Tcl recorder starts at 05/08/14 13:24:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:24:45 ########### + + +########## Tcl recorder starts at 05/08/14 13:24:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:24:48 ########### + + +########## Tcl recorder starts at 05/08/14 13:26:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:26:05 ########### + + +########## Tcl recorder starts at 05/08/14 13:26:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:26:18 ########### + + +########## Tcl recorder starts at 05/08/14 13:26:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:26:23 ########### + + +########## Tcl recorder starts at 05/08/14 13:26:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:26:27 ########### + + +########## Tcl recorder starts at 05/08/14 13:27:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:27:17 ########### + + +########## Tcl recorder starts at 05/08/14 13:27:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:27:47 ########### + + +########## Tcl recorder starts at 05/08/14 13:27:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:27:49 ########### + + +########## Tcl recorder starts at 05/08/14 13:30:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:30:08 ########### + + +########## Tcl recorder starts at 05/08/14 13:30:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:30:19 ########### + + +########## Tcl recorder starts at 05/08/14 13:30:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:30:37 ########### + + +########## Tcl recorder starts at 05/08/14 13:30:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:30:40 ########### + + +########## Tcl recorder starts at 05/08/14 13:30:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:30:59 ########### + + +########## Tcl recorder starts at 05/08/14 13:31:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:31:01 ########### + + +########## Tcl recorder starts at 05/08/14 13:32:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:32:04 ########### + + +########## Tcl recorder starts at 05/08/14 13:32:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:32:07 ########### + + +########## Tcl recorder starts at 05/08/14 13:32:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:32:52 ########### + + +########## Tcl recorder starts at 05/08/14 13:32:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:32:54 ########### + + +########## Tcl recorder starts at 05/08/14 13:33:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:33:28 ########### + + +########## Tcl recorder starts at 05/08/14 13:34:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:34:33 ########### + + +########## Tcl recorder starts at 05/08/14 13:34:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:34:36 ########### + + +########## Tcl recorder starts at 05/08/14 13:35:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:35:22 ########### + + +########## Tcl recorder starts at 05/08/14 13:35:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:35:25 ########### + + +########## Tcl recorder starts at 05/08/14 13:36:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:36:20 ########### + + +########## Tcl recorder starts at 05/08/14 13:36:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 13:36:22 ########### + + +########## Tcl recorder starts at 05/08/14 21:08:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:08:50 ########### + + +########## Tcl recorder starts at 05/08/14 21:08:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:08:55 ########### + + +########## Tcl recorder starts at 05/08/14 21:09:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:09:10 ########### + + +########## Tcl recorder starts at 05/08/14 21:09:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:09:44 ########### + + +########## Tcl recorder starts at 05/08/14 21:10:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:10:50 ########### + + +########## Tcl recorder starts at 05/08/14 21:10:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:10:59 ########### + + +########## Tcl recorder starts at 05/08/14 21:13:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:13:20 ########### + + +########## Tcl recorder starts at 05/08/14 21:13:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:13:25 ########### + + +########## Tcl recorder starts at 05/08/14 21:14:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:14:21 ########### + + +########## Tcl recorder starts at 05/08/14 21:14:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:14:24 ########### + + +########## Tcl recorder starts at 05/08/14 21:15:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:15:55 ########### + + +########## Tcl recorder starts at 05/08/14 21:16:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:16:24 ########### + + +########## Tcl recorder starts at 05/08/14 21:16:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:16:58 ########### + + +########## Tcl recorder starts at 05/08/14 21:17:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:17:01 ########### + + +########## Tcl recorder starts at 05/08/14 21:23:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:23:57 ########### + + +########## Tcl recorder starts at 05/08/14 21:24:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:24:14 ########### + + +########## Tcl recorder starts at 05/08/14 21:28:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:28:07 ########### + + +########## Tcl recorder starts at 05/08/14 21:28:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:28:14 ########### + + +########## Tcl recorder starts at 05/08/14 21:29:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:29:46 ########### + + +########## Tcl recorder starts at 05/08/14 21:29:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:29:51 ########### + + +########## Tcl recorder starts at 05/08/14 21:31:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:31:14 ########### + + +########## Tcl recorder starts at 05/08/14 21:31:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:31:17 ########### + + +########## Tcl recorder starts at 05/08/14 21:32:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:32:38 ########### + + +########## Tcl recorder starts at 05/08/14 21:32:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:32:41 ########### + + +########## Tcl recorder starts at 05/08/14 21:34:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:34:59 ########### + + +########## Tcl recorder starts at 05/08/14 21:35:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:35:08 ########### + + +########## Tcl recorder starts at 05/08/14 21:36:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:36:10 ########### + + +########## Tcl recorder starts at 05/08/14 21:36:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:36:14 ########### + + +########## Tcl recorder starts at 05/08/14 21:42:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:42:21 ########### + + +########## Tcl recorder starts at 05/08/14 21:42:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:42:55 ########### + + +########## Tcl recorder starts at 05/08/14 21:57:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 21:57:57 ########### + + +########## Tcl recorder starts at 05/08/14 22:01:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:01:00 ########### + + +########## Tcl recorder starts at 05/08/14 22:01:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:01:33 ########### + + +########## Tcl recorder starts at 05/08/14 22:02:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:02:26 ########### + + +########## Tcl recorder starts at 05/08/14 22:02:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:02:28 ########### + + +########## Tcl recorder starts at 05/08/14 22:03:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:03:04 ########### + + +########## Tcl recorder starts at 05/08/14 22:04:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:04:15 ########### + + +########## Tcl recorder starts at 05/08/14 22:04:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:04:51 ########### + + +########## Tcl recorder starts at 05/08/14 22:07:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:07:57 ########### + + +########## Tcl recorder starts at 05/08/14 22:08:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:08:13 ########### + + +########## Tcl recorder starts at 05/08/14 22:10:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:10:54 ########### + + +########## Tcl recorder starts at 05/08/14 22:11:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:11:21 ########### + + +########## Tcl recorder starts at 05/08/14 22:13:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:13:17 ########### + + +########## Tcl recorder starts at 05/08/14 22:15:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:15:39 ########### + + +########## Tcl recorder starts at 05/08/14 22:15:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:15:56 ########### + + +########## Tcl recorder starts at 05/08/14 22:17:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:17:40 ########### + + +########## Tcl recorder starts at 05/08/14 22:17:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:17:44 ########### + + +########## Tcl recorder starts at 05/08/14 22:26:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:26:17 ########### + + +########## Tcl recorder starts at 05/08/14 22:26:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:26:23 ########### + + +########## Tcl recorder starts at 05/08/14 22:27:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:27:35 ########### + + +########## Tcl recorder starts at 05/08/14 22:27:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:27:41 ########### + + +########## Tcl recorder starts at 05/08/14 22:30:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:30:18 ########### + + +########## Tcl recorder starts at 05/08/14 22:30:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:30:30 ########### + + +########## Tcl recorder starts at 05/08/14 22:51:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:51:42 ########### + + +########## Tcl recorder starts at 05/08/14 22:52:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:52:05 ########### + + +########## Tcl recorder starts at 05/08/14 22:53:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:53:10 ########### + + +########## Tcl recorder starts at 05/08/14 22:53:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 22:53:19 ########### + + +########## Tcl recorder starts at 05/08/14 23:32:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:32:37 ########### + + +########## Tcl recorder starts at 05/08/14 23:32:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:32:43 ########### + + +########## Tcl recorder starts at 05/08/14 23:33:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:33:23 ########### + + +########## Tcl recorder starts at 05/08/14 23:34:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:34:00 ########### + + +########## Tcl recorder starts at 05/08/14 23:34:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:34:02 ########### + + +########## Tcl recorder starts at 05/08/14 23:37:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:37:34 ########### + + +########## Tcl recorder starts at 05/08/14 23:38:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:38:40 ########### + + +########## Tcl recorder starts at 05/08/14 23:38:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:38:53 ########### + + +########## Tcl recorder starts at 05/08/14 23:42:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:42:16 ########### + + +########## Tcl recorder starts at 05/08/14 23:42:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:42:30 ########### + + +########## Tcl recorder starts at 05/08/14 23:42:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:42:48 ########### + + +########## Tcl recorder starts at 05/08/14 23:43:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:43:00 ########### + + +########## Tcl recorder starts at 05/08/14 23:43:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:43:02 ########### + + +########## Tcl recorder starts at 05/08/14 23:43:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:43:19 ########### + + +########## Tcl recorder starts at 05/08/14 23:43:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:43:21 ########### + + +########## Tcl recorder starts at 05/08/14 23:45:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/08/14 23:45:02 ########### + + +########## Tcl recorder starts at 05/09/14 10:34:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:34:25 ########### + + +########## Tcl recorder starts at 05/09/14 10:35:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:35:00 ########### + + +########## Tcl recorder starts at 05/09/14 10:35:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:35:05 ########### + + +########## Tcl recorder starts at 05/09/14 10:37:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:37:01 ########### + + +########## Tcl recorder starts at 05/09/14 10:37:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:37:07 ########### + + +########## Tcl recorder starts at 05/09/14 10:37:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:37:21 ########### + + +########## Tcl recorder starts at 05/09/14 10:37:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:37:26 ########### + + +########## Tcl recorder starts at 05/09/14 10:38:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:38:15 ########### + + +########## Tcl recorder starts at 05/09/14 10:38:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:38:19 ########### + + +########## Tcl recorder starts at 05/09/14 10:39:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:39:27 ########### + + +########## Tcl recorder starts at 05/09/14 10:39:32 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:39:33 ########### + + +########## Tcl recorder starts at 05/09/14 10:40:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:40:25 ########### + + +########## Tcl recorder starts at 05/09/14 10:40:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:40:33 ########### + + +########## Tcl recorder starts at 05/09/14 10:40:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:40:47 ########### + + +########## Tcl recorder starts at 05/09/14 10:40:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:40:53 ########### + + +########## Tcl recorder starts at 05/09/14 10:44:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:44:11 ########### + + +########## Tcl recorder starts at 05/09/14 10:44:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:44:15 ########### + + +########## Tcl recorder starts at 05/09/14 10:45:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:45:18 ########### + + +########## Tcl recorder starts at 05/09/14 10:45:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:45:43 ########### + + +########## Tcl recorder starts at 05/09/14 10:45:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:45:57 ########### + + +########## Tcl recorder starts at 05/09/14 10:45:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:45:58 ########### + + +########## Tcl recorder starts at 05/09/14 10:47:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:47:08 ########### + + +########## Tcl recorder starts at 05/09/14 10:47:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:47:27 ########### + + +########## Tcl recorder starts at 05/09/14 10:47:31 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:47:31 ########### + + +########## Tcl recorder starts at 05/09/14 10:49:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:49:53 ########### + + +########## Tcl recorder starts at 05/09/14 10:49:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:49:57 ########### + + +########## Tcl recorder starts at 05/09/14 10:50:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:50:51 ########### + + +########## Tcl recorder starts at 05/09/14 10:50:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:50:59 ########### + + +########## Tcl recorder starts at 05/09/14 10:53:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:53:39 ########### + + +########## Tcl recorder starts at 05/09/14 10:53:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:53:57 ########### + + +########## Tcl recorder starts at 05/09/14 10:55:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:55:29 ########### + + +########## Tcl recorder starts at 05/09/14 10:55:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:55:33 ########### + + +########## Tcl recorder starts at 05/09/14 10:57:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:57:07 ########### + + +########## Tcl recorder starts at 05/09/14 10:58:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:58:25 ########### + + +########## Tcl recorder starts at 05/09/14 10:58:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 10:58:40 ########### + + +########## Tcl recorder starts at 05/09/14 11:03:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:03:55 ########### + + +########## Tcl recorder starts at 05/09/14 11:04:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:04:01 ########### + + +########## Tcl recorder starts at 05/09/14 11:07:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:07:22 ########### + + +########## Tcl recorder starts at 05/09/14 11:07:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:07:27 ########### + + +########## Tcl recorder starts at 05/09/14 11:11:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:11:16 ########### + + +########## Tcl recorder starts at 05/09/14 11:11:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:11:20 ########### + + +########## Tcl recorder starts at 05/09/14 11:14:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:14:57 ########### + + +########## Tcl recorder starts at 05/09/14 11:15:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:15:01 ########### + + +########## Tcl recorder starts at 05/09/14 11:15:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:15:17 ########### + + +########## Tcl recorder starts at 05/09/14 11:15:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:15:22 ########### + + +########## Tcl recorder starts at 05/09/14 11:15:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:15:34 ########### + + +########## Tcl recorder starts at 05/09/14 11:16:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:16:52 ########### + + +########## Tcl recorder starts at 05/09/14 11:17:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:17:04 ########### + + +########## Tcl recorder starts at 05/09/14 11:17:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:17:06 ########### + + +########## Tcl recorder starts at 05/09/14 11:20:12 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:20:12 ########### + + +########## Tcl recorder starts at 05/09/14 11:21:38 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:21:38 ########### + + +########## Tcl recorder starts at 05/09/14 11:22:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:22:17 ########### + + +########## Tcl recorder starts at 05/09/14 11:22:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:22:22 ########### + + +########## Tcl recorder starts at 05/09/14 11:22:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:22:39 ########### + + +########## Tcl recorder starts at 05/09/14 11:22:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:22:44 ########### + + +########## Tcl recorder starts at 05/09/14 11:23:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:23:26 ########### + + +########## Tcl recorder starts at 05/09/14 11:23:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:23:30 ########### + + +########## Tcl recorder starts at 05/09/14 11:24:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:24:38 ########### + + +########## Tcl recorder starts at 05/09/14 11:24:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:24:44 ########### + + +########## Tcl recorder starts at 05/09/14 11:24:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:24:59 ########### + + +########## Tcl recorder starts at 05/09/14 11:25:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:25:03 ########### + + +########## Tcl recorder starts at 05/09/14 11:26:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:26:27 ########### + + +########## Tcl recorder starts at 05/09/14 11:26:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 11:26:28 ########### + + +########## Tcl recorder starts at 05/09/14 12:46:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 12:46:05 ########### + + +########## Tcl recorder starts at 05/09/14 12:46:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 12:46:28 ########### + + +########## Tcl recorder starts at 05/09/14 12:47:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 12:47:48 ########### + + +########## Tcl recorder starts at 05/09/14 12:57:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 12:57:27 ########### + + +########## Tcl recorder starts at 05/09/14 13:02:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 13:02:10 ########### + + +########## Tcl recorder starts at 05/09/14 13:03:28 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 13:03:28 ########### + + +########## Tcl recorder starts at 05/09/14 13:03:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 13:03:47 ########### + + +########## Tcl recorder starts at 05/09/14 13:03:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 13:03:52 ########### + + +########## Tcl recorder starts at 05/09/14 16:25:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 16:25:13 ########### + + +########## Tcl recorder starts at 05/09/14 16:26:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 16:26:00 ########### + + +########## Tcl recorder starts at 05/09/14 16:26:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 16:26:09 ########### + + +########## Tcl recorder starts at 05/09/14 16:29:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 16:29:02 ########### + + +########## Tcl recorder starts at 05/09/14 16:29:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 16:29:16 ########### + + +########## Tcl recorder starts at 05/09/14 21:16:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:16:00 ########### + + +########## Tcl recorder starts at 05/09/14 21:16:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:16:29 ########### + + +########## Tcl recorder starts at 05/09/14 21:16:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:16:46 ########### + + +########## Tcl recorder starts at 05/09/14 21:38:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:38:44 ########### + + +########## Tcl recorder starts at 05/09/14 21:39:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:39:26 ########### + + +########## Tcl recorder starts at 05/09/14 21:39:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:39:30 ########### + + +########## Tcl recorder starts at 05/09/14 21:39:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:39:44 ########### + + +########## Tcl recorder starts at 05/09/14 21:39:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:39:49 ########### + + +########## Tcl recorder starts at 05/09/14 21:39:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:39:53 ########### + + +########## Tcl recorder starts at 05/09/14 21:58:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:58:01 ########### + + +########## Tcl recorder starts at 05/09/14 21:58:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 21:58:05 ########### + + +########## Tcl recorder starts at 05/09/14 22:02:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 22:02:48 ########### + + +########## Tcl recorder starts at 05/09/14 22:04:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 22:04:08 ########### + + +########## Tcl recorder starts at 05/09/14 22:04:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 22:04:13 ########### + + +########## Tcl recorder starts at 05/09/14 22:40:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 22:40:04 ########### + + +########## Tcl recorder starts at 05/09/14 22:40:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 22:40:13 ########### + + +########## Tcl recorder starts at 05/09/14 23:19:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:19:24 ########### + + +########## Tcl recorder starts at 05/09/14 23:23:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:23:27 ########### + + +########## Tcl recorder starts at 05/09/14 23:24:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:24:12 ########### + + +########## Tcl recorder starts at 05/09/14 23:26:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:26:22 ########### + + +########## Tcl recorder starts at 05/09/14 23:27:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:27:01 ########### + + +########## Tcl recorder starts at 05/09/14 23:29:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:29:59 ########### + + +########## Tcl recorder starts at 05/09/14 23:30:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:30:18 ########### + + +########## Tcl recorder starts at 05/09/14 23:30:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:30:47 ########### + + +########## Tcl recorder starts at 05/09/14 23:30:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:30:52 ########### + + +########## Tcl recorder starts at 05/09/14 23:31:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:31:51 ########### + + +########## Tcl recorder starts at 05/09/14 23:32:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:32:00 ########### + + +########## Tcl recorder starts at 05/09/14 23:35:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:35:08 ########### + + +########## Tcl recorder starts at 05/09/14 23:35:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:35:28 ########### + + +########## Tcl recorder starts at 05/09/14 23:39:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:39:41 ########### + + +########## Tcl recorder starts at 05/09/14 23:39:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:39:44 ########### + + +########## Tcl recorder starts at 05/09/14 23:40:45 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:40:45 ########### + + +########## Tcl recorder starts at 05/09/14 23:40:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:40:51 ########### + + +########## Tcl recorder starts at 05/09/14 23:42:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:42:44 ########### + + +########## Tcl recorder starts at 05/09/14 23:42:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:42:48 ########### + + +########## Tcl recorder starts at 05/09/14 23:44:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:44:11 ########### + + +########## Tcl recorder starts at 05/09/14 23:44:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:44:12 ########### + + +########## Tcl recorder starts at 05/09/14 23:45:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:45:55 ########### + + +########## Tcl recorder starts at 05/09/14 23:46:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:46:23 ########### + + +########## Tcl recorder starts at 05/09/14 23:46:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:46:40 ########### + + +########## Tcl recorder starts at 05/09/14 23:46:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:46:41 ########### + + +########## Tcl recorder starts at 05/09/14 23:47:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:47:33 ########### + + +########## Tcl recorder starts at 05/09/14 23:47:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:47:43 ########### + + +########## Tcl recorder starts at 05/09/14 23:48:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:48:32 ########### + + +########## Tcl recorder starts at 05/09/14 23:48:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:48:44 ########### + + +########## Tcl recorder starts at 05/09/14 23:49:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:49:04 ########### + + +########## Tcl recorder starts at 05/09/14 23:49:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:49:12 ########### + + +########## Tcl recorder starts at 05/09/14 23:50:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:50:56 ########### + + +########## Tcl recorder starts at 05/09/14 23:51:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:51:00 ########### + + +########## Tcl recorder starts at 05/09/14 23:54:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:54:15 ########### + + +########## Tcl recorder starts at 05/09/14 23:54:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:54:22 ########### + + +########## Tcl recorder starts at 05/09/14 23:55:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:55:33 ########### + + +########## Tcl recorder starts at 05/09/14 23:55:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:55:39 ########### + + +########## Tcl recorder starts at 05/09/14 23:58:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:58:14 ########### + + +########## Tcl recorder starts at 05/09/14 23:58:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:58:18 ########### + + +########## Tcl recorder starts at 05/09/14 23:59:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:59:17 ########### + + +########## Tcl recorder starts at 05/09/14 23:59:21 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/09/14 23:59:21 ########### + + +########## Tcl recorder starts at 05/10/14 00:01:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:01:50 ########### + + +########## Tcl recorder starts at 05/10/14 00:01:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:01:55 ########### + + +########## Tcl recorder starts at 05/10/14 00:05:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:05:59 ########### + + +########## Tcl recorder starts at 05/10/14 00:06:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:06:06 ########### + + +########## Tcl recorder starts at 05/10/14 00:06:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:06:46 ########### + + +########## Tcl recorder starts at 05/10/14 00:07:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:07:19 ########### + + +########## Tcl recorder starts at 05/10/14 00:07:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:07:26 ########### + + +########## Tcl recorder starts at 05/10/14 00:09:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:09:51 ########### + + +########## Tcl recorder starts at 05/10/14 00:09:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:09:59 ########### + + +########## Tcl recorder starts at 05/10/14 00:12:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:12:22 ########### + + +########## Tcl recorder starts at 05/10/14 00:12:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:12:27 ########### + + +########## Tcl recorder starts at 05/10/14 00:12:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:12:49 ########### + + +########## Tcl recorder starts at 05/10/14 00:12:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:12:51 ########### + + +########## Tcl recorder starts at 05/10/14 00:13:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:13:05 ########### + + +########## Tcl recorder starts at 05/10/14 00:13:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:13:07 ########### + + +########## Tcl recorder starts at 05/10/14 00:14:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:14:14 ########### + + +########## Tcl recorder starts at 05/10/14 00:14:32 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:14:32 ########### + + +########## Tcl recorder starts at 05/10/14 00:17:55 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:17:55 ########### + + +########## Tcl recorder starts at 05/10/14 00:18:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:18:03 ########### + + +########## Tcl recorder starts at 05/10/14 00:18:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:18:25 ########### + + +########## Tcl recorder starts at 05/10/14 00:19:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:19:13 ########### + + +########## Tcl recorder starts at 05/10/14 00:21:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:21:13 ########### + + +########## Tcl recorder starts at 05/10/14 00:21:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:21:24 ########### + + +########## Tcl recorder starts at 05/10/14 00:21:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:21:56 ########### + + +########## Tcl recorder starts at 05/10/14 00:23:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:23:17 ########### + + +########## Tcl recorder starts at 05/10/14 00:23:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:23:33 ########### + + +########## Tcl recorder starts at 05/10/14 00:24:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:24:12 ########### + + +########## Tcl recorder starts at 05/10/14 00:24:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:24:17 ########### + + +########## Tcl recorder starts at 05/10/14 00:25:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:25:51 ########### + + +########## Tcl recorder starts at 05/10/14 00:25:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:25:54 ########### + + +########## Tcl recorder starts at 05/10/14 00:26:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:26:30 ########### + + +########## Tcl recorder starts at 05/10/14 00:26:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:26:47 ########### + + +########## Tcl recorder starts at 05/10/14 00:26:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:26:52 ########### + + +########## Tcl recorder starts at 05/10/14 00:27:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:27:49 ########### + + +########## Tcl recorder starts at 05/10/14 00:27:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:27:56 ########### + + +########## Tcl recorder starts at 05/10/14 00:29:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:29:19 ########### + + +########## Tcl recorder starts at 05/10/14 00:29:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:29:24 ########### + + +########## Tcl recorder starts at 05/10/14 00:32:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:32:41 ########### + + +########## Tcl recorder starts at 05/10/14 00:33:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:33:12 ########### + + +########## Tcl recorder starts at 05/10/14 00:35:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:35:50 ########### + + +########## Tcl recorder starts at 05/10/14 00:35:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:35:57 ########### + + +########## Tcl recorder starts at 05/10/14 00:38:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:38:30 ########### + + +########## Tcl recorder starts at 05/10/14 00:38:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:38:39 ########### + + +########## Tcl recorder starts at 05/10/14 00:40:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:40:16 ########### + + +########## Tcl recorder starts at 05/10/14 00:40:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 00:40:33 ########### + + +########## Tcl recorder starts at 05/10/14 11:03:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:03:21 ########### + + +########## Tcl recorder starts at 05/10/14 11:03:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:03:29 ########### + + +########## Tcl recorder starts at 05/10/14 11:03:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:03:34 ########### + + +########## Tcl recorder starts at 05/10/14 11:04:07 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:04:07 ########### + + +########## Tcl recorder starts at 05/10/14 11:04:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:04:15 ########### + + +########## Tcl recorder starts at 05/10/14 11:04:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:04:30 ########### + + +########## Tcl recorder starts at 05/10/14 11:04:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:04:40 ########### + + +########## Tcl recorder starts at 05/10/14 11:08:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:08:29 ########### + + +########## Tcl recorder starts at 05/10/14 11:10:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:10:01 ########### + + +########## Tcl recorder starts at 05/10/14 11:10:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:10:05 ########### + + +########## Tcl recorder starts at 05/10/14 11:10:11 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:10:11 ########### + + +########## Tcl recorder starts at 05/10/14 11:11:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:11:40 ########### + + +########## Tcl recorder starts at 05/10/14 11:11:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:11:43 ########### + + +########## Tcl recorder starts at 05/10/14 11:12:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:12:27 ########### + + +########## Tcl recorder starts at 05/10/14 11:12:32 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:12:32 ########### + + +########## Tcl recorder starts at 05/10/14 11:15:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:15:18 ########### + + +########## Tcl recorder starts at 05/10/14 11:15:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:15:20 ########### + + +########## Tcl recorder starts at 05/10/14 11:18:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 11:18:23 ########### + + +########## Tcl recorder starts at 05/10/14 21:03:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 21:03:00 ########### + + +########## Tcl recorder starts at 05/10/14 21:03:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 21:03:04 ########### + + +########## Tcl recorder starts at 05/10/14 22:25:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:25:35 ########### + + +########## Tcl recorder starts at 05/10/14 22:25:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:25:40 ########### + + +########## Tcl recorder starts at 05/10/14 22:27:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:27:52 ########### + + +########## Tcl recorder starts at 05/10/14 22:27:55 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:27:55 ########### + + +########## Tcl recorder starts at 05/10/14 22:40:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:40:18 ########### + + +########## Tcl recorder starts at 05/10/14 22:40:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:40:20 ########### + + +########## Tcl recorder starts at 05/10/14 22:41:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:41:16 ########### + + +########## Tcl recorder starts at 05/10/14 22:41:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:41:26 ########### + + +########## Tcl recorder starts at 05/10/14 22:42:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:42:49 ########### + + +########## Tcl recorder starts at 05/10/14 22:42:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:42:57 ########### + + +########## Tcl recorder starts at 05/10/14 22:45:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:45:59 ########### + + +########## Tcl recorder starts at 05/10/14 22:47:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:47:01 ########### + + +########## Tcl recorder starts at 05/10/14 22:47:29 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:47:29 ########### + + +########## Tcl recorder starts at 05/10/14 22:48:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:48:02 ########### + + +########## Tcl recorder starts at 05/10/14 22:48:07 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:48:07 ########### + + +########## Tcl recorder starts at 05/10/14 22:49:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:49:34 ########### + + +########## Tcl recorder starts at 05/10/14 22:49:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:49:37 ########### + + +########## Tcl recorder starts at 05/10/14 22:49:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:49:44 ########### + + +########## Tcl recorder starts at 05/10/14 22:56:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 22:56:41 ########### + + +########## Tcl recorder starts at 05/10/14 23:05:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:05:30 ########### + + +########## Tcl recorder starts at 05/10/14 23:05:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:05:40 ########### + + +########## Tcl recorder starts at 05/10/14 23:08:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:08:44 ########### + + +########## Tcl recorder starts at 05/10/14 23:08:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:08:47 ########### + + +########## Tcl recorder starts at 05/10/14 23:10:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:10:30 ########### + + +########## Tcl recorder starts at 05/10/14 23:11:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:11:23 ########### + + +########## Tcl recorder starts at 05/10/14 23:12:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:12:23 ########### + + +########## Tcl recorder starts at 05/10/14 23:13:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:13:48 ########### + + +########## Tcl recorder starts at 05/10/14 23:13:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:13:57 ########### + + +########## Tcl recorder starts at 05/10/14 23:15:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:15:20 ########### + + +########## Tcl recorder starts at 05/10/14 23:15:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:15:24 ########### + + +########## Tcl recorder starts at 05/10/14 23:16:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:16:01 ########### + + +########## Tcl recorder starts at 05/10/14 23:16:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:16:06 ########### + + +########## Tcl recorder starts at 05/10/14 23:16:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:16:54 ########### + + +########## Tcl recorder starts at 05/10/14 23:17:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:17:04 ########### + + +########## Tcl recorder starts at 05/10/14 23:18:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:18:31 ########### + + +########## Tcl recorder starts at 05/10/14 23:18:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:18:37 ########### + + +########## Tcl recorder starts at 05/10/14 23:19:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:19:30 ########### + + +########## Tcl recorder starts at 05/10/14 23:19:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:19:35 ########### + + +########## Tcl recorder starts at 05/10/14 23:20:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:20:11 ########### + + +########## Tcl recorder starts at 05/10/14 23:20:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:20:13 ########### + + +########## Tcl recorder starts at 05/10/14 23:20:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:20:50 ########### + + +########## Tcl recorder starts at 05/10/14 23:20:52 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:20:52 ########### + + +########## Tcl recorder starts at 05/10/14 23:21:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:21:33 ########### + + +########## Tcl recorder starts at 05/10/14 23:21:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:21:35 ########### + + +########## Tcl recorder starts at 05/10/14 23:24:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:24:17 ########### + + +########## Tcl recorder starts at 05/10/14 23:24:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:24:24 ########### + + +########## Tcl recorder starts at 05/10/14 23:25:21 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:25:21 ########### + + +########## Tcl recorder starts at 05/10/14 23:25:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:25:23 ########### + + +########## Tcl recorder starts at 05/10/14 23:26:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:26:03 ########### + + +########## Tcl recorder starts at 05/10/14 23:26:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:26:09 ########### + + +########## Tcl recorder starts at 05/10/14 23:26:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:26:10 ########### + + +########## Tcl recorder starts at 05/10/14 23:26:56 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:26:56 ########### + + +########## Tcl recorder starts at 05/10/14 23:26:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:26:58 ########### + + +########## Tcl recorder starts at 05/10/14 23:27:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:27:35 ########### + + +########## Tcl recorder starts at 05/10/14 23:27:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:27:48 ########### + + +########## Tcl recorder starts at 05/10/14 23:27:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:27:50 ########### + + +########## Tcl recorder starts at 05/10/14 23:28:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:28:42 ########### + + +########## Tcl recorder starts at 05/10/14 23:29:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:29:00 ########### + + +########## Tcl recorder starts at 05/10/14 23:34:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:34:48 ########### + + +########## Tcl recorder starts at 05/10/14 23:34:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:34:58 ########### + + +########## Tcl recorder starts at 05/10/14 23:53:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:53:50 ########### + + +########## Tcl recorder starts at 05/10/14 23:53:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:53:56 ########### + + +########## Tcl recorder starts at 05/10/14 23:54:37 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:54:37 ########### + + +########## Tcl recorder starts at 05/10/14 23:54:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:54:39 ########### + + +########## Tcl recorder starts at 05/10/14 23:55:11 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:55:11 ########### + + +########## Tcl recorder starts at 05/10/14 23:55:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:55:13 ########### + + +########## Tcl recorder starts at 05/10/14 23:55:57 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:55:57 ########### + + +########## Tcl recorder starts at 05/10/14 23:56:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:56:04 ########### + + +########## Tcl recorder starts at 05/10/14 23:56:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:56:53 ########### + + +########## Tcl recorder starts at 05/10/14 23:57:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:57:06 ########### + + +########## Tcl recorder starts at 05/10/14 23:58:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:58:02 ########### + + +########## Tcl recorder starts at 05/10/14 23:58:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:58:05 ########### + + +########## Tcl recorder starts at 05/10/14 23:58:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:58:50 ########### + + +########## Tcl recorder starts at 05/10/14 23:58:56 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:58:56 ########### + + +########## Tcl recorder starts at 05/10/14 23:59:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:59:30 ########### + + +########## Tcl recorder starts at 05/10/14 23:59:31 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/10/14 23:59:31 ########### + + +########## Tcl recorder starts at 05/11/14 00:00:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:00:12 ########### + + +########## Tcl recorder starts at 05/11/14 00:00:14 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:00:14 ########### + + +########## Tcl recorder starts at 05/11/14 00:04:52 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:04:52 ########### + + +########## Tcl recorder starts at 05/11/14 00:05:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:05:00 ########### + + +########## Tcl recorder starts at 05/11/14 00:06:09 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:06:09 ########### + + +########## Tcl recorder starts at 05/11/14 00:06:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:06:13 ########### + + +########## Tcl recorder starts at 05/11/14 00:07:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:07:06 ########### + + +########## Tcl recorder starts at 05/11/14 00:07:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:07:09 ########### + + +########## Tcl recorder starts at 05/11/14 00:23:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:23:41 ########### + + +########## Tcl recorder starts at 05/11/14 00:23:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:23:59 ########### + + +########## Tcl recorder starts at 05/11/14 00:24:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:24:59 ########### + + +########## Tcl recorder starts at 05/11/14 00:25:01 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:25:01 ########### + + +########## Tcl recorder starts at 05/11/14 00:25:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:25:43 ########### + + +########## Tcl recorder starts at 05/11/14 00:25:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 00:25:45 ########### + + +########## Tcl recorder starts at 05/11/14 01:00:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:00:31 ########### + + +########## Tcl recorder starts at 05/11/14 01:00:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:00:35 ########### + + +########## Tcl recorder starts at 05/11/14 01:02:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:02:15 ########### + + +########## Tcl recorder starts at 05/11/14 01:02:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:02:27 ########### + + +########## Tcl recorder starts at 05/11/14 01:02:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:02:39 ########### + + +########## Tcl recorder starts at 05/11/14 01:02:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:02:43 ########### + + +########## Tcl recorder starts at 05/11/14 01:05:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:05:16 ########### + + +########## Tcl recorder starts at 05/11/14 01:05:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:05:22 ########### + + +########## Tcl recorder starts at 05/11/14 01:05:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/11/14 01:05:27 ########### + + +########## Tcl recorder starts at 05/15/14 12:28:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:28:02 ########### + + +########## Tcl recorder starts at 05/15/14 12:28:18 ########## + +# Commands to make the Process: +# Constraint Editor +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:28:18 ########### + + +########## Tcl recorder starts at 05/15/14 12:30:18 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:30:18 ########### + + +########## Tcl recorder starts at 05/15/14 12:31:56 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:31:56 ########### + + +########## Tcl recorder starts at 05/15/14 12:41:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:41:02 ########### + + +########## Tcl recorder starts at 05/15/14 12:41:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:41:06 ########### + + +########## Tcl recorder starts at 05/15/14 12:49:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:49:15 ########### + + +########## Tcl recorder starts at 05/15/14 12:49:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:49:19 ########### + + +########## Tcl recorder starts at 05/15/14 12:52:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:52:17 ########### + + +########## Tcl recorder starts at 05/15/14 12:52:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:52:24 ########### + + +########## Tcl recorder starts at 05/15/14 12:52:57 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:52:57 ########### + + +########## Tcl recorder starts at 05/15/14 12:54:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:54:19 ########### + + +########## Tcl recorder starts at 05/15/14 12:54:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:54:43 ########### + + +########## Tcl recorder starts at 05/15/14 12:55:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:55:50 ########### + + +########## Tcl recorder starts at 05/15/14 12:55:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:55:54 ########### + + +########## Tcl recorder starts at 05/15/14 12:58:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:58:22 ########### + + +########## Tcl recorder starts at 05/15/14 12:58:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:58:34 ########### + + +########## Tcl recorder starts at 05/15/14 12:58:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:58:43 ########### + + +########## Tcl recorder starts at 05/15/14 12:59:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:59:41 ########### + + +########## Tcl recorder starts at 05/15/14 12:59:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 12:59:44 ########### + + +########## Tcl recorder starts at 05/15/14 13:01:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 13:01:38 ########### + + +########## Tcl recorder starts at 05/15/14 13:01:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 13:01:42 ########### + + +########## Tcl recorder starts at 05/15/14 13:04:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 13:04:38 ########### + + +########## Tcl recorder starts at 05/15/14 13:04:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 13:04:48 ########### + + +########## Tcl recorder starts at 05/15/14 13:05:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 13:05:36 ########### + + +########## Tcl recorder starts at 05/15/14 13:05:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 13:05:41 ########### + + +########## Tcl recorder starts at 05/15/14 17:03:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:03:32 ########### + + +########## Tcl recorder starts at 05/15/14 17:04:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:04:14 ########### + + +########## Tcl recorder starts at 05/15/14 17:04:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:04:20 ########### + + +########## Tcl recorder starts at 05/15/14 17:07:24 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:07:24 ########### + + +########## Tcl recorder starts at 05/15/14 17:07:28 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:07:28 ########### + + +########## Tcl recorder starts at 05/15/14 17:24:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:24:33 ########### + + +########## Tcl recorder starts at 05/15/14 17:24:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:24:37 ########### + + +########## Tcl recorder starts at 05/15/14 17:24:53 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:24:53 ########### + + +########## Tcl recorder starts at 05/15/14 17:24:53 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:24:53 ########### + + +########## Tcl recorder starts at 05/15/14 17:28:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:28:03 ########### + + +########## Tcl recorder starts at 05/15/14 17:28:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:28:43 ########### + + +########## Tcl recorder starts at 05/15/14 17:28:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:28:48 ########### + + +########## Tcl recorder starts at 05/15/14 17:32:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:32:00 ########### + + +########## Tcl recorder starts at 05/15/14 17:32:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:32:04 ########### + + +########## Tcl recorder starts at 05/15/14 17:32:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:32:22 ########### + + +########## Tcl recorder starts at 05/15/14 17:32:23 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:32:23 ########### + + +########## Tcl recorder starts at 05/15/14 17:34:15 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:34:15 ########### + + +########## Tcl recorder starts at 05/15/14 17:34:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:34:36 ########### + + +########## Tcl recorder starts at 05/15/14 17:40:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:40:22 ########### + + +########## Tcl recorder starts at 05/15/14 17:40:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:40:26 ########### + + +########## Tcl recorder starts at 05/15/14 17:43:32 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:43:32 ########### + + +########## Tcl recorder starts at 05/15/14 17:43:38 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:43:38 ########### + + +########## Tcl recorder starts at 05/15/14 17:44:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:44:44 ########### + + +########## Tcl recorder starts at 05/15/14 17:44:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:44:46 ########### + + +########## Tcl recorder starts at 05/15/14 17:45:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:45:39 ########### + + +########## Tcl recorder starts at 05/15/14 17:45:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:45:42 ########### + + +########## Tcl recorder starts at 05/15/14 17:46:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:46:34 ########### + + +########## Tcl recorder starts at 05/15/14 17:46:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 17:46:39 ########### + + +########## Tcl recorder starts at 05/15/14 19:18:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 19:18:31 ########### + + +########## Tcl recorder starts at 05/15/14 19:18:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 19:18:35 ########### + + +########## Tcl recorder starts at 05/15/14 19:19:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 19:19:40 ########### + + +########## Tcl recorder starts at 05/15/14 19:19:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 19:19:44 ########### + + +########## Tcl recorder starts at 05/15/14 19:20:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 19:20:41 ########### + + +########## Tcl recorder starts at 05/15/14 19:20:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/15/14 19:20:46 ########### + diff --git a/Logic/68030_TK_tcl.ini b/Logic/68030_TK_tcl.ini new file mode 100644 index 0000000..2fd2075 --- /dev/null +++ b/Logic/68030_TK_tcl.ini @@ -0,0 +1,5 @@ +[Tcl] +Start = Yes; +Process = YES; +Append = YES; +TclFilename = 68030_TK.tcl; diff --git a/Logic/68030_tk.b2_ b/Logic/68030_tk.b2_ new file mode 100644 index 0000000..4ef6a67 --- /dev/null +++ b/Logic/68030_tk.b2_ @@ -0,0 +1 @@ + -collapse all -pterms 16 -nmax 32 -clust 5 -reduce bypin choose -xorsyn -dev M4A5_clk diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 new file mode 100644 index 0000000..70fff39 --- /dev/null +++ b/Logic/68030_tk.bl2 @@ -0,0 +1,1588 @@ +#$ TOOL ispLEVER Classic 1.7.00.05.28.13 +#$ DATE Thu May 15 19:20:52 2014 +#$ MODULE 68030_tk +#$ PINS 74 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ IPL_030_2_ A_24_ A_23_ \ +# IPL_2_ A_22_ A_21_ DSACK_1_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 A_15_ \ +# UDS_000 A_14_ LDS_000 A_13_ CPU_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ BGACK_030 \ +# A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ CLK_EXP A_2_ \ +# FPU_CS A_1_ DTACK A_0_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E IPL_1_ VPA IPL_0_ VMA DSACK_0_ \ +# RST FC_0_ RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ +# SIZE_0_ +#$ NODES 414 a_15__n a_c_22__n a_14__n a_c_23__n a_13__n a_c_24__n a_12__n \ +# inst_BGACK_030_INTreg a_c_25__n inst_CLK_OUT_INTreg a_11__n inst_FPU_CS_INTreg \ +# a_c_26__n cpu_est_3_reg a_10__n inst_VMA_INTreg a_c_27__n gnd_n_n a_9__n cpu_est_1_ \ +# a_c_28__n inst_AS_000_INTreg a_8__n inst_AS_030_000_SYNC a_c_29__n inst_DTACK_SYNC \ +# a_7__n inst_VPA_D a_c_30__n inst_VPA_SYNC a_6__n inst_CLK_000_D a_c_31__n \ +# inst_CLK_000_DD a_5__n inst_CLK_OUT_PRE CPU_SPACE_c vcc_n_n a_4__n cpu_est_0_ \ +# cpu_est_2_ BG_030_c a_3__n CLK_CNT_0_ SM_AMIGA_6_ BG_000DFFSHreg a_2__n SM_AMIGA_7_ \ +# inst_UDS_000_INTreg a_1__n inst_LDS_000_INTreg BGACK_000_c inst_RISING_CLK_AMIGA \ +# DSACK_INT_1_ CLK_030_c inst_DTACK_DMA SM_AMIGA_4_ CLK_000_c SM_AMIGA_3_ SM_AMIGA_5_ \ +# CLK_OSZI_c un1_clk_000_cnt_3__n CLK_000_CNT_0_ CLK_000_CNT_1_ CLK_000_CNT_2_ \ +# CLK_000_CNT_3_ IPL_030DFFSH_0_reg state_machine_un14_as_000_int_n SM_AMIGA_2_ \ +# IPL_030DFFSH_1_reg SM_AMIGA_1_ SM_AMIGA_0_ IPL_030DFFSH_2_reg SM_AMIGA_D_0_ \ +# SM_AMIGA_D_1_ ipl_c_0__n SM_AMIGA_D_2_ clk_exp ipl_c_1__n G_128 G_130 ipl_c_2__n G_132 \ +# dsack_c_1__n DTACK_c RST_c RESETDFFreg RW_c cpu_est_0_0_ fc_c_0__n fc_c_1__n \ +# CLK_OUT_PRE_0 N_123 N_148_i clk_rising_clk_amiga_1_n N_147_i G_122 \ +# VMA_INT_1_sqmuxa_0 G_123 N_170_i G_124 N_171_i DSACK_INT_1_sqmuxa N_161_i N_120 \ +# N_164_i N_144_1 N_165_i N_251 N_168_i N_254 N_166_i N_186 N_167_i un1_clk_000_cnt_0__n \ +# N_169_i N_184 clk_cpu_est_11_0_1__n un1_clk_000_cnt_1__n N_173_i \ +# un1_clk_000_cnt_2__n N_172_i state_machine_un69_clk_000_d_n N_174_i \ +# state_machine_un78_clk_000_d_n clk_cpu_est_11_0_3__n N_149 N_121_i N_119 N_126_0 \ +# N_135 N_123_0 state_machine_un67_clk_000_d_n N_122_0 \ +# state_machine_un80_clk_000_d_n N_142_i N_132 N_143_i N_131 sm_amiga_ns_0_5__n \ +# state_machine_un25_clk_000_d_n N_141_i N_150 N_140_i N_151 sm_amiga_ns_0_4__n N_144 \ +# N_146 LDS_000_INT_1_sqmuxa_i N_143 un1_UDS_000_INT_0_sqmuxa_2_0 N_145 \ +# UDS_000_INT_0_sqmuxa_i state_machine_lds_000_int_8_n un1_UDS_000_INT_0_sqmuxa_i \ +# un1_UDS_000_INT_0_sqmuxa_2 N_124_0 state_machine_uds_000_int_8_n N_136_i \ +# LDS_000_INT_0_sqmuxa state_machine_un42_clk_030_n RISING_CLK_AMIGA_i un1_bg_030 \ +# state_machine_un4_bgack_000_0_n N_133 BG_030_c_i \ +# state_machine_as_030_000_sync_3_n state_machine_un1_clk_030_0_n N_125 \ +# state_machine_un17_clk_030_0_n N_137 un1_as_030_2_0 N_138 N_137_i \ +# DSACK_INT_1_sqmuxa_1 N_138_i un1_as_030_2 state_machine_un17_clk_030_n N_120_i \ +# state_machine_un1_clk_030_n N_125_0 state_machine_un4_bgack_000_n \ +# state_machine_as_030_000_sync_3_2_n VPA_SYNC_1_sqmuxa N_133_i VPA_SYNC_1_sqmuxa_1 \ +# un1_bg_030_0 N_122 a_c_i_0__n AS_000_INT_1_sqmuxa state_machine_uds_000_int_8_0_n \ +# N_136 state_machine_lds_000_int_8_0_n N_124 N_151_i N_130 \ +# state_machine_un15_clk_000_d_n N_146_i un1_UDS_000_INT_0_sqmuxa N_145_i \ +# UDS_000_INT_0_sqmuxa sm_amiga_ns_0_7__n LDS_000_INT_1_sqmuxa N_144_i N_139 N_150_i \ +# N_140 N_126 size_c_i_1__n N_141 state_machine_un25_clk_000_d_i_n N_121 \ +# state_machine_un80_clk_000_d_i_n N_142 state_machine_un67_clk_000_d_i_n \ +# VPA_SYNC_1_sqmuxa_1_0 state_machine_un78_clk_000_d_0_n DTACK_SYNC_1_sqmuxa \ +# clk_rising_clk_amiga_1_i_n DTACK_SYNC_1_sqmuxa_1 N_135_i N_149_2 N_104_i \ +# clk_un3_clk_000_dd_n N_149_i N_164 N_119_0 N_171 clk_000_cnt_i_1__n N_170 \ +# clk_000_cnt_i_0__n clk_cpu_est_11_3__n clk_000_cnt_i_3__n N_174 clk_000_cnt_i_2__n \ +# N_172 state_machine_un69_clk_000_d_0_n N_173 state_machine_un69_clk_000_d_0_1_n \ +# clk_cpu_est_11_1__n state_machine_un69_clk_000_d_0_2_n N_169 \ +# state_machine_un25_clk_000_d_i_1_n N_167 N_116_i_1 N_166 un1_bg_030_0_1 N_168 \ +# un1_bg_030_0_2 N_165 state_machine_as_030_000_sync_3_2_1_n VMA_INT_1_sqmuxa \ +# un1_UDS_000_INT_0_sqmuxa_i_1 N_147 clk_cpu_est_11_0_1_3__n N_148 \ +# clk_cpu_est_11_0_1_1__n RW_i clk_cpu_est_11_0_2_1__n clk_exp_i N_251_1 CLK_000_DD_i \ +# N_251_2 CLK_000_D_i N_251_3 AS_000_INT_i N_251_4 cpu_est_i_0__n N_251_5 \ +# cpu_est_i_2__n N_251_6 cpu_est_i_3__n N_254_1 cpu_est_i_1__n N_254_2 N_149_2_i \ +# DSACK_INT_1_sqmuxa_1_0 VPA_D_i DSACK_INT_1_sqmuxa_2 DTACK_i DSACK_INT_1_sqmuxa_3 \ +# VPA_SYNC_i N_149_1 DTACK_SYNC_i N_149_2_0 AS_030_i N_132_1 DTACK_SYNC_1_sqmuxa_i \ +# N_131_1 DS_030_i state_machine_un42_clk_030_1_n sm_amiga_i_4__n \ +# state_machine_un42_clk_030_2_n sm_amiga_i_6__n state_machine_un42_clk_030_3_n \ +# sm_amiga_i_5__n state_machine_un42_clk_030_4_n N_139_i \ +# state_machine_un42_clk_030_5_n state_machine_un15_clk_000_d_i_n N_142_1 N_130_i \ +# N_130_1 sm_amiga_i_0__n N_130_2 sm_amiga_i_7__n UDS_000_INT_0_sqmuxa_1 \ +# VPA_SYNC_1_sqmuxa_i UDS_000_INT_0_sqmuxa_2 dsack_i_1__n DTACK_SYNC_1_sqmuxa_1_0 \ +# DSACK_INT_1_sqmuxa_i VPA_SYNC_1_sqmuxa_1_1 a_i_18__n VPA_SYNC_1_sqmuxa_2 a_i_16__n \ +# VPA_SYNC_1_sqmuxa_3 a_i_19__n N_171_1 CLK_030_i N_170_1 \ +# state_machine_un42_clk_030_i_n N_174_1 AS_030_000_SYNC_i clk_exp_1 N_131_i \ +# cpu_est_0_1__un3_n N_132_i cpu_est_0_1__un1_n sm_amiga_i_2__n cpu_est_0_1__un0_n \ +# sm_amiga_i_1__n vma_int_0_un3_n sm_amiga_i_3__n vma_int_0_un1_n CLK_000_i \ +# vma_int_0_un0_n a_i_30__n cpu_est_0_3__un3_n a_i_31__n cpu_est_0_3__un1_n a_i_28__n \ +# cpu_est_0_3__un0_n a_i_29__n cpu_est_0_2__un3_n a_i_26__n cpu_est_0_2__un1_n \ +# a_i_27__n cpu_est_0_2__un0_n a_i_24__n dtack_sync_0_un3_n a_i_25__n \ +# dtack_sync_0_un1_n dtack_sync_0_un0_n sm_amiga_d_0_0__un3_n RST_i \ +# sm_amiga_d_0_0__un1_n sm_amiga_d_0_0__un0_n FPU_CS_INT_i bgack_030_int_0_un3_n \ +# CPU_SPACE_i bgack_030_int_0_un1_n BGACK_030_INT_i bgack_030_int_0_un0_n AS_030_c \ +# bg_000_0_un3_n bg_000_0_un1_n bg_000_0_un0_n DS_030_c as_030_000_sync_0_un3_n \ +# as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n fpu_cs_int_0_un3_n size_c_0__n \ +# fpu_cs_int_0_un1_n fpu_cs_int_0_un0_n size_c_1__n dsack_int_0_1__un3_n \ +# dsack_int_0_1__un1_n a_c_0__n dsack_int_0_1__un0_n vpa_sync_0_un3_n \ +# vpa_sync_0_un1_n vpa_sync_0_un0_n as_000_int_0_un3_n as_000_int_0_un1_n \ +# as_000_int_0_un0_n ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ +# ipl_030_0_1__un3_n ipl_030_0_1__un1_n ipl_030_0_1__un0_n ipl_030_0_0__un3_n \ +# ipl_030_0_0__un1_n ipl_030_0_0__un0_n sm_amiga_d_0_2__un3_n a_c_16__n \ +# sm_amiga_d_0_2__un1_n sm_amiga_d_0_2__un0_n a_c_17__n sm_amiga_d_0_1__un3_n \ +# sm_amiga_d_0_1__un1_n a_c_18__n sm_amiga_d_0_1__un0_n lds_000_int_0_un3_n \ +# a_c_19__n lds_000_int_0_un1_n lds_000_int_0_un0_n a_c_20__n uds_000_int_0_un3_n \ +# uds_000_int_0_un1_n a_c_21__n uds_000_int_0_un0_n +.model bus68030 +.inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \ +CPU_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ +CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \ +A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF \ +A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF \ +A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF \ +A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF A_1_.BLIF \ +A_0_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF DSACK_1_.BLIF DTACK.BLIF \ +DSACK_0_.BLIF a_15__n.BLIF a_c_22__n.BLIF a_14__n.BLIF a_c_23__n.BLIF \ +a_13__n.BLIF a_c_24__n.BLIF a_12__n.BLIF inst_BGACK_030_INTreg.BLIF \ +a_c_25__n.BLIF inst_CLK_OUT_INTreg.BLIF a_11__n.BLIF inst_FPU_CS_INTreg.BLIF \ +a_c_26__n.BLIF cpu_est_3_reg.BLIF a_10__n.BLIF inst_VMA_INTreg.BLIF \ +a_c_27__n.BLIF gnd_n_n.BLIF a_9__n.BLIF cpu_est_1_.BLIF a_c_28__n.BLIF \ +inst_AS_000_INTreg.BLIF a_8__n.BLIF inst_AS_030_000_SYNC.BLIF a_c_29__n.BLIF \ +inst_DTACK_SYNC.BLIF a_7__n.BLIF inst_VPA_D.BLIF a_c_30__n.BLIF \ +inst_VPA_SYNC.BLIF a_6__n.BLIF inst_CLK_000_D.BLIF a_c_31__n.BLIF \ +inst_CLK_000_DD.BLIF a_5__n.BLIF inst_CLK_OUT_PRE.BLIF CPU_SPACE_c.BLIF \ +vcc_n_n.BLIF a_4__n.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF BG_030_c.BLIF \ +a_3__n.BLIF CLK_CNT_0_.BLIF SM_AMIGA_6_.BLIF BG_000DFFSHreg.BLIF a_2__n.BLIF \ +SM_AMIGA_7_.BLIF inst_UDS_000_INTreg.BLIF a_1__n.BLIF inst_LDS_000_INTreg.BLIF \ +BGACK_000_c.BLIF inst_RISING_CLK_AMIGA.BLIF DSACK_INT_1_.BLIF CLK_030_c.BLIF \ +inst_DTACK_DMA.BLIF SM_AMIGA_4_.BLIF CLK_000_c.BLIF SM_AMIGA_3_.BLIF \ +SM_AMIGA_5_.BLIF CLK_OSZI_c.BLIF un1_clk_000_cnt_3__n.BLIF CLK_000_CNT_0_.BLIF \ +CLK_000_CNT_1_.BLIF CLK_000_CNT_2_.BLIF CLK_000_CNT_3_.BLIF \ +IPL_030DFFSH_0_reg.BLIF state_machine_un14_as_000_int_n.BLIF SM_AMIGA_2_.BLIF \ +IPL_030DFFSH_1_reg.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ +IPL_030DFFSH_2_reg.BLIF SM_AMIGA_D_0_.BLIF SM_AMIGA_D_1_.BLIF ipl_c_0__n.BLIF \ +SM_AMIGA_D_2_.BLIF clk_exp.BLIF ipl_c_1__n.BLIF G_128.BLIF G_130.BLIF \ +ipl_c_2__n.BLIF G_132.BLIF dsack_c_1__n.BLIF DTACK_c.BLIF RST_c.BLIF \ +RESETDFFreg.BLIF RW_c.BLIF cpu_est_0_0_.BLIF fc_c_0__n.BLIF fc_c_1__n.BLIF \ +CLK_OUT_PRE_0.BLIF N_123.BLIF N_148_i.BLIF clk_rising_clk_amiga_1_n.BLIF \ +N_147_i.BLIF G_122.BLIF VMA_INT_1_sqmuxa_0.BLIF G_123.BLIF N_170_i.BLIF \ +G_124.BLIF N_171_i.BLIF DSACK_INT_1_sqmuxa.BLIF N_161_i.BLIF N_120.BLIF \ +N_164_i.BLIF N_144_1.BLIF N_165_i.BLIF N_251.BLIF N_168_i.BLIF N_254.BLIF \ +N_166_i.BLIF N_186.BLIF N_167_i.BLIF un1_clk_000_cnt_0__n.BLIF N_169_i.BLIF \ +N_184.BLIF clk_cpu_est_11_0_1__n.BLIF un1_clk_000_cnt_1__n.BLIF N_173_i.BLIF \ +un1_clk_000_cnt_2__n.BLIF N_172_i.BLIF state_machine_un69_clk_000_d_n.BLIF \ +N_174_i.BLIF state_machine_un78_clk_000_d_n.BLIF clk_cpu_est_11_0_3__n.BLIF \ +N_149.BLIF N_121_i.BLIF N_119.BLIF N_126_0.BLIF N_135.BLIF N_123_0.BLIF \ +state_machine_un67_clk_000_d_n.BLIF N_122_0.BLIF \ +state_machine_un80_clk_000_d_n.BLIF N_142_i.BLIF N_132.BLIF N_143_i.BLIF \ +N_131.BLIF sm_amiga_ns_0_5__n.BLIF state_machine_un25_clk_000_d_n.BLIF \ +N_141_i.BLIF N_150.BLIF N_140_i.BLIF N_151.BLIF sm_amiga_ns_0_4__n.BLIF \ +N_144.BLIF N_146.BLIF LDS_000_INT_1_sqmuxa_i.BLIF N_143.BLIF \ +un1_UDS_000_INT_0_sqmuxa_2_0.BLIF N_145.BLIF UDS_000_INT_0_sqmuxa_i.BLIF \ +state_machine_lds_000_int_8_n.BLIF un1_UDS_000_INT_0_sqmuxa_i.BLIF \ +un1_UDS_000_INT_0_sqmuxa_2.BLIF N_124_0.BLIF \ +state_machine_uds_000_int_8_n.BLIF N_136_i.BLIF LDS_000_INT_0_sqmuxa.BLIF \ +state_machine_un42_clk_030_n.BLIF RISING_CLK_AMIGA_i.BLIF un1_bg_030.BLIF \ +state_machine_un4_bgack_000_0_n.BLIF N_133.BLIF BG_030_c_i.BLIF \ +state_machine_as_030_000_sync_3_n.BLIF state_machine_un1_clk_030_0_n.BLIF \ +N_125.BLIF state_machine_un17_clk_030_0_n.BLIF N_137.BLIF un1_as_030_2_0.BLIF \ +N_138.BLIF N_137_i.BLIF DSACK_INT_1_sqmuxa_1.BLIF N_138_i.BLIF \ +un1_as_030_2.BLIF state_machine_un17_clk_030_n.BLIF N_120_i.BLIF \ +state_machine_un1_clk_030_n.BLIF N_125_0.BLIF \ +state_machine_un4_bgack_000_n.BLIF state_machine_as_030_000_sync_3_2_n.BLIF \ +VPA_SYNC_1_sqmuxa.BLIF N_133_i.BLIF VPA_SYNC_1_sqmuxa_1.BLIF un1_bg_030_0.BLIF \ +N_122.BLIF a_c_i_0__n.BLIF AS_000_INT_1_sqmuxa.BLIF \ +state_machine_uds_000_int_8_0_n.BLIF N_136.BLIF \ +state_machine_lds_000_int_8_0_n.BLIF N_124.BLIF N_151_i.BLIF N_130.BLIF \ +state_machine_un15_clk_000_d_n.BLIF N_146_i.BLIF un1_UDS_000_INT_0_sqmuxa.BLIF \ +N_145_i.BLIF UDS_000_INT_0_sqmuxa.BLIF sm_amiga_ns_0_7__n.BLIF \ +LDS_000_INT_1_sqmuxa.BLIF N_144_i.BLIF N_139.BLIF N_150_i.BLIF N_140.BLIF \ +N_126.BLIF size_c_i_1__n.BLIF N_141.BLIF state_machine_un25_clk_000_d_i_n.BLIF \ +N_121.BLIF state_machine_un80_clk_000_d_i_n.BLIF N_142.BLIF \ +state_machine_un67_clk_000_d_i_n.BLIF VPA_SYNC_1_sqmuxa_1_0.BLIF \ +state_machine_un78_clk_000_d_0_n.BLIF DTACK_SYNC_1_sqmuxa.BLIF \ +clk_rising_clk_amiga_1_i_n.BLIF DTACK_SYNC_1_sqmuxa_1.BLIF N_135_i.BLIF \ +N_149_2.BLIF N_104_i.BLIF clk_un3_clk_000_dd_n.BLIF N_149_i.BLIF N_164.BLIF \ +N_119_0.BLIF N_171.BLIF clk_000_cnt_i_1__n.BLIF N_170.BLIF \ +clk_000_cnt_i_0__n.BLIF clk_cpu_est_11_3__n.BLIF clk_000_cnt_i_3__n.BLIF \ +N_174.BLIF clk_000_cnt_i_2__n.BLIF N_172.BLIF \ +state_machine_un69_clk_000_d_0_n.BLIF N_173.BLIF \ +state_machine_un69_clk_000_d_0_1_n.BLIF clk_cpu_est_11_1__n.BLIF \ +state_machine_un69_clk_000_d_0_2_n.BLIF N_169.BLIF \ +state_machine_un25_clk_000_d_i_1_n.BLIF N_167.BLIF N_116_i_1.BLIF N_166.BLIF \ +un1_bg_030_0_1.BLIF N_168.BLIF un1_bg_030_0_2.BLIF N_165.BLIF \ +state_machine_as_030_000_sync_3_2_1_n.BLIF VMA_INT_1_sqmuxa.BLIF \ +un1_UDS_000_INT_0_sqmuxa_i_1.BLIF N_147.BLIF clk_cpu_est_11_0_1_3__n.BLIF \ +N_148.BLIF clk_cpu_est_11_0_1_1__n.BLIF RW_i.BLIF clk_cpu_est_11_0_2_1__n.BLIF \ +clk_exp_i.BLIF N_251_1.BLIF CLK_000_DD_i.BLIF N_251_2.BLIF CLK_000_D_i.BLIF \ +N_251_3.BLIF AS_000_INT_i.BLIF N_251_4.BLIF cpu_est_i_0__n.BLIF N_251_5.BLIF \ +cpu_est_i_2__n.BLIF N_251_6.BLIF cpu_est_i_3__n.BLIF N_254_1.BLIF \ +cpu_est_i_1__n.BLIF N_254_2.BLIF N_149_2_i.BLIF DSACK_INT_1_sqmuxa_1_0.BLIF \ +VPA_D_i.BLIF DSACK_INT_1_sqmuxa_2.BLIF DTACK_i.BLIF DSACK_INT_1_sqmuxa_3.BLIF \ +VPA_SYNC_i.BLIF N_149_1.BLIF DTACK_SYNC_i.BLIF N_149_2_0.BLIF AS_030_i.BLIF \ +N_132_1.BLIF DTACK_SYNC_1_sqmuxa_i.BLIF N_131_1.BLIF DS_030_i.BLIF \ +state_machine_un42_clk_030_1_n.BLIF sm_amiga_i_4__n.BLIF \ +state_machine_un42_clk_030_2_n.BLIF sm_amiga_i_6__n.BLIF \ +state_machine_un42_clk_030_3_n.BLIF sm_amiga_i_5__n.BLIF \ +state_machine_un42_clk_030_4_n.BLIF N_139_i.BLIF \ +state_machine_un42_clk_030_5_n.BLIF state_machine_un15_clk_000_d_i_n.BLIF \ +N_142_1.BLIF N_130_i.BLIF N_130_1.BLIF sm_amiga_i_0__n.BLIF N_130_2.BLIF \ +sm_amiga_i_7__n.BLIF UDS_000_INT_0_sqmuxa_1.BLIF VPA_SYNC_1_sqmuxa_i.BLIF \ +UDS_000_INT_0_sqmuxa_2.BLIF dsack_i_1__n.BLIF DTACK_SYNC_1_sqmuxa_1_0.BLIF \ +DSACK_INT_1_sqmuxa_i.BLIF VPA_SYNC_1_sqmuxa_1_1.BLIF a_i_18__n.BLIF \ +VPA_SYNC_1_sqmuxa_2.BLIF a_i_16__n.BLIF VPA_SYNC_1_sqmuxa_3.BLIF \ +a_i_19__n.BLIF N_171_1.BLIF CLK_030_i.BLIF N_170_1.BLIF \ +state_machine_un42_clk_030_i_n.BLIF N_174_1.BLIF AS_030_000_SYNC_i.BLIF \ +clk_exp_1.BLIF N_131_i.BLIF cpu_est_0_1__un3_n.BLIF N_132_i.BLIF \ +cpu_est_0_1__un1_n.BLIF sm_amiga_i_2__n.BLIF cpu_est_0_1__un0_n.BLIF \ +sm_amiga_i_1__n.BLIF vma_int_0_un3_n.BLIF sm_amiga_i_3__n.BLIF \ +vma_int_0_un1_n.BLIF CLK_000_i.BLIF vma_int_0_un0_n.BLIF a_i_30__n.BLIF \ +cpu_est_0_3__un3_n.BLIF a_i_31__n.BLIF cpu_est_0_3__un1_n.BLIF a_i_28__n.BLIF \ +cpu_est_0_3__un0_n.BLIF a_i_29__n.BLIF cpu_est_0_2__un3_n.BLIF a_i_26__n.BLIF \ +cpu_est_0_2__un1_n.BLIF a_i_27__n.BLIF cpu_est_0_2__un0_n.BLIF a_i_24__n.BLIF \ +dtack_sync_0_un3_n.BLIF a_i_25__n.BLIF dtack_sync_0_un1_n.BLIF \ +dtack_sync_0_un0_n.BLIF sm_amiga_d_0_0__un3_n.BLIF RST_i.BLIF \ +sm_amiga_d_0_0__un1_n.BLIF sm_amiga_d_0_0__un0_n.BLIF FPU_CS_INT_i.BLIF \ +bgack_030_int_0_un3_n.BLIF CPU_SPACE_i.BLIF bgack_030_int_0_un1_n.BLIF \ +BGACK_030_INT_i.BLIF bgack_030_int_0_un0_n.BLIF AS_030_c.BLIF \ +bg_000_0_un3_n.BLIF bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF DS_030_c.BLIF \ +as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un1_n.BLIF \ +as_030_000_sync_0_un0_n.BLIF fpu_cs_int_0_un3_n.BLIF size_c_0__n.BLIF \ +fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF size_c_1__n.BLIF \ +dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un1_n.BLIF a_c_0__n.BLIF \ +dsack_int_0_1__un0_n.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un1_n.BLIF \ +vpa_sync_0_un0_n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un1_n.BLIF \ +as_000_int_0_un0_n.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un1_n.BLIF \ +ipl_030_0_2__un0_n.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un1_n.BLIF \ +ipl_030_0_1__un0_n.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un1_n.BLIF \ +ipl_030_0_0__un0_n.BLIF sm_amiga_d_0_2__un3_n.BLIF a_c_16__n.BLIF \ +sm_amiga_d_0_2__un1_n.BLIF sm_amiga_d_0_2__un0_n.BLIF a_c_17__n.BLIF \ +sm_amiga_d_0_1__un3_n.BLIF sm_amiga_d_0_1__un1_n.BLIF a_c_18__n.BLIF \ +sm_amiga_d_0_1__un0_n.BLIF lds_000_int_0_un3_n.BLIF a_c_19__n.BLIF \ +lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF a_c_20__n.BLIF \ +uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF a_c_21__n.BLIF \ +uds_000_int_0_un0_n.BLIF DSACK_1_.PIN.BLIF DTACK.PIN.BLIF +.outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \ +CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ +AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_4_.D SM_AMIGA_4_.C \ +SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR \ +SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_0_.D cpu_est_0_.C \ +cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D \ +cpu_est_3_reg.C SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D \ +SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR \ +CLK_000_CNT_0_.D CLK_000_CNT_0_.C CLK_000_CNT_1_.D CLK_000_CNT_1_.C \ +CLK_000_CNT_2_.D CLK_000_CNT_2_.C CLK_000_CNT_3_.D CLK_000_CNT_3_.C \ +SM_AMIGA_D_0_.D SM_AMIGA_D_0_.C SM_AMIGA_D_1_.D SM_AMIGA_D_1_.C \ +SM_AMIGA_D_2_.D SM_AMIGA_D_2_.C IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C \ +IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C \ +IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C \ +IPL_030DFFSH_2_reg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +inst_AS_030_000_SYNC.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP \ +BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_AS_000_INTreg.D \ +inst_AS_000_INTreg.C inst_AS_000_INTreg.AP inst_VMA_INTreg.D inst_VMA_INTreg.C \ +inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ +inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C DSACK_INT_1_.D \ +DSACK_INT_1_.C DSACK_INT_1_.AP inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C \ +inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C \ +inst_LDS_000_INTreg.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP \ +inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP \ +inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP CLK_CNT_0_.D CLK_CNT_0_.C \ +inst_RISING_CLK_AMIGA.D inst_RISING_CLK_AMIGA.C inst_VPA_D.D inst_VPA_D.C \ +inst_CLK_000_D.D inst_CLK_000_D.C RESETDFFreg.D RESETDFFreg.C \ +inst_CLK_000_DD.D inst_CLK_000_DD.C inst_CLK_OUT_INTreg.D \ +inst_CLK_OUT_INTreg.C DSACK_1_ DTACK DSACK_0_ a_15__n a_c_22__n a_14__n \ +a_c_23__n a_13__n a_c_24__n a_12__n a_c_25__n a_11__n a_c_26__n a_10__n \ +a_c_27__n gnd_n_n a_9__n a_c_28__n a_8__n a_c_29__n a_7__n a_c_30__n a_6__n \ +a_c_31__n a_5__n CPU_SPACE_c vcc_n_n a_4__n BG_030_c a_3__n a_2__n a_1__n \ +BGACK_000_c CLK_030_c CLK_000_c CLK_OSZI_c un1_clk_000_cnt_3__n \ +state_machine_un14_as_000_int_n ipl_c_0__n clk_exp ipl_c_1__n ipl_c_2__n \ +dsack_c_1__n DTACK_c RST_c RW_c fc_c_0__n fc_c_1__n N_123 N_148_i \ +clk_rising_clk_amiga_1_n N_147_i VMA_INT_1_sqmuxa_0 N_170_i N_171_i \ +DSACK_INT_1_sqmuxa N_161_i N_120 N_164_i N_144_1 N_165_i N_251 N_168_i N_254 \ +N_166_i N_186 N_167_i un1_clk_000_cnt_0__n N_169_i N_184 clk_cpu_est_11_0_1__n \ +un1_clk_000_cnt_1__n N_173_i un1_clk_000_cnt_2__n N_172_i \ +state_machine_un69_clk_000_d_n N_174_i state_machine_un78_clk_000_d_n \ +clk_cpu_est_11_0_3__n N_149 N_121_i N_119 N_126_0 N_135 N_123_0 \ +state_machine_un67_clk_000_d_n N_122_0 state_machine_un80_clk_000_d_n N_142_i \ +N_132 N_143_i N_131 sm_amiga_ns_0_5__n state_machine_un25_clk_000_d_n N_141_i \ +N_150 N_140_i N_151 sm_amiga_ns_0_4__n N_144 N_146 LDS_000_INT_1_sqmuxa_i \ +N_143 un1_UDS_000_INT_0_sqmuxa_2_0 N_145 UDS_000_INT_0_sqmuxa_i \ +state_machine_lds_000_int_8_n un1_UDS_000_INT_0_sqmuxa_i \ +un1_UDS_000_INT_0_sqmuxa_2 N_124_0 state_machine_uds_000_int_8_n N_136_i \ +LDS_000_INT_0_sqmuxa state_machine_un42_clk_030_n RISING_CLK_AMIGA_i \ +un1_bg_030 state_machine_un4_bgack_000_0_n N_133 BG_030_c_i \ +state_machine_as_030_000_sync_3_n state_machine_un1_clk_030_0_n N_125 \ +state_machine_un17_clk_030_0_n N_137 un1_as_030_2_0 N_138 N_137_i \ +DSACK_INT_1_sqmuxa_1 N_138_i un1_as_030_2 state_machine_un17_clk_030_n N_120_i \ +state_machine_un1_clk_030_n N_125_0 state_machine_un4_bgack_000_n \ +state_machine_as_030_000_sync_3_2_n VPA_SYNC_1_sqmuxa N_133_i \ +VPA_SYNC_1_sqmuxa_1 un1_bg_030_0 N_122 a_c_i_0__n AS_000_INT_1_sqmuxa \ +state_machine_uds_000_int_8_0_n N_136 state_machine_lds_000_int_8_0_n N_124 \ +N_151_i N_130 state_machine_un15_clk_000_d_n N_146_i un1_UDS_000_INT_0_sqmuxa \ +N_145_i UDS_000_INT_0_sqmuxa sm_amiga_ns_0_7__n LDS_000_INT_1_sqmuxa N_144_i \ +N_139 N_150_i N_140 N_126 size_c_i_1__n N_141 state_machine_un25_clk_000_d_i_n \ +N_121 state_machine_un80_clk_000_d_i_n N_142 state_machine_un67_clk_000_d_i_n \ +VPA_SYNC_1_sqmuxa_1_0 state_machine_un78_clk_000_d_0_n DTACK_SYNC_1_sqmuxa \ +clk_rising_clk_amiga_1_i_n DTACK_SYNC_1_sqmuxa_1 N_135_i N_149_2 N_104_i \ +clk_un3_clk_000_dd_n N_149_i N_164 N_119_0 N_171 clk_000_cnt_i_1__n N_170 \ +clk_000_cnt_i_0__n clk_cpu_est_11_3__n clk_000_cnt_i_3__n N_174 \ +clk_000_cnt_i_2__n N_172 state_machine_un69_clk_000_d_0_n N_173 \ +state_machine_un69_clk_000_d_0_1_n clk_cpu_est_11_1__n \ +state_machine_un69_clk_000_d_0_2_n N_169 state_machine_un25_clk_000_d_i_1_n \ +N_167 N_116_i_1 N_166 un1_bg_030_0_1 N_168 un1_bg_030_0_2 N_165 \ +state_machine_as_030_000_sync_3_2_1_n VMA_INT_1_sqmuxa \ +un1_UDS_000_INT_0_sqmuxa_i_1 N_147 clk_cpu_est_11_0_1_3__n N_148 \ +clk_cpu_est_11_0_1_1__n RW_i clk_cpu_est_11_0_2_1__n clk_exp_i N_251_1 \ +CLK_000_DD_i N_251_2 CLK_000_D_i N_251_3 AS_000_INT_i N_251_4 cpu_est_i_0__n \ +N_251_5 cpu_est_i_2__n N_251_6 cpu_est_i_3__n N_254_1 cpu_est_i_1__n N_254_2 \ +N_149_2_i DSACK_INT_1_sqmuxa_1_0 VPA_D_i DSACK_INT_1_sqmuxa_2 DTACK_i \ +DSACK_INT_1_sqmuxa_3 VPA_SYNC_i N_149_1 DTACK_SYNC_i N_149_2_0 AS_030_i \ +N_132_1 DTACK_SYNC_1_sqmuxa_i N_131_1 DS_030_i state_machine_un42_clk_030_1_n \ +sm_amiga_i_4__n state_machine_un42_clk_030_2_n sm_amiga_i_6__n \ +state_machine_un42_clk_030_3_n sm_amiga_i_5__n state_machine_un42_clk_030_4_n \ +N_139_i state_machine_un42_clk_030_5_n state_machine_un15_clk_000_d_i_n \ +N_142_1 N_130_i N_130_1 sm_amiga_i_0__n N_130_2 sm_amiga_i_7__n \ +UDS_000_INT_0_sqmuxa_1 VPA_SYNC_1_sqmuxa_i UDS_000_INT_0_sqmuxa_2 dsack_i_1__n \ +DTACK_SYNC_1_sqmuxa_1_0 DSACK_INT_1_sqmuxa_i VPA_SYNC_1_sqmuxa_1_1 a_i_18__n \ +VPA_SYNC_1_sqmuxa_2 a_i_16__n VPA_SYNC_1_sqmuxa_3 a_i_19__n N_171_1 CLK_030_i \ +N_170_1 state_machine_un42_clk_030_i_n N_174_1 AS_030_000_SYNC_i clk_exp_1 \ +N_131_i cpu_est_0_1__un3_n N_132_i cpu_est_0_1__un1_n sm_amiga_i_2__n \ +cpu_est_0_1__un0_n sm_amiga_i_1__n vma_int_0_un3_n sm_amiga_i_3__n \ +vma_int_0_un1_n CLK_000_i vma_int_0_un0_n a_i_30__n cpu_est_0_3__un3_n \ +a_i_31__n cpu_est_0_3__un1_n a_i_28__n cpu_est_0_3__un0_n a_i_29__n \ +cpu_est_0_2__un3_n a_i_26__n cpu_est_0_2__un1_n a_i_27__n cpu_est_0_2__un0_n \ +a_i_24__n dtack_sync_0_un3_n a_i_25__n dtack_sync_0_un1_n dtack_sync_0_un0_n \ +sm_amiga_d_0_0__un3_n RST_i sm_amiga_d_0_0__un1_n sm_amiga_d_0_0__un0_n \ +FPU_CS_INT_i bgack_030_int_0_un3_n CPU_SPACE_i bgack_030_int_0_un1_n \ +BGACK_030_INT_i bgack_030_int_0_un0_n AS_030_c bg_000_0_un3_n bg_000_0_un1_n \ +bg_000_0_un0_n DS_030_c as_030_000_sync_0_un3_n as_030_000_sync_0_un1_n \ +as_030_000_sync_0_un0_n fpu_cs_int_0_un3_n size_c_0__n fpu_cs_int_0_un1_n \ +fpu_cs_int_0_un0_n size_c_1__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n \ +a_c_0__n dsack_int_0_1__un0_n vpa_sync_0_un3_n vpa_sync_0_un1_n \ +vpa_sync_0_un0_n as_000_int_0_un3_n as_000_int_0_un1_n as_000_int_0_un0_n \ +ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n ipl_030_0_1__un3_n \ +ipl_030_0_1__un1_n ipl_030_0_1__un0_n ipl_030_0_0__un3_n ipl_030_0_0__un1_n \ +ipl_030_0_0__un0_n sm_amiga_d_0_2__un3_n a_c_16__n sm_amiga_d_0_2__un1_n \ +sm_amiga_d_0_2__un0_n a_c_17__n sm_amiga_d_0_1__un3_n sm_amiga_d_0_1__un1_n \ +a_c_18__n sm_amiga_d_0_1__un0_n lds_000_int_0_un3_n a_c_19__n \ +lds_000_int_0_un1_n lds_000_int_0_un0_n a_c_20__n uds_000_int_0_un3_n \ +uds_000_int_0_un1_n a_c_21__n uds_000_int_0_un0_n DSACK_1_.OE DTACK.OE \ +AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE G_128 \ +G_130 G_132 cpu_est_0_0_ CLK_OUT_PRE_0 G_122 G_123 G_124 +.names CLK_000_D_i.BLIF N_151_i.BLIF SM_AMIGA_4_.D +11 1 +.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D +0 1 +.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D +0 1 +.names N_116_i_1.BLIF N_150_i.BLIF SM_AMIGA_1_.D +11 1 +.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D +0 1 +.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D +1- 1 +-1 1 +.names inst_CLK_000_D.BLIF N_136_i.BLIF SM_AMIGA_7_.D +11 1 +.names N_137_i.BLIF N_138_i.BLIF SM_AMIGA_6_.D +11 1 +.names inst_CLK_000_D.BLIF N_139_i.BLIF SM_AMIGA_5_.D +11 1 +.names un1_clk_000_cnt_3__n.BLIF CLK_000_CNT_0_.D +0 1 +.names sm_amiga_d_0_0__un1_n.BLIF sm_amiga_d_0_0__un0_n.BLIF SM_AMIGA_D_0_.D +1- 1 +-1 1 +.names sm_amiga_d_0_1__un1_n.BLIF sm_amiga_d_0_1__un0_n.BLIF SM_AMIGA_D_1_.D +1- 1 +-1 1 +.names sm_amiga_d_0_2__un1_n.BLIF sm_amiga_d_0_2__un0_n.BLIF SM_AMIGA_D_2_.D +1- 1 +-1 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D +1- 1 +-1 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D +1- 1 +-1 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D +1- 1 +-1 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ +inst_AS_030_000_SYNC.D +1- 1 +-1 1 +.names vpa_sync_0_un1_n.BLIF vpa_sync_0_un0_n.BLIF inst_VPA_SYNC.D +1- 1 +-1 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D +1- 1 +-1 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INTreg.D +1- 1 +-1 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D +1- 1 +-1 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ +inst_BGACK_030_INTreg.D +1- 1 +-1 1 +.names dsack_int_0_1__un1_n.BLIF dsack_int_0_1__un0_n.BLIF DSACK_INT_1_.D +1- 1 +-1 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INTreg.D +1- 1 +-1 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INTreg.D +1- 1 +-1 1 +.names dtack_sync_0_un1_n.BLIF dtack_sync_0_un0_n.BLIF inst_DTACK_SYNC.D +1- 1 +-1 1 +.names fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF inst_FPU_CS_INTreg.D +1- 1 +-1 1 +.names state_machine_un14_as_000_int_n.BLIF inst_DTACK_DMA.D +0 1 +.names CLK_CNT_0_.BLIF CLK_CNT_0_.D +0 1 +.names gnd_n_n +.names vcc_n_n + 1 +.names CLK_000_CNT_0_.BLIF N_104_i.BLIF un1_clk_000_cnt_3__n +11 1 +.names AS_000_INT_i.BLIF dsack_i_1__n.BLIF state_machine_un14_as_000_int_n +11 1 +.names clk_exp_1.BLIF G_123.BLIF clk_exp +11 1 +.names N_123_0.BLIF N_123 +0 1 +.names N_148.BLIF N_148_i +0 1 +.names CLK_000_D_i.BLIF CLK_000_c.BLIF clk_rising_clk_amiga_1_n +11 1 +.names N_147.BLIF N_147_i +0 1 +.names N_147_i.BLIF N_148_i.BLIF VMA_INT_1_sqmuxa_0 +11 1 +.names N_170.BLIF N_170_i +0 1 +.names N_171.BLIF N_171_i +0 1 +.names DSACK_INT_1_sqmuxa_3.BLIF state_machine_un78_clk_000_d_n.BLIF \ +DSACK_INT_1_sqmuxa +11 1 +.names N_170_i.BLIF N_171_i.BLIF N_161_i +11 1 +.names N_120_i.BLIF N_120 +0 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_164_i +11 1 +.names CLK_000_i.BLIF N_119.BLIF N_144_1 +11 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_165_i +11 1 +.names N_251_5.BLIF N_251_6.BLIF N_251 +11 1 +.names N_168.BLIF N_168_i +0 1 +.names N_254_1.BLIF N_254_2.BLIF N_254 +11 1 +.names N_166.BLIF N_166_i +0 1 +.names CLK_000_CNT_2_.BLIF N_184.BLIF N_186 +11 1 +.names N_167.BLIF N_167_i +0 1 +.names CLK_000_CNT_3_.BLIF N_104_i.BLIF un1_clk_000_cnt_0__n +11 1 +.names N_169.BLIF N_169_i +0 1 +.names CLK_000_CNT_1_.BLIF un1_clk_000_cnt_3__n.BLIF N_184 +11 1 +.names clk_cpu_est_11_0_1_1__n.BLIF clk_cpu_est_11_0_2_1__n.BLIF \ +clk_cpu_est_11_0_1__n +11 1 +.names CLK_000_CNT_2_.BLIF N_104_i.BLIF un1_clk_000_cnt_1__n +11 1 +.names N_173.BLIF N_173_i +0 1 +.names CLK_000_CNT_1_.BLIF N_104_i.BLIF un1_clk_000_cnt_2__n +11 1 +.names N_172.BLIF N_172_i +0 1 +.names state_machine_un69_clk_000_d_0_n.BLIF state_machine_un69_clk_000_d_n +0 1 +.names N_174.BLIF N_174_i +0 1 +.names state_machine_un78_clk_000_d_0_n.BLIF state_machine_un78_clk_000_d_n +0 1 +.names clk_cpu_est_11_0_1_3__n.BLIF N_173_i.BLIF clk_cpu_est_11_0_3__n +11 1 +.names N_149_1.BLIF N_149_2_0.BLIF N_149 +11 1 +.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF N_121_i +11 1 +.names N_119_0.BLIF N_119 +0 1 +.names sm_amiga_i_3__n.BLIF sm_amiga_i_4__n.BLIF N_126_0 +11 1 +.names inst_CLK_000_D.BLIF CLK_000_i.BLIF N_135 +11 1 +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D.BLIF N_123_0 +11 1 +.names DTACK_SYNC_i.BLIF state_machine_un69_clk_000_d_n.BLIF \ +state_machine_un67_clk_000_d_n +11 1 +.names inst_CLK_000_D.BLIF N_120_i.BLIF N_122_0 +11 1 +.names VPA_SYNC_i.BLIF state_machine_un69_clk_000_d_n.BLIF \ +state_machine_un80_clk_000_d_n +11 1 +.names N_142.BLIF N_142_i +0 1 +.names N_132_1.BLIF sm_amiga_i_3__n.BLIF N_132 +11 1 +.names N_143.BLIF N_143_i +0 1 +.names N_131_1.BLIF sm_amiga_i_1__n.BLIF N_131 +11 1 +.names N_142_i.BLIF N_143_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names state_machine_un25_clk_000_d_i_n.BLIF state_machine_un25_clk_000_d_n +0 1 +.names N_141.BLIF N_141_i +0 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_150 +11 1 +.names N_140.BLIF N_140_i +0 1 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_151 +11 1 +.names N_140_i.BLIF N_141_i.BLIF sm_amiga_ns_0_4__n +11 1 +.names N_144_1.BLIF sm_amiga_i_2__n.BLIF N_144 +11 1 +.names N_144_1.BLIF SM_AMIGA_1_.BLIF N_146 +11 1 +.names LDS_000_INT_1_sqmuxa.BLIF LDS_000_INT_1_sqmuxa_i +0 1 +.names CLK_000_D_i.BLIF SM_AMIGA_2_.BLIF N_143 +11 1 +.names LDS_000_INT_1_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa.BLIF \ +un1_UDS_000_INT_0_sqmuxa_2_0 +11 1 +.names N_123.BLIF SM_AMIGA_0_.BLIF N_145 +11 1 +.names UDS_000_INT_0_sqmuxa.BLIF UDS_000_INT_0_sqmuxa_i +0 1 +.names state_machine_lds_000_int_8_0_n.BLIF state_machine_lds_000_int_8_n +0 1 +.names un1_UDS_000_INT_0_sqmuxa_i_1.BLIF UDS_000_INT_0_sqmuxa_i.BLIF \ +un1_UDS_000_INT_0_sqmuxa_i +11 1 +.names un1_UDS_000_INT_0_sqmuxa_2_0.BLIF un1_UDS_000_INT_0_sqmuxa_2 +0 1 +.names inst_AS_000_INTreg.BLIF SM_AMIGA_0_.BLIF N_124_0 +11 1 +.names state_machine_uds_000_int_8_0_n.BLIF state_machine_uds_000_int_8_n +0 1 +.names N_136.BLIF N_136_i +0 1 +.names AS_030_i.BLIF un1_UDS_000_INT_0_sqmuxa_2.BLIF LDS_000_INT_0_sqmuxa +11 1 +.names state_machine_un42_clk_030_4_n.BLIF state_machine_un42_clk_030_5_n.BLIF \ +state_machine_un42_clk_030_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF RISING_CLK_AMIGA_i +0 1 +.names un1_bg_030_0.BLIF un1_bg_030 +0 1 +.names BGACK_000_c.BLIF RISING_CLK_AMIGA_i.BLIF \ +state_machine_un4_bgack_000_0_n +11 1 +.names sm_amiga_i_6__n.BLIF sm_amiga_i_7__n.BLIF N_133 +11 1 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names state_machine_as_030_000_sync_3_2_n.BLIF \ +state_machine_as_030_000_sync_3_n +0 1 +.names BG_030_c_i.BLIF CLK_030_c.BLIF state_machine_un1_clk_030_0_n +11 1 +.names N_125_0.BLIF N_125 +0 1 +.names AS_030_i.BLIF CLK_030_i.BLIF state_machine_un17_clk_030_0_n +11 1 +.names N_125.BLIF sm_amiga_i_6__n.BLIF N_137 +11 1 +.names AS_030_i.BLIF state_machine_un42_clk_030_n.BLIF un1_as_030_2_0 +11 1 +.names AS_030_000_SYNC_i.BLIF inst_CLK_000_D.BLIF N_138 +11 1 +.names N_137.BLIF N_137_i +0 1 +.names AS_030_i.BLIF DSACK_INT_1_sqmuxa_i.BLIF DSACK_INT_1_sqmuxa_1 +11 1 +.names N_138.BLIF N_138_i +0 1 +.names un1_as_030_2_0.BLIF un1_as_030_2 +0 1 +.names state_machine_un17_clk_030_0_n.BLIF state_machine_un17_clk_030_n +0 1 +.names AS_030_000_SYNC_i.BLIF SM_AMIGA_6_.BLIF N_120_i +11 1 +.names state_machine_un1_clk_030_0_n.BLIF state_machine_un1_clk_030_n +0 1 +.names CLK_000_D_i.BLIF SM_AMIGA_7_.BLIF N_125_0 +11 1 +.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n +0 1 +.names state_machine_as_030_000_sync_3_2_1_n.BLIF \ +state_machine_un42_clk_030_i_n.BLIF state_machine_as_030_000_sync_3_2_n +11 1 +.names VPA_SYNC_1_sqmuxa_3.BLIF cpu_est_2_.BLIF VPA_SYNC_1_sqmuxa +11 1 +.names N_133.BLIF N_133_i +0 1 +.names AS_030_i.BLIF VPA_SYNC_1_sqmuxa_i.BLIF VPA_SYNC_1_sqmuxa_1 +11 1 +.names un1_bg_030_0_1.BLIF un1_bg_030_0_2.BLIF un1_bg_030_0 +11 1 +.names N_122_0.BLIF N_122 +0 1 +.names a_c_0__n.BLIF a_c_i_0__n +0 1 +.names AS_030_i.BLIF N_122.BLIF AS_000_INT_1_sqmuxa +11 1 +.names a_c_i_0__n.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF \ +state_machine_uds_000_int_8_0_n +11 1 +.names N_124.BLIF sm_amiga_i_7__n.BLIF N_136 +11 1 +.names state_machine_un25_clk_000_d_n.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF \ +state_machine_lds_000_int_8_0_n +11 1 +.names N_124_0.BLIF N_124 +0 1 +.names N_151.BLIF N_151_i +0 1 +.names N_130_1.BLIF N_130_2.BLIF N_130 +11 1 +.names DS_030_i.BLIF RW_c.BLIF state_machine_un15_clk_000_d_n +11 1 +.names N_146.BLIF N_146_i +0 1 +.names un1_UDS_000_INT_0_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa +0 1 +.names N_145.BLIF N_145_i +0 1 +.names UDS_000_INT_0_sqmuxa_1.BLIF UDS_000_INT_0_sqmuxa_2.BLIF \ +UDS_000_INT_0_sqmuxa +11 1 +.names N_145_i.BLIF N_146_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names N_139_i.BLIF state_machine_un15_clk_000_d_i_n.BLIF LDS_000_INT_1_sqmuxa +11 1 +.names N_144.BLIF N_144_i +0 1 +.names N_120.BLIF sm_amiga_i_5__n.BLIF N_139 +11 1 +.names N_150.BLIF N_150_i +0 1 +.names inst_CLK_000_D.BLIF N_126.BLIF N_140 +11 1 +.names N_126_0.BLIF N_126 +0 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names N_121_i.BLIF SM_AMIGA_3_.BLIF N_141 +11 1 +.names state_machine_un25_clk_000_d_i_1_n.BLIF size_c_i_1__n.BLIF \ +state_machine_un25_clk_000_d_i_n +11 1 +.names N_121_i.BLIF N_121 +0 1 +.names state_machine_un80_clk_000_d_n.BLIF state_machine_un80_clk_000_d_i_n +0 1 +.names N_142_1.BLIF SM_AMIGA_3_.BLIF N_142 +11 1 +.names state_machine_un67_clk_000_d_n.BLIF state_machine_un67_clk_000_d_i_n +0 1 +.names inst_CLK_000_D.BLIF SM_AMIGA_3_.BLIF VPA_SYNC_1_sqmuxa_1_0 +11 1 +.names state_machine_un67_clk_000_d_i_n.BLIF \ +state_machine_un80_clk_000_d_i_n.BLIF state_machine_un78_clk_000_d_0_n +11 1 +.names DTACK_SYNC_1_sqmuxa_1_0.BLIF VPA_SYNC_1_sqmuxa_1_0.BLIF \ +DTACK_SYNC_1_sqmuxa +11 1 +.names clk_rising_clk_amiga_1_n.BLIF clk_rising_clk_amiga_1_i_n +0 1 +.names AS_030_i.BLIF DTACK_SYNC_1_sqmuxa_i.BLIF DTACK_SYNC_1_sqmuxa_1 +11 1 +.names N_135.BLIF N_135_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_149_2 +11 1 +.names N_135_i.BLIF clk_rising_clk_amiga_1_i_n.BLIF N_104_i +11 1 +.names inst_CLK_000_D.BLIF CLK_000_DD_i.BLIF clk_un3_clk_000_dd_n +11 1 +.names N_149.BLIF N_149_i +0 1 +.names N_164_i.BLIF N_164 +0 1 +.names inst_DTACK_SYNC.BLIF N_149_i.BLIF N_119_0 +11 1 +.names N_171_1.BLIF cpu_est_i_2__n.BLIF N_171 +11 1 +.names CLK_000_CNT_1_.BLIF clk_000_cnt_i_1__n +0 1 +.names N_170_1.BLIF cpu_est_i_3__n.BLIF N_170 +11 1 +.names CLK_000_CNT_0_.BLIF clk_000_cnt_i_0__n +0 1 +.names clk_cpu_est_11_0_3__n.BLIF clk_cpu_est_11_3__n +0 1 +.names CLK_000_CNT_3_.BLIF clk_000_cnt_i_3__n +0 1 +.names N_174_1.BLIF cpu_est_i_2__n.BLIF N_174 +11 1 +.names CLK_000_CNT_2_.BLIF clk_000_cnt_i_2__n +0 1 +.names N_165.BLIF cpu_est_3_reg.BLIF N_172 +11 1 +.names state_machine_un69_clk_000_d_0_1_n.BLIF \ +state_machine_un69_clk_000_d_0_2_n.BLIF state_machine_un69_clk_000_d_0_n +11 1 +.names N_165_i.BLIF cpu_est_i_2__n.BLIF N_173 +11 1 +.names clk_000_cnt_i_0__n.BLIF clk_000_cnt_i_1__n.BLIF \ +state_machine_un69_clk_000_d_0_1_n +11 1 +.names clk_cpu_est_11_0_1__n.BLIF clk_cpu_est_11_1__n +0 1 +.names clk_000_cnt_i_2__n.BLIF clk_000_cnt_i_3__n.BLIF \ +state_machine_un69_clk_000_d_0_2_n +11 1 +.names N_149_2.BLIF cpu_est_3_reg.BLIF N_169 +11 1 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF state_machine_un25_clk_000_d_i_1_n +11 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_167 +11 1 +.names N_143_i.BLIF N_144_i.BLIF N_116_i_1 +11 1 +.names N_164.BLIF cpu_est_i_0__n.BLIF N_166 +11 1 +.names BG_030_c_i.BLIF CPU_SPACE_i.BLIF un1_bg_030_0_1 +11 1 +.names N_164_i.BLIF cpu_est_0_.BLIF N_168 +11 1 +.names AS_030_c.BLIF N_133_i.BLIF un1_bg_030_0_2 +11 1 +.names N_165_i.BLIF N_165 +0 1 +.names AS_030_i.BLIF CPU_SPACE_i.BLIF state_machine_as_030_000_sync_3_2_1_n +11 1 +.names VMA_INT_1_sqmuxa_0.BLIF VMA_INT_1_sqmuxa +0 1 +.names N_122.BLIF sm_amiga_i_5__n.BLIF un1_UDS_000_INT_0_sqmuxa_i_1 +11 1 +.names CLK_000_D_i.BLIF inst_VPA_SYNC.BLIF N_147 +11 1 +.names N_174_i.BLIF N_172_i.BLIF clk_cpu_est_11_0_1_3__n +11 1 +.names AS_000_INT_i.BLIF inst_CLK_000_D.BLIF N_148 +11 1 +.names N_169_i.BLIF N_167_i.BLIF clk_cpu_est_11_0_1_1__n +11 1 +.names RW_c.BLIF RW_i +0 1 +.names N_166_i.BLIF N_168_i.BLIF clk_cpu_est_11_0_2_1__n +11 1 +.names clk_exp.BLIF clk_exp_i +0 1 +.names a_i_24__n.BLIF a_i_25__n.BLIF N_251_1 +11 1 +.names inst_CLK_000_DD.BLIF CLK_000_DD_i +0 1 +.names a_i_26__n.BLIF a_i_27__n.BLIF N_251_2 +11 1 +.names inst_CLK_000_D.BLIF CLK_000_D_i +0 1 +.names a_i_28__n.BLIF a_i_29__n.BLIF N_251_3 +11 1 +.names inst_AS_000_INTreg.BLIF AS_000_INT_i +0 1 +.names a_i_30__n.BLIF a_i_31__n.BLIF N_251_4 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_251_1.BLIF N_251_2.BLIF N_251_5 +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names N_251_3.BLIF N_251_4.BLIF N_251_6 +11 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n +0 1 +.names a_c_20__n.BLIF a_c_21__n.BLIF N_254_1 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names a_c_22__n.BLIF a_c_23__n.BLIF N_254_2 +11 1 +.names N_149_2.BLIF N_149_2_i +0 1 +.names AS_030_000_SYNC_i.BLIF CLK_000_D_i.BLIF DSACK_INT_1_sqmuxa_1_0 +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names inst_CLK_OUT_PRE.BLIF SM_AMIGA_0_.BLIF DSACK_INT_1_sqmuxa_2 +11 1 +.names DTACK_c.BLIF DTACK_i +0 1 +.names DSACK_INT_1_sqmuxa_1_0.BLIF DSACK_INT_1_sqmuxa_2.BLIF \ +DSACK_INT_1_sqmuxa_3 +11 1 +.names inst_VPA_SYNC.BLIF VPA_SYNC_i +0 1 +.names N_149_2.BLIF VPA_SYNC_i.BLIF N_149_1 +11 1 +.names inst_DTACK_SYNC.BLIF DTACK_SYNC_i +0 1 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_149_2_0 +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_150.BLIF sm_amiga_i_0__n.BLIF N_132_1 +11 1 +.names DTACK_SYNC_1_sqmuxa.BLIF DTACK_SYNC_1_sqmuxa_i +0 1 +.names N_151.BLIF sm_amiga_i_0__n.BLIF N_131_1 +11 1 +.names DS_030_c.BLIF DS_030_i +0 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF state_machine_un42_clk_030_1_n +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF state_machine_un42_clk_030_2_n +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names fc_c_1__n.BLIF BGACK_000_c.BLIF state_machine_un42_clk_030_3_n +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names state_machine_un42_clk_030_1_n.BLIF state_machine_un42_clk_030_2_n.BLIF \ +state_machine_un42_clk_030_4_n +11 1 +.names N_139.BLIF N_139_i +0 1 +.names state_machine_un42_clk_030_3_n.BLIF fc_c_0__n.BLIF \ +state_machine_un42_clk_030_5_n +11 1 +.names state_machine_un15_clk_000_d_n.BLIF state_machine_un15_clk_000_d_i_n +0 1 +.names CLK_000_D_i.BLIF N_121.BLIF N_142_1 +11 1 +.names N_130.BLIF N_130_i +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_2__n.BLIF N_130_1 +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_6__n.BLIF N_130_2 +11 1 +.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n +0 1 +.names inst_CLK_000_D.BLIF DS_030_i.BLIF UDS_000_INT_0_sqmuxa_1 +11 1 +.names VPA_SYNC_1_sqmuxa.BLIF VPA_SYNC_1_sqmuxa_i +0 1 +.names RW_i.BLIF SM_AMIGA_4_.BLIF UDS_000_INT_0_sqmuxa_2 +11 1 +.names dsack_c_1__n.BLIF dsack_i_1__n +0 1 +.names DTACK_i.BLIF inst_VPA_D.BLIF DTACK_SYNC_1_sqmuxa_1_0 +11 1 +.names DSACK_INT_1_sqmuxa.BLIF DSACK_INT_1_sqmuxa_i +0 1 +.names N_164_i.BLIF VPA_D_i.BLIF VPA_SYNC_1_sqmuxa_1_1 +11 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names VPA_SYNC_1_sqmuxa_1_0.BLIF cpu_est_0_.BLIF VPA_SYNC_1_sqmuxa_2 +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names VPA_SYNC_1_sqmuxa_1_1.BLIF VPA_SYNC_1_sqmuxa_2.BLIF VPA_SYNC_1_sqmuxa_3 +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_171_1 +11 1 +.names CLK_030_c.BLIF CLK_030_i +0 1 +.names N_149_2_i.BLIF cpu_est_0_.BLIF N_170_1 +11 1 +.names state_machine_un42_clk_030_n.BLIF state_machine_un42_clk_030_i_n +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_174_1 +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names G_124.BLIF G_122.BLIF clk_exp_1 +11 1 +.names N_131.BLIF N_131_i +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_1__un3_n +0 1 +.names N_132.BLIF N_132_i +0 1 +.names clk_cpu_est_11_1__n.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_1__un1_n +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names VMA_INT_1_sqmuxa.BLIF vma_int_0_un3_n +0 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_1_sqmuxa.BLIF vma_int_0_un1_n +11 1 +.names CLK_000_c.BLIF CLK_000_i +0 1 +.names inst_CLK_000_D.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_3__un3_n +0 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names clk_cpu_est_11_3__n.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_3__un1_n +11 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_2__un3_n +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_161_i.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_2__un1_n +11 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names DTACK_SYNC_1_sqmuxa_1.BLIF dtack_sync_0_un3_n +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names inst_DTACK_SYNC.BLIF DTACK_SYNC_1_sqmuxa_1.BLIF dtack_sync_0_un1_n +11 1 +.names DTACK_SYNC_1_sqmuxa_i.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un0_n +11 1 +.names RST_c.BLIF sm_amiga_d_0_0__un3_n +0 1 +.names RST_c.BLIF RST_i +0 1 +.names N_130_i.BLIF RST_c.BLIF sm_amiga_d_0_0__un1_n +11 1 +.names SM_AMIGA_D_0_.BLIF sm_amiga_d_0_0__un3_n.BLIF sm_amiga_d_0_0__un0_n +11 1 +.names inst_FPU_CS_INTreg.BLIF FPU_CS_INT_i +0 1 +.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names CPU_SPACE_c.BLIF CPU_SPACE_i +0 1 +.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF \ +bgack_030_int_0_un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n +11 1 +.names state_machine_un1_clk_030_n.BLIF bg_000_0_un3_n +0 1 +.names un1_bg_030.BLIF state_machine_un1_clk_030_n.BLIF bg_000_0_un1_n +11 1 +.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names state_machine_un17_clk_030_n.BLIF as_030_000_sync_0_un3_n +0 1 +.names state_machine_as_030_000_sync_3_n.BLIF \ +state_machine_un17_clk_030_n.BLIF as_030_000_sync_0_un1_n +11 1 +.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n +11 1 +.names state_machine_un17_clk_030_n.BLIF fpu_cs_int_0_un3_n +0 1 +.names un1_as_030_2.BLIF state_machine_un17_clk_030_n.BLIF fpu_cs_int_0_un1_n +11 1 +.names inst_FPU_CS_INTreg.BLIF fpu_cs_int_0_un3_n.BLIF fpu_cs_int_0_un0_n +11 1 +.names DSACK_INT_1_sqmuxa_1.BLIF dsack_int_0_1__un3_n +0 1 +.names DSACK_INT_1_.BLIF DSACK_INT_1_sqmuxa_1.BLIF dsack_int_0_1__un1_n +11 1 +.names DSACK_INT_1_sqmuxa_i.BLIF dsack_int_0_1__un3_n.BLIF \ +dsack_int_0_1__un0_n +11 1 +.names VPA_SYNC_1_sqmuxa_1.BLIF vpa_sync_0_un3_n +0 1 +.names inst_VPA_SYNC.BLIF VPA_SYNC_1_sqmuxa_1.BLIF vpa_sync_0_un1_n +11 1 +.names VPA_SYNC_1_sqmuxa_i.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n +11 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 +.names inst_AS_000_INTreg.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names N_122.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_2__un3_n +0 1 +.names ipl_c_2__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_2__un1_n +11 1 +.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_1__un3_n +0 1 +.names ipl_c_1__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_1__un1_n +11 1 +.names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_0__un3_n +0 1 +.names ipl_c_0__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_0__un1_n +11 1 +.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names RST_c.BLIF sm_amiga_d_0_2__un3_n +0 1 +.names N_132_i.BLIF RST_c.BLIF sm_amiga_d_0_2__un1_n +11 1 +.names SM_AMIGA_D_2_.BLIF sm_amiga_d_0_2__un3_n.BLIF sm_amiga_d_0_2__un0_n +11 1 +.names RST_c.BLIF sm_amiga_d_0_1__un3_n +0 1 +.names N_131_i.BLIF RST_c.BLIF sm_amiga_d_0_1__un1_n +11 1 +.names SM_AMIGA_D_1_.BLIF sm_amiga_d_0_1__un3_n.BLIF sm_amiga_d_0_1__un0_n +11 1 +.names LDS_000_INT_0_sqmuxa.BLIF lds_000_int_0_un3_n +0 1 +.names inst_LDS_000_INTreg.BLIF LDS_000_INT_0_sqmuxa.BLIF lds_000_int_0_un1_n +11 1 +.names state_machine_lds_000_int_8_n.BLIF lds_000_int_0_un3_n.BLIF \ +lds_000_int_0_un0_n +11 1 +.names LDS_000_INT_0_sqmuxa.BLIF uds_000_int_0_un3_n +0 1 +.names inst_UDS_000_INTreg.BLIF LDS_000_INT_0_sqmuxa.BLIF uds_000_int_0_un1_n +11 1 +.names state_machine_uds_000_int_8_n.BLIF uds_000_int_0_un3_n.BLIF \ +uds_000_int_0_un0_n +11 1 +.names un1_clk_000_cnt_3__n.BLIF un1_clk_000_cnt_2__n.BLIF G_128 +01 1 +10 1 +11 0 +00 0 +.names N_184.BLIF un1_clk_000_cnt_1__n.BLIF G_130 +01 1 +10 1 +11 0 +00 0 +.names N_186.BLIF un1_clk_000_cnt_0__n.BLIF G_132 +01 1 +10 1 +11 0 +00 0 +.names cpu_est_0_.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_0_ +01 1 +10 1 +11 0 +00 0 +.names inst_CLK_OUT_PRE.BLIF CLK_CNT_0_.BLIF CLK_OUT_PRE_0 +01 1 +10 1 +11 0 +00 0 +.names SM_AMIGA_D_0_.BLIF N_130.BLIF G_122 +01 1 +10 1 +11 0 +00 0 +.names SM_AMIGA_D_1_.BLIF N_131.BLIF G_123 +01 1 +10 1 +11 0 +00 0 +.names SM_AMIGA_D_2_.BLIF N_132.BLIF G_124 +01 1 +10 1 +11 0 +00 0 +.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ +1 1 +0 0 +.names inst_AS_000_INTreg.BLIF AS_000 +1 1 +0 0 +.names inst_UDS_000_INTreg.BLIF UDS_000 +1 1 +0 0 +.names inst_LDS_000_INTreg.BLIF LDS_000 +1 1 +0 0 +.names gnd_n_n.BLIF BERR +1 1 +0 0 +.names BG_000DFFSHreg.BLIF BG_000 +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +0 0 +.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +0 0 +.names clk_exp_i.BLIF CLK_EXP +1 1 +0 0 +.names inst_FPU_CS_INTreg.BLIF FPU_CS +1 1 +0 0 +.names vcc_n_n.BLIF AVEC +1 1 +0 0 +.names gnd_n_n.BLIF AVEC_EXP +1 1 +0 0 +.names cpu_est_3_reg.BLIF E +1 1 +0 0 +.names inst_VMA_INTreg.BLIF VMA +1 1 +0 0 +.names RESETDFFreg.BLIF RESET +1 1 +0 0 +.names gnd_n_n.BLIF AMIGA_BUS_ENABLE +1 1 +0 0 +.names RW_i.BLIF AMIGA_BUS_DATA_DIR +1 1 +0 0 +.names vcc_n_n.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +0 0 +.names N_254.BLIF CIIN +1 1 +0 0 +.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ +1 1 +0 0 +.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_4_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_3_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_2_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_1_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_0_.AR +1 1 +0 0 +.names cpu_est_0_0_.BLIF cpu_est_0_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_0_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_3_reg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_7_.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_6_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_0_.C +1 1 +0 0 +.names G_128.BLIF CLK_000_CNT_1_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_1_.C +1 1 +0 0 +.names G_130.BLIF CLK_000_CNT_2_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_2_.C +1 1 +0 0 +.names G_132.BLIF CLK_000_CNT_3_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_3_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_0_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_1_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_2_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C +1 1 +0 0 +.names RST_i.BLIF IPL_030DFFSH_0_reg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C +1 1 +0 0 +.names RST_i.BLIF IPL_030DFFSH_1_reg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C +1 1 +0 0 +.names RST_i.BLIF IPL_030DFFSH_2_reg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +0 0 +.names RST_i.BLIF inst_AS_030_000_SYNC.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_VPA_SYNC.C +1 1 +0 0 +.names RST_i.BLIF inst_VPA_SYNC.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +1 1 +0 0 +.names RST_i.BLIF BG_000DFFSHreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_AS_000_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_AS_000_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_VMA_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_BGACK_030_INTreg.AP +1 1 +0 0 +.names CLK_OUT_PRE_0.BLIF inst_CLK_OUT_PRE.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF DSACK_INT_1_.C +1 1 +0 0 +.names RST_i.BLIF DSACK_INT_1_.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_UDS_000_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_UDS_000_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_LDS_000_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_LDS_000_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DTACK_SYNC.C +1 1 +0 0 +.names RST_i.BLIF inst_DTACK_SYNC.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_FPU_CS_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_FPU_CS_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DTACK_DMA.C +1 1 +0 0 +.names RST_i.BLIF inst_DTACK_DMA.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_CNT_0_.C +1 1 +0 0 +.names clk_rising_clk_amiga_1_n.BLIF inst_RISING_CLK_AMIGA.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RISING_CLK_AMIGA.C +1 1 +0 0 +.names VPA.BLIF inst_VPA_D.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +0 0 +.names CLK_000_c.BLIF inst_CLK_000_D.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_D.C +1 1 +0 0 +.names RST_c.BLIF RESETDFFreg.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF RESETDFFreg.C +1 1 +0 0 +.names inst_CLK_000_D.BLIF inst_CLK_000_DD.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_DD.C +1 1 +0 0 +.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_INTreg.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_INTreg.C +1 1 +0 0 +.names DSACK_INT_1_.BLIF DSACK_1_ +1 1 +0 0 +.names inst_DTACK_DMA.BLIF DTACK +1 1 +0 0 +.names vcc_n_n.BLIF DSACK_0_ +1 1 +0 0 +.names A_15_.BLIF a_15__n +1 1 +0 0 +.names A_22_.BLIF a_c_22__n +1 1 +0 0 +.names A_14_.BLIF a_14__n +1 1 +0 0 +.names A_23_.BLIF a_c_23__n +1 1 +0 0 +.names A_13_.BLIF a_13__n +1 1 +0 0 +.names A_24_.BLIF a_c_24__n +1 1 +0 0 +.names A_12_.BLIF a_12__n +1 1 +0 0 +.names A_25_.BLIF a_c_25__n +1 1 +0 0 +.names A_11_.BLIF a_11__n +1 1 +0 0 +.names A_26_.BLIF a_c_26__n +1 1 +0 0 +.names A_10_.BLIF a_10__n +1 1 +0 0 +.names A_27_.BLIF a_c_27__n +1 1 +0 0 +.names A_9_.BLIF a_9__n +1 1 +0 0 +.names A_28_.BLIF a_c_28__n +1 1 +0 0 +.names A_8_.BLIF a_8__n +1 1 +0 0 +.names A_29_.BLIF a_c_29__n +1 1 +0 0 +.names A_7_.BLIF a_7__n +1 1 +0 0 +.names A_30_.BLIF a_c_30__n +1 1 +0 0 +.names A_6_.BLIF a_6__n +1 1 +0 0 +.names A_31_.BLIF a_c_31__n +1 1 +0 0 +.names A_5_.BLIF a_5__n +1 1 +0 0 +.names CPU_SPACE.BLIF CPU_SPACE_c +1 1 +0 0 +.names A_4_.BLIF a_4__n +1 1 +0 0 +.names BG_030.BLIF BG_030_c +1 1 +0 0 +.names A_3_.BLIF a_3__n +1 1 +0 0 +.names A_2_.BLIF a_2__n +1 1 +0 0 +.names A_1_.BLIF a_1__n +1 1 +0 0 +.names BGACK_000.BLIF BGACK_000_c +1 1 +0 0 +.names CLK_030.BLIF CLK_030_c +1 1 +0 0 +.names CLK_000.BLIF CLK_000_c +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +0 0 +.names IPL_0_.BLIF ipl_c_0__n +1 1 +0 0 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +0 0 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +0 0 +.names DSACK_1_.PIN.BLIF dsack_c_1__n +1 1 +0 0 +.names DTACK.PIN.BLIF DTACK_c +1 1 +0 0 +.names RST.BLIF RST_c +1 1 +0 0 +.names RW.BLIF RW_c +1 1 +0 0 +.names FC_0_.BLIF fc_c_0__n +1 1 +0 0 +.names FC_1_.BLIF fc_c_1__n +1 1 +0 0 +.names AS_030.BLIF AS_030_c +1 1 +0 0 +.names DS_030.BLIF DS_030_c +1 1 +0 0 +.names SIZE_0_.BLIF size_c_0__n +1 1 +0 0 +.names SIZE_1_.BLIF size_c_1__n +1 1 +0 0 +.names A_0_.BLIF a_c_0__n +1 1 +0 0 +.names A_16_.BLIF a_c_16__n +1 1 +0 0 +.names A_17_.BLIF a_c_17__n +1 1 +0 0 +.names A_18_.BLIF a_c_18__n +1 1 +0 0 +.names A_19_.BLIF a_c_19__n +1 1 +0 0 +.names A_20_.BLIF a_c_20__n +1 1 +0 0 +.names A_21_.BLIF a_c_21__n +1 1 +0 0 +.names CPU_SPACE_i.BLIF DSACK_1_.OE +1 1 +0 0 +.names BGACK_030_INT_i.BLIF DTACK.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF AS_000.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF UDS_000.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF LDS_000.OE +1 1 +0 0 +.names FPU_CS_INT_i.BLIF BERR.OE +1 1 +0 0 +.names CPU_SPACE_i.BLIF DSACK_0_.OE +1 1 +0 0 +.names FPU_CS_INT_i.BLIF AVEC_EXP.OE +1 1 +0 0 +.names N_251.BLIF CIIN.OE +1 1 +0 0 +.end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 new file mode 100644 index 0000000..e27675d --- /dev/null +++ b/Logic/68030_tk.bl3 @@ -0,0 +1,842 @@ +#$ TOOL ispLEVER Classic 1.7.00.05.28.13 +#$ DATE Thu May 15 19:20:52 2014 +#$ MODULE 68030_tk +#$ PINS 59 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ IPL_030_2_ A_24_ A_23_ \ +# IPL_2_ A_22_ A_21_ DSACK_1_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 \ +# UDS_000 LDS_000 CPU_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 \ +# CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DTACK A_0_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E \ +# IPL_1_ VPA IPL_0_ VMA DSACK_0_ RST FC_0_ RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ +# AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ +#$ NODES 43 inst_BGACK_030_INTreg inst_CLK_OUT_INTreg inst_FPU_CS_INTreg \ +# cpu_est_3_reg inst_VMA_INTreg cpu_est_1_ inst_AS_000_INTreg inst_AS_030_000_SYNC \ +# inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD \ +# inst_CLK_OUT_PRE cpu_est_0_ cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ BG_000DFFSHreg \ +# SM_AMIGA_7_ inst_UDS_000_INTreg inst_LDS_000_INTreg inst_RISING_CLK_AMIGA \ +# DSACK_INT_1_ inst_DTACK_DMA SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ CLK_000_CNT_0_ \ +# CLK_000_CNT_1_ CLK_000_CNT_2_ CLK_000_CNT_3_ IPL_030DFFSH_0_reg SM_AMIGA_2_ \ +# IPL_030DFFSH_1_reg SM_AMIGA_1_ SM_AMIGA_0_ IPL_030DFFSH_2_reg SM_AMIGA_D_0_ \ +# SM_AMIGA_D_1_ SM_AMIGA_D_2_ RESETDFFreg un1_UDS_000_INT_0_sqmuxa_2_0 +.model bus68030 +.inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \ +CPU_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ +CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \ +A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF \ +A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_0_.BLIF \ +IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \ +inst_CLK_OUT_INTreg.BLIF inst_FPU_CS_INTreg.BLIF cpu_est_3_reg.BLIF \ +inst_VMA_INTreg.BLIF cpu_est_1_.BLIF inst_AS_000_INTreg.BLIF \ +inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF inst_VPA_D.BLIF \ +inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF \ +inst_CLK_OUT_PRE.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF CLK_CNT_0_.BLIF \ +SM_AMIGA_6_.BLIF BG_000DFFSHreg.BLIF SM_AMIGA_7_.BLIF inst_UDS_000_INTreg.BLIF \ +inst_LDS_000_INTreg.BLIF inst_RISING_CLK_AMIGA.BLIF DSACK_INT_1_.BLIF \ +inst_DTACK_DMA.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_5_.BLIF \ +CLK_000_CNT_0_.BLIF CLK_000_CNT_1_.BLIF CLK_000_CNT_2_.BLIF \ +CLK_000_CNT_3_.BLIF IPL_030DFFSH_0_reg.BLIF SM_AMIGA_2_.BLIF \ +IPL_030DFFSH_1_reg.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ +IPL_030DFFSH_2_reg.BLIF SM_AMIGA_D_0_.BLIF SM_AMIGA_D_1_.BLIF \ +SM_AMIGA_D_2_.BLIF RESETDFFreg.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF \ +DSACK_1_.PIN.BLIF DTACK.PIN.BLIF +.outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \ +FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ +AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_4_.D SM_AMIGA_4_.C \ +SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR \ +SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_0_.D cpu_est_0_.C \ +cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.C \ +SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C \ +SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR CLK_000_CNT_0_.D \ +CLK_000_CNT_0_.C CLK_000_CNT_1_.D CLK_000_CNT_1_.C CLK_000_CNT_2_.D \ +CLK_000_CNT_2_.C CLK_000_CNT_3_.D CLK_000_CNT_3_.C SM_AMIGA_D_0_.D \ +SM_AMIGA_D_0_.C SM_AMIGA_D_1_.D SM_AMIGA_D_1_.C SM_AMIGA_D_2_.D \ +SM_AMIGA_D_2_.C IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C \ +IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C \ +IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C \ +IPL_030DFFSH_2_reg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +inst_AS_030_000_SYNC.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP \ +BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_AS_000_INTreg.D \ +inst_AS_000_INTreg.C inst_AS_000_INTreg.AP inst_VMA_INTreg.D inst_VMA_INTreg.C \ +inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ +inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C DSACK_INT_1_.C \ +DSACK_INT_1_.AP inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C \ +inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C \ +inst_LDS_000_INTreg.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP \ +inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP \ +inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP CLK_CNT_0_.D CLK_CNT_0_.C \ +inst_RISING_CLK_AMIGA.D inst_RISING_CLK_AMIGA.C inst_VPA_D.D inst_VPA_D.C \ +inst_CLK_000_D.D inst_CLK_000_D.C RESETDFFreg.D RESETDFFreg.C \ +inst_CLK_000_DD.D inst_CLK_000_DD.C inst_CLK_OUT_INTreg.D \ +inst_CLK_OUT_INTreg.C DSACK_1_ DTACK DSACK_0_ un1_UDS_000_INT_0_sqmuxa_2_0 \ +DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE \ +AVEC_EXP.OE CIIN.OE CLK_EXP.X1 CLK_EXP.X2 cpu_est_3_reg.D.X1 \ +cpu_est_3_reg.D.X2 DSACK_INT_1_.D.X1 DSACK_INT_1_.D.X2 +.names inst_CLK_000_D.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_4_.D +01- 1 +0-1 1 +-00 0 +1-- 0 +.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF \ +SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_3_.D +--11- 1 +11--1 1 +--1-1 1 +-00-- 0 +0-0-- 0 +---00 0 +--0-0 0 +.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF \ +SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_2_.D +-001- 1 +0-01- 1 +--0-1 1 +11--0 0 +--1-- 0 +---00 0 +.names CLK_000.BLIF cpu_est_3_reg.BLIF cpu_est_1_.BLIF inst_DTACK_SYNC.BLIF \ +inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF \ +SM_AMIGA_2_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_1_.D +-----1--1- 1 +---1---001 1 +---1--0-01 1 +---11---01 1 +--01----01 1 +-0-1----01 1 +1-------01 1 +011-0-110- 0 +0--0----0- 0 +-----0--1- 0 +--------00 0 +.names CLK_000.BLIF cpu_est_3_reg.BLIF cpu_est_1_.BLIF inst_AS_000_INTreg.BLIF \ +inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF cpu_est_0_.BLIF \ +cpu_est_2_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D +011--0-111- 1 +0---0----1- 1 +------0---1 1 +---0------1 1 +---11-1-0-- 0 +---11-10--- 0 +---1111---- 0 +--011-1---- 0 +-0-11-1---- 0 +---1--1--0- 0 +1--1--1---- 0 +----1---0-0 0 +----1--0--0 0 +----11----0 0 +--0-1-----0 0 +-0--1-----0 0 +---------00 0 +1---------0 0 +.names cpu_est_3_reg.BLIF cpu_est_1_.BLIF inst_CLK_000_D.BLIF \ +inst_CLK_000_DD.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF cpu_est_1_.D +0-10-0 1 +00101- 1 +1-100- 1 +-1--0- 1 +11---1 1 +-1-1-- 1 +-10--- 1 +011011 0 +1-1010 0 +00--01 0 +10--1- 0 +-0-1-- 0 +-00--- 0 +.names cpu_est_3_reg.BLIF cpu_est_1_.BLIF inst_CLK_000_D.BLIF \ +inst_CLK_000_DD.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF cpu_est_2_.D +-0100- 1 +1-101- 1 +-1---1 1 +---1-1 1 +--0--1 1 +0---10 0 +00101- 0 +-1--00 0 +---1-0 0 +--0--0 0 +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D.BLIF SM_AMIGA_7_.BLIF \ +SM_AMIGA_0_.BLIF SM_AMIGA_7_.D +-11- 1 +11-1 1 +0-0- 0 +--00 0 +-0-- 0 +.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D.BLIF SM_AMIGA_6_.BLIF \ +SM_AMIGA_7_.BLIF SM_AMIGA_6_.D +-01- 1 +1-1- 1 +-0-1 1 +01-- 0 +-10- 0 +--00 0 +.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D.BLIF SM_AMIGA_6_.BLIF \ +SM_AMIGA_5_.BLIF SM_AMIGA_5_.D +011- 1 +-1-1 1 +-0-- 0 +--00 0 +1--0 0 +.names CLK_000.BLIF inst_CLK_000_D.BLIF CLK_000_CNT_0_.BLIF CLK_000_CNT_0_.D +10- 1 +01- 1 +--0 1 +001 0 +111 0 +.names RST.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF \ +SM_AMIGA_0_.BLIF SM_AMIGA_D_0_.BLIF SM_AMIGA_D_0_.D +1---1- 1 +1--1-- 1 +1-1--- 1 +11---- 1 +0----1 1 +10000- 0 +0----0 0 +.names RST.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_1_.BLIF \ +SM_AMIGA_0_.BLIF SM_AMIGA_D_1_.BLIF SM_AMIGA_D_1_.D +1---1- 1 +1--1-- 1 +1-1--- 1 +11---- 1 +0----1 1 +10000- 0 +0----0 0 +.names RST.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.BLIF \ +SM_AMIGA_0_.BLIF SM_AMIGA_D_2_.BLIF SM_AMIGA_D_2_.D +1---1- 1 +1--1-- 1 +1-1--- 1 +11---- 1 +0----1 1 +10000- 0 +0----0 0 +.names IPL_0_.BLIF inst_RISING_CLK_AMIGA.BLIF IPL_030DFFSH_0_reg.BLIF \ +IPL_030DFFSH_0_reg.D +11- 1 +-01 1 +01- 0 +-00 0 +.names IPL_1_.BLIF inst_RISING_CLK_AMIGA.BLIF IPL_030DFFSH_1_reg.BLIF \ +IPL_030DFFSH_1_reg.D +11- 1 +-01 1 +01- 0 +-00 0 +.names IPL_2_.BLIF inst_RISING_CLK_AMIGA.BLIF IPL_030DFFSH_2_reg.BLIF \ +IPL_030DFFSH_2_reg.D +11- 1 +-01 1 +01- 0 +-00 0 +.names FC_1_.BLIF AS_030.BLIF CPU_SPACE.BLIF BGACK_000.BLIF CLK_030.BLIF \ +A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF FC_0_.BLIF \ +inst_AS_030_000_SYNC.BLIF inst_AS_030_000_SYNC.D +1--1100101- 1 +----0-----1 1 +--1-1------ 1 +-1--------- 1 +-00-1----0- 0 +-00-1---1-- 0 +-00-1--0--- 0 +-00-1-1---- 0 +-00-11----- 0 +-0001------ 0 +000-1------ 0 +-0--0-----0 0 +.names AS_030.BLIF cpu_est_3_reg.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF \ +inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF \ +SM_AMIGA_3_.BLIF inst_VPA_SYNC.D +----1--0- 1 +----1-0-- 1 +----10--- 1 +---11---- 1 +--1-1---- 1 +-1--1---- 1 +----1---0 1 +1------0- 1 +1-----0-- 1 +1----0--- 1 +1--1----- 1 +1-1------ 1 +11------- 1 +1-------0 1 +-000-1111 0 +0---0---- 0 +.names AS_030.BLIF CPU_SPACE.BLIF BG_030.BLIF CLK_030.BLIF SM_AMIGA_6_.BLIF \ +BG_000DFFSHreg.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D +---1-1- 1 +---00-0 1 +-1-0--- 1 +0--0--- 1 +--1---- 1 +10001-- 0 +1000--1 0 +--01-0- 0 +.names AS_030.BLIF inst_AS_000_INTreg.BLIF inst_AS_030_000_SYNC.BLIF \ +inst_CLK_000_D.BLIF SM_AMIGA_6_.BLIF inst_AS_000_INTreg.D +-1-0- 1 +-11-- 1 +-1--0 1 +1--0- 1 +1-1-- 1 +1---0 1 +--011 0 +00--- 0 +.names inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF inst_VPA_SYNC.BLIF \ +inst_CLK_000_D.BLIF inst_VMA_INTreg.D +1-1- 1 +1--1 1 +-1-1 1 +00-- 0 +--00 0 +0--0 0 +.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_RISING_CLK_AMIGA.BLIF \ +inst_BGACK_030_INTreg.D +11- 1 +1-1 1 +-00 0 +0-- 0 +.names AS_030.BLIF DS_030.BLIF RW.BLIF A_0_.BLIF inst_AS_030_000_SYNC.BLIF \ +inst_CLK_000_D.BLIF SM_AMIGA_6_.BLIF inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF \ +SM_AMIGA_5_.BLIF inst_UDS_000_INTreg.D +1-0-----0- 1 +--0----10- 1 +-011011--- 1 +-001-10-10 1 +-00111--10 1 +--0-0-11-- 1 +1-0-0-1--- 1 +-011-----1 1 +--1---01-0 1 +--1-1--1-0 1 +1-1---0--0 1 +1-1-1----0 1 +-1-----1-- 1 +11-------- 1 +--0----1-1 1 +1-0------1 1 +-----0-1-0 1 +1----0---0 1 +0-0----00- 0 +-010011--- 0 +-000-10-10 0 +-00011--10 0 +0-0-0-10-- 0 +-010-----1 0 +0-1---00-0 0 +0-1-1--0-0 0 +01-----0-- 0 +0-0----0-1 0 +0----0-0-0 0 +.names SIZE_1_.BLIF AS_030.BLIF SIZE_0_.BLIF A_0_.BLIF \ +inst_LDS_000_INTreg.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF \ +inst_LDS_000_INTreg.D +0-10-1 1 +----10 1 +-1---0 1 +-0--00 0 +---1-1 0 +--0--1 0 +1----1 0 +.names AS_030.BLIF inst_DTACK_SYNC.BLIF inst_VPA_D.BLIF inst_CLK_000_D.BLIF \ +SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D +-1--0- 1 +-1-0-- 1 +-10--- 1 +-1---1 1 +1---0- 1 +1--0-- 1 +1-0--- 1 +1----1 1 +--1110 0 +00---- 0 +.names FC_1_.BLIF AS_030.BLIF BGACK_000.BLIF CLK_030.BLIF A_19_.BLIF \ +A_18_.BLIF A_17_.BLIF A_16_.BLIF FC_0_.BLIF inst_FPU_CS_INTreg.BLIF \ +inst_FPU_CS_INTreg.D +---0-----1 1 +---1----0- 1 +---1---1-- 1 +---1--0--- 1 +---1-1---- 1 +---11----- 1 +--01------ 1 +0--1------ 1 +-1-------- 1 +101100101- 0 +-0-0-----0 0 +.names inst_AS_000_INTreg.BLIF DSACK_1_.PIN.BLIF inst_DTACK_DMA.D +1- 1 +-1 1 +00 0 +.names CLK_CNT_0_.BLIF CLK_CNT_0_.D +0 1 +1 0 +.names DS_030.BLIF RW.BLIF inst_AS_030_000_SYNC.BLIF inst_CLK_000_D.BLIF \ +SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF \ +un1_UDS_000_INT_0_sqmuxa_2_0 +01011-- 1 +00-1010 1 +0011-10 1 +01----1 1 +-0---0- 0 +-00-1-- 0 +-1--0-0 0 +-11---0 0 +1------ 0 +-0----1 0 +---0--0 0 +.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ +1 1 +0 0 +.names inst_AS_000_INTreg.BLIF AS_000 +1 1 +0 0 +.names inst_UDS_000_INTreg.BLIF UDS_000 +1 1 +0 0 +.names inst_LDS_000_INTreg.BLIF LDS_000 +1 1 +0 0 +.names BERR + 0 +.names BG_000DFFSHreg.BLIF BG_000 +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +0 0 +.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +0 0 +.names inst_FPU_CS_INTreg.BLIF FPU_CS +1 1 +0 0 +.names AVEC + 1 +.names AVEC_EXP + 0 +.names cpu_est_3_reg.BLIF E +1 1 +0 0 +.names inst_VMA_INTreg.BLIF VMA +1 1 +0 0 +.names RESETDFFreg.BLIF RESET +1 1 +0 0 +.names AMIGA_BUS_ENABLE + 0 +.names RW.BLIF AMIGA_BUS_DATA_DIR +0 1 +1 0 +.names AMIGA_BUS_ENABLE_LOW + 1 +.names A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF CIIN +1111 1 +--0- 0 +-0-- 0 +0--- 0 +---0 0 +.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ +1 1 +0 0 +.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ +1 1 +0 0 +.names CLK_OSZI.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_4_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_3_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_3_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_2_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_2_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_1_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_1_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_0_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_0_.AR +0 1 +1 0 +.names inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF cpu_est_0_.BLIF cpu_est_0_.D +100 1 +-11 1 +0-1 1 +101 0 +-10 0 +0-0 0 +.names CLK_OSZI.BLIF cpu_est_0_.C +1 1 +0 0 +.names CLK_OSZI.BLIF cpu_est_1_.C +1 1 +0 0 +.names CLK_OSZI.BLIF cpu_est_2_.C +1 1 +0 0 +.names CLK_OSZI.BLIF cpu_est_3_reg.C +1 1 +0 0 +.names CLK_OSZI.BLIF SM_AMIGA_7_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_7_.AP +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_6_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_6_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_5_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_5_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF CLK_000_CNT_0_.C +1 1 +0 0 +.names CLK_000.BLIF inst_CLK_000_D.BLIF CLK_000_CNT_0_.BLIF \ +CLK_000_CNT_1_.BLIF CLK_000_CNT_1_.D +0010 1 +1110 1 +0001 1 +1101 1 +10-- 0 +01-- 0 +--00 0 +--11 0 +.names CLK_OSZI.BLIF CLK_000_CNT_1_.C +1 1 +0 0 +.names CLK_000.BLIF inst_CLK_000_D.BLIF CLK_000_CNT_0_.BLIF \ +CLK_000_CNT_1_.BLIF CLK_000_CNT_2_.BLIF CLK_000_CNT_2_.D +00110 1 +11110 1 +00-01 1 +11-01 1 +000-1 1 +110-1 1 +--111 0 +10--- 0 +01--- 0 +---00 0 +--0-0 0 +.names CLK_OSZI.BLIF CLK_000_CNT_2_.C +1 1 +0 0 +.names CLK_000.BLIF inst_CLK_000_D.BLIF CLK_000_CNT_0_.BLIF \ +CLK_000_CNT_1_.BLIF CLK_000_CNT_2_.BLIF CLK_000_CNT_3_.BLIF CLK_000_CNT_3_.D +001110 1 +111110 1 +00--01 1 +11--01 1 +00-0-1 1 +11-0-1 1 +000--1 1 +110--1 1 +--1111 0 +10---- 0 +01---- 0 +----00 0 +---0-0 0 +--0--0 0 +.names CLK_OSZI.BLIF CLK_000_CNT_3_.C +1 1 +0 0 +.names CLK_OSZI.BLIF SM_AMIGA_D_0_.C +1 1 +0 0 +.names CLK_OSZI.BLIF SM_AMIGA_D_1_.C +1 1 +0 0 +.names CLK_OSZI.BLIF SM_AMIGA_D_2_.C +1 1 +0 0 +.names CLK_OSZI.BLIF IPL_030DFFSH_0_reg.C +1 1 +0 0 +.names RST.BLIF IPL_030DFFSH_0_reg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF IPL_030DFFSH_1_reg.C +1 1 +0 0 +.names RST.BLIF IPL_030DFFSH_1_reg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF IPL_030DFFSH_2_reg.C +1 1 +0 0 +.names RST.BLIF IPL_030DFFSH_2_reg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C +1 1 +0 0 +.names RST.BLIF inst_AS_030_000_SYNC.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_VPA_SYNC.C +1 1 +0 0 +.names RST.BLIF inst_VPA_SYNC.AP +0 1 +1 0 +.names CLK_OSZI.BLIF BG_000DFFSHreg.C +1 1 +0 0 +.names RST.BLIF BG_000DFFSHreg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_AS_000_INTreg.C +1 1 +0 0 +.names RST.BLIF inst_AS_000_INTreg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_VMA_INTreg.C +1 1 +0 0 +.names RST.BLIF inst_VMA_INTreg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 +.names RST.BLIF inst_BGACK_030_INTreg.AP +0 1 +1 0 +.names inst_CLK_OUT_PRE.BLIF CLK_CNT_0_.BLIF inst_CLK_OUT_PRE.D +10 1 +01 1 +00 0 +11 0 +.names CLK_OSZI.BLIF inst_CLK_OUT_PRE.C +1 1 +0 0 +.names CLK_OSZI.BLIF DSACK_INT_1_.C +1 1 +0 0 +.names RST.BLIF DSACK_INT_1_.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_UDS_000_INTreg.C +1 1 +0 0 +.names RST.BLIF inst_UDS_000_INTreg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_LDS_000_INTreg.C +1 1 +0 0 +.names RST.BLIF inst_LDS_000_INTreg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_DTACK_SYNC.C +1 1 +0 0 +.names RST.BLIF inst_DTACK_SYNC.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_FPU_CS_INTreg.C +1 1 +0 0 +.names RST.BLIF inst_FPU_CS_INTreg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_DTACK_DMA.C +1 1 +0 0 +.names RST.BLIF inst_DTACK_DMA.AP +0 1 +1 0 +.names CLK_OSZI.BLIF CLK_CNT_0_.C +1 1 +0 0 +.names CLK_000.BLIF inst_CLK_000_D.BLIF inst_RISING_CLK_AMIGA.D +10 1 +0- 0 +-1 0 +.names CLK_OSZI.BLIF inst_RISING_CLK_AMIGA.C +1 1 +0 0 +.names VPA.BLIF inst_VPA_D.D +1 1 +0 0 +.names CLK_OSZI.BLIF inst_VPA_D.C +1 1 +0 0 +.names CLK_000.BLIF inst_CLK_000_D.D +1 1 +0 0 +.names CLK_OSZI.BLIF inst_CLK_000_D.C +1 1 +0 0 +.names RST.BLIF RESETDFFreg.D +1 1 +0 0 +.names CLK_OSZI.BLIF RESETDFFreg.C +1 1 +0 0 +.names inst_CLK_000_D.BLIF inst_CLK_000_DD.D +1 1 +0 0 +.names CLK_OSZI.BLIF inst_CLK_000_DD.C +1 1 +0 0 +.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_INTreg.D +1 1 +0 0 +.names CLK_OSZI.BLIF inst_CLK_OUT_INTreg.C +1 1 +0 0 +.names DSACK_INT_1_.BLIF DSACK_1_ +1 1 +0 0 +.names inst_DTACK_DMA.BLIF DTACK +1 1 +0 0 +.names DSACK_0_ + 1 +.names CPU_SPACE.BLIF DSACK_1_.OE +0 1 +1 0 +.names inst_BGACK_030_INTreg.BLIF DTACK.OE +0 1 +1 0 +.names inst_BGACK_030_INTreg.BLIF AS_000.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF UDS_000.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF LDS_000.OE +1 1 +0 0 +.names inst_FPU_CS_INTreg.BLIF BERR.OE +0 1 +1 0 +.names CPU_SPACE.BLIF DSACK_0_.OE +0 1 +1 0 +.names inst_FPU_CS_INTreg.BLIF AVEC_EXP.OE +0 1 +1 0 +.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ +A_25_.BLIF A_24_.BLIF CIIN.OE +00000000 1 +------1- 0 +-----1-- 0 +----1--- 0 +---1---- 0 +--1----- 0 +-1------ 0 +1------- 0 +-------1 0 +.names SM_AMIGA_0_.BLIF SM_AMIGA_D_0_.BLIF SM_AMIGA_D_1_.BLIF \ +SM_AMIGA_D_2_.BLIF CLK_EXP.X1 +1111 1 +0--- 0 +-0-- 0 +--0- 0 +---0 0 +.names SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_5_.BLIF \ +SM_AMIGA_2_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_D_0_.BLIF \ +SM_AMIGA_D_1_.BLIF SM_AMIGA_D_2_.BLIF CLK_EXP.X2 +00--0--1-- 1 +-0-0-0--1- 1 +--0-00---1 1 +---1----0- 1 +--1------0 1 +1------0-- 1 +-----1--0- 1 +-----1---0 1 +----1--0-- 1 +----1----0 1 +-1-----0-- 1 +-1------0- 1 +------1--- 1 +-11---0111 0 +-1--1-0111 0 +---11-0111 0 +1----10111 0 +-1---10111 0 +----110111 0 +1-11--0111 0 +00--010011 0 +-0-0100101 0 +-10-000110 0 +00110-0011 0 +1010-00101 0 +1-01000110 0 +0010000001 0 +0001000010 0 +1000000100 0 +0000000000 0 +.names cpu_est_3_reg.BLIF cpu_est_1_.BLIF cpu_est_3_reg.D.X1 +11 1 +0- 0 +-0 0 +.names cpu_est_3_reg.BLIF cpu_est_1_.BLIF inst_CLK_000_D.BLIF \ +inst_CLK_000_DD.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.D.X2 +10---- 1 +-01000 1 +011010 1 +1-1011 1 +0-0--- 0 +-10--- 0 +0--1-- 0 +-1-1-- 0 +-1--0- 0 +0----1 0 +00--1- 0 +11---0 0 +.names AS_030.BLIF DSACK_INT_1_.BLIF DSACK_INT_1_.D.X1 +00 1 +1- 0 +-1 0 +.names AS_030.BLIF inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF \ +inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF inst_CLK_OUT_PRE.BLIF DSACK_INT_1_.BLIF \ +CLK_000_CNT_0_.BLIF CLK_000_CNT_1_.BLIF CLK_000_CNT_2_.BLIF \ +CLK_000_CNT_3_.BLIF SM_AMIGA_0_.BLIF DSACK_INT_1_.D.X2 +0-----0----- 1 +-1---------- 1 +----1------- 1 +-----0------ 1 +-----------0 1 +--11-------- 1 +-------0000- 1 +100-01-1---1 0 +10-001-1---1 0 +-00-0111---1 0 +-0-00111---1 0 +100-01--1--1 0 +10-001--1--1 0 +-00-011-1--1 0 +-0-0011-1--1 0 +100-01---1-1 0 +10-001---1-1 0 +-00-011--1-1 0 +-0-0011--1-1 0 +100-01----11 0 +10-001----11 0 +-00-011---11 0 +-0-0011---11 0 +.end diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf new file mode 100644 index 0000000..968feaf --- /dev/null +++ b/Logic/68030_tk.crf @@ -0,0 +1,14 @@ +// Signal Name Cross Reference File +// ispLEVER Classic 1.7.00.05.28.13 + +// Design '68030_tk' created Thu May 15 19:20:52 2014 + + +// LEGEND: '>' Functional Block Port Separator +// '/' Hierarchy Path Separator +// '@' Automatically Generated Node + + +// Hierarchical Name Short Name + +// *** Shortened names not required for this design. *** diff --git a/Logic/68030_tk.d0 b/Logic/68030_tk.d0 new file mode 100644 index 0000000..0541d3b --- /dev/null +++ b/Logic/68030_tk.d0 @@ -0,0 +1 @@ + -dev mach4a_DT_NCE -clust 5 diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 new file mode 100644 index 0000000..b05f7c2 --- /dev/null +++ b/Logic/68030_tk.eq3 @@ -0,0 +1,494 @@ + ispLEVER Classic 1.7.00.05.28.13 Linked Equations File +Copyright(C), 1992-2013, Lattice Semiconductor Corp. +All Rights Reserved. + +Design bus68030 created Thu May 15 19:20:52 2014 + + + P-Terms Fan-in Fan-out Type Name (attributes) +--------- ------ ------- ---- ----------------- + 0 0 1 Pin BERR + 1 1 1 Pin BERR.OE + 13 10 1 PinX1 CLK_EXP.X1 + 1 4 1 PinX2 CLK_EXP.X2 + 1 0 1 Pin AVEC + 0 0 1 Pin AVEC_EXP + 1 1 1 Pin AVEC_EXP.OE + 1 0 1 Pin DSACK_0_ + 1 1 1 Pin DSACK_0_.OE + 0 0 1 Pin AMIGA_BUS_ENABLE + 1 1 1 Pin AMIGA_BUS_DATA_DIR + 1 0 1 Pin AMIGA_BUS_ENABLE_LOW + 1 4 1 Pin CIIN + 1 8 1 Pin CIIN.OE + 2 3 1 Pin IPL_030_2_.D + 1 1 1 Pin IPL_030_2_.AP + 1 1 1 Pin IPL_030_2_.C + 1 1 1 Pin DSACK_1_.OE + 9 12 1 Pin DSACK_1_.D- + 1 1 1 Pin DSACK_1_.AP + 1 1 1 Pin DSACK_1_.C + 1 1 1 Pin AS_000.OE + 2 5 1 Pin AS_000.D- + 1 1 1 Pin AS_000.AP + 1 1 1 Pin AS_000.C + 1 1 1 Pin UDS_000.OE + 11 10 1 Pin UDS_000.D- + 1 1 1 Pin UDS_000.AP + 1 1 1 Pin UDS_000.C + 1 1 1 Pin LDS_000.OE + 3 6 1 Pin LDS_000.D + 1 1 1 Pin LDS_000.AP + 1 1 1 Pin LDS_000.C + 3 7 1 Pin BG_000.D- + 1 1 1 Pin BG_000.AP + 1 1 1 Pin BG_000.C + 2 3 1 Pin BGACK_030.D + 1 1 1 Pin BGACK_030.AP + 1 1 1 Pin BGACK_030.C + 1 1 1 Pin CLK_DIV_OUT.D + 1 1 1 Pin CLK_DIV_OUT.C + 2 10 1 Pin FPU_CS.D- + 1 1 1 Pin FPU_CS.AP + 1 1 1 Pin FPU_CS.C + 1 1 1 Pin DTACK.OE + 1 2 1 Pin DTACK.D- + 1 1 1 Pin DTACK.AP + 1 1 1 Pin DTACK.C + 2 3 1 Pin IPL_030_1_.D + 1 1 1 Pin IPL_030_1_.AP + 1 1 1 Pin IPL_030_1_.C + 2 3 1 Pin IPL_030_0_.D + 1 1 1 Pin IPL_030_0_.AP + 1 1 1 Pin IPL_030_0_.C + 3 6 1 Pin E.T + 1 1 1 Pin E.C + 3 4 1 Pin VMA.D + 1 1 1 Pin VMA.AP + 1 1 1 Pin VMA.C + 1 1 1 Pin RESET.D + 1 1 1 Pin RESET.C + 4 6 1 Node cpu_est_1_.T + 1 1 1 Node cpu_est_1_.C + 4 11 1 Node inst_AS_030_000_SYNC.D + 1 1 1 Node inst_AS_030_000_SYNC.AP + 1 1 1 Node inst_AS_030_000_SYNC.C + 2 6 1 Node inst_DTACK_SYNC.D- + 1 1 1 Node inst_DTACK_SYNC.AP + 1 1 1 Node inst_DTACK_SYNC.C + 1 1 1 Node inst_VPA_D.D + 1 1 1 Node inst_VPA_D.C + 2 9 1 Node inst_VPA_SYNC.D- + 1 1 1 Node inst_VPA_SYNC.AP + 1 1 1 Node inst_VPA_SYNC.C + 1 1 1 Node inst_CLK_000_D.D + 1 1 1 Node inst_CLK_000_D.C + 1 1 1 Node inst_CLK_000_DD.D + 1 1 1 Node inst_CLK_000_DD.C + 2 2 1 Node inst_CLK_OUT_PRE.D + 1 1 1 Node inst_CLK_OUT_PRE.C + 3 3 1 Node cpu_est_0_.D + 1 1 1 Node cpu_est_0_.C + 3 6 1 NodeX1 cpu_est_2_.D.X1 + 1 1 1 NodeX2 cpu_est_2_.D.X2 + 1 1 1 Node cpu_est_2_.C + 1 1 1 Node CLK_CNT_0_.D + 1 1 1 Node CLK_CNT_0_.C + 1 1 1 Node SM_AMIGA_6_.AR + 3 4 1 Node SM_AMIGA_6_.D + 1 1 1 Node SM_AMIGA_6_.C + 2 4 1 Node SM_AMIGA_7_.D + 1 1 1 Node SM_AMIGA_7_.AP + 1 1 1 Node SM_AMIGA_7_.C + 1 2 1 Node inst_RISING_CLK_AMIGA.D + 1 1 1 Node inst_RISING_CLK_AMIGA.C + 1 1 1 Node SM_AMIGA_4_.AR + 2 3 1 Node SM_AMIGA_4_.D + 1 1 1 Node SM_AMIGA_4_.C + 1 1 1 Node SM_AMIGA_3_.AR + 3 5 1 Node SM_AMIGA_3_.D + 1 1 1 Node SM_AMIGA_3_.C + 1 1 1 Node SM_AMIGA_5_.AR + 2 4 1 Node SM_AMIGA_5_.D + 1 1 1 Node SM_AMIGA_5_.C + 2 3 1 Node CLK_000_CNT_0_.D- + 1 1 1 Node CLK_000_CNT_0_.C + 4 4 1 Node CLK_000_CNT_1_.D + 1 1 1 Node CLK_000_CNT_1_.C + 5 5 1 Node CLK_000_CNT_2_.D- + 1 1 1 Node CLK_000_CNT_2_.C + 4 6 1 Node CLK_000_CNT_3_.T + 1 1 1 Node CLK_000_CNT_3_.C + 1 1 1 Node SM_AMIGA_2_.AR + 3 5 1 Node SM_AMIGA_2_.D + 1 1 1 Node SM_AMIGA_2_.C + 1 1 1 Node SM_AMIGA_1_.AR + 4 10 1 Node SM_AMIGA_1_.D- + 1 1 1 Node SM_AMIGA_1_.C + 1 1 1 Node SM_AMIGA_0_.AR + 4 11 1 Node SM_AMIGA_0_.D + 1 1 1 Node SM_AMIGA_0_.C + 2 6 1 Node SM_AMIGA_D_0_.D- + 1 1 1 Node SM_AMIGA_D_0_.C + 2 6 1 Node SM_AMIGA_D_1_.D- + 1 1 1 Node SM_AMIGA_D_1_.C + 2 6 1 Node SM_AMIGA_D_2_.D- + 1 1 1 Node SM_AMIGA_D_2_.C + 4 7 1 Node un1_UDS_000_INT_0_sqmuxa_2_0 +========= + 214 P-Term Total: 214 + Total Pins: 59 + Total Nodes: 28 + Average P-Term/Output: 2 + + +Equations: + +BERR = (0); + +BERR.OE = (!FPU_CS.Q); + +CLK_EXP.X1 = (SM_AMIGA_0_.Q + # SM_AMIGA_6_.Q & !SM_AMIGA_D_0_.Q + # SM_AMIGA_4_.Q & !SM_AMIGA_D_0_.Q + # SM_AMIGA_2_.Q & !SM_AMIGA_D_0_.Q + # SM_AMIGA_4_.Q & !SM_AMIGA_D_1_.Q + # SM_AMIGA_5_.Q & !SM_AMIGA_D_1_.Q + # SM_AMIGA_1_.Q & !SM_AMIGA_D_1_.Q + # SM_AMIGA_3_.Q & !SM_AMIGA_D_2_.Q + # SM_AMIGA_2_.Q & !SM_AMIGA_D_2_.Q + # SM_AMIGA_1_.Q & !SM_AMIGA_D_2_.Q + # !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q & SM_AMIGA_D_0_.Q + # !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_1_.Q & SM_AMIGA_D_1_.Q + # !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !SM_AMIGA_1_.Q & SM_AMIGA_D_2_.Q); + +CLK_EXP.X2 = (SM_AMIGA_0_.Q & SM_AMIGA_D_0_.Q & SM_AMIGA_D_1_.Q & SM_AMIGA_D_2_.Q); + +AVEC = (1); + +AVEC_EXP = (0); + +AVEC_EXP.OE = (!FPU_CS.Q); + +DSACK_0_ = (1); + +DSACK_0_.OE = (!CPU_SPACE); + +AMIGA_BUS_ENABLE = (0); + +AMIGA_BUS_DATA_DIR = (!RW); + +AMIGA_BUS_ENABLE_LOW = (1); + +CIIN = (A_23_ & A_22_ & A_21_ & A_20_); + +CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_); + +IPL_030_2_.D = (IPL_2_ & inst_RISING_CLK_AMIGA.Q + # !inst_RISING_CLK_AMIGA.Q & IPL_030_2_.Q); + +IPL_030_2_.AP = (!RST); + +IPL_030_2_.C = (CLK_OSZI); + +DSACK_1_.OE = (!CPU_SPACE); + +!DSACK_1_.D = (!AS_030 & !DSACK_1_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_0_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_0_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_1_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_1_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_2_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_2_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_3_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_3_.Q & SM_AMIGA_0_.Q); + +DSACK_1_.AP = (!RST); + +DSACK_1_.C = (CLK_OSZI); + +AS_000.OE = (BGACK_030.Q); + +!AS_000.D = (!AS_030 & !AS_000.Q + # !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q); + +AS_000.AP = (!RST); + +AS_000.C = (CLK_OSZI); + +UDS_000.OE = (BGACK_030.Q); + +!UDS_000.D = (!AS_030 & DS_030 & !UDS_000.Q + # !AS_030 & !RW & !UDS_000.Q & !SM_AMIGA_4_.Q + # !DS_030 & RW & !A_0_ & SM_AMIGA_5_.Q + # !AS_030 & !RW & !UDS_000.Q & SM_AMIGA_5_.Q + # !AS_030 & !inst_CLK_000_D.Q & !UDS_000.Q & !SM_AMIGA_5_.Q + # !AS_030 & !RW & !inst_AS_030_000_SYNC.Q & SM_AMIGA_6_.Q & !UDS_000.Q + # !AS_030 & RW & inst_AS_030_000_SYNC.Q & !UDS_000.Q & !SM_AMIGA_5_.Q + # !AS_030 & RW & !SM_AMIGA_6_.Q & !UDS_000.Q & !SM_AMIGA_5_.Q + # !DS_030 & RW & !A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q + # !DS_030 & !RW & !A_0_ & inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q + # !DS_030 & !RW & !A_0_ & inst_CLK_000_D.Q & !SM_AMIGA_6_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q); + +UDS_000.AP = (!RST); + +UDS_000.C = (CLK_OSZI); + +LDS_000.OE = (BGACK_030.Q); + +LDS_000.D = (AS_030 & !un1_UDS_000_INT_0_sqmuxa_2_0 + # LDS_000.Q & !un1_UDS_000_INT_0_sqmuxa_2_0 + # !SIZE_1_ & SIZE_0_ & !A_0_ & un1_UDS_000_INT_0_sqmuxa_2_0); + +LDS_000.AP = (!RST); + +LDS_000.C = (CLK_OSZI); + +!BG_000.D = (!BG_030 & CLK_030 & !BG_000.Q + # AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_6_.Q + # AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_7_.Q); + +BG_000.AP = (!RST); + +BG_000.C = (CLK_OSZI); + +BGACK_030.D = (BGACK_000 & BGACK_030.Q + # BGACK_000 & inst_RISING_CLK_AMIGA.Q); + +BGACK_030.AP = (!RST); + +BGACK_030.C = (CLK_OSZI); + +CLK_DIV_OUT.D = (inst_CLK_OUT_PRE.Q); + +CLK_DIV_OUT.C = (CLK_OSZI); + +!FPU_CS.D = (!AS_030 & !CLK_030 & !FPU_CS.Q + # FC_1_ & !AS_030 & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_); + +FPU_CS.AP = (!RST); + +FPU_CS.C = (CLK_OSZI); + +DTACK.OE = (!BGACK_030.Q); + +!DTACK.D = (!AS_000.Q & !DSACK_1_.PIN); + +DTACK.AP = (!RST); + +DTACK.C = (CLK_OSZI); + +IPL_030_1_.D = (IPL_1_ & inst_RISING_CLK_AMIGA.Q + # !inst_RISING_CLK_AMIGA.Q & IPL_030_1_.Q); + +IPL_030_1_.AP = (!RST); + +IPL_030_1_.C = (CLK_OSZI); + +IPL_030_0_.D = (IPL_0_ & inst_RISING_CLK_AMIGA.Q + # !inst_RISING_CLK_AMIGA.Q & IPL_030_0_.Q); + +IPL_030_0_.AP = (!RST); + +IPL_030_0_.C = (CLK_OSZI); + +E.T = (E.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & cpu_est_2_.Q + # !E.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & !cpu_est_2_.Q + # !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q & !cpu_est_2_.Q); + +E.C = (CLK_OSZI); + +VMA.D = (VMA.Q & inst_VPA_SYNC.Q + # VMA.Q & inst_CLK_000_D.Q + # AS_000.Q & inst_CLK_000_D.Q); + +VMA.AP = (!RST); + +VMA.C = (CLK_OSZI); + +RESET.D = (RST); + +RESET.C = (CLK_OSZI); + +cpu_est_1_.T = (E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q + # !E.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & cpu_est_2_.Q + # !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q + # E.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & !cpu_est_2_.Q); + +cpu_est_1_.C = (CLK_OSZI); + +inst_AS_030_000_SYNC.D = (AS_030 + # CPU_SPACE & CLK_030 + # !CLK_030 & inst_AS_030_000_SYNC.Q + # FC_1_ & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_); + +inst_AS_030_000_SYNC.AP = (!RST); + +inst_AS_030_000_SYNC.C = (CLK_OSZI); + +!inst_DTACK_SYNC.D = (!AS_030 & !inst_DTACK_SYNC.Q + # inst_VPA_D.Q & inst_CLK_000_D.Q & SM_AMIGA_3_.Q & !DTACK.PIN); + +inst_DTACK_SYNC.AP = (!RST); + +inst_DTACK_SYNC.C = (CLK_OSZI); + +inst_VPA_D.D = (VPA); + +inst_VPA_D.C = (CLK_OSZI); + +!inst_VPA_SYNC.D = (!AS_030 & !inst_VPA_SYNC.Q + # !E.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_D.Q & cpu_est_0_.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q); + +inst_VPA_SYNC.AP = (!RST); + +inst_VPA_SYNC.C = (CLK_OSZI); + +inst_CLK_000_D.D = (CLK_000); + +inst_CLK_000_D.C = (CLK_OSZI); + +inst_CLK_000_DD.D = (inst_CLK_000_D.Q); + +inst_CLK_000_DD.C = (CLK_OSZI); + +inst_CLK_OUT_PRE.D = (!inst_CLK_OUT_PRE.Q & CLK_CNT_0_.Q + # inst_CLK_OUT_PRE.Q & !CLK_CNT_0_.Q); + +inst_CLK_OUT_PRE.C = (CLK_OSZI); + +cpu_est_0_.D = (!inst_CLK_000_D.Q & cpu_est_0_.Q + # inst_CLK_000_DD.Q & cpu_est_0_.Q + # inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q); + +cpu_est_0_.C = (CLK_OSZI); + +cpu_est_2_.D.X1 = (E.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & !cpu_est_2_.Q + # !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q & !cpu_est_2_.Q + # !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & cpu_est_2_.Q); + +cpu_est_2_.D.X2 = (cpu_est_2_.Q); + +cpu_est_2_.C = (CLK_OSZI); + +CLK_CNT_0_.D = (!CLK_CNT_0_.Q); + +CLK_CNT_0_.C = (CLK_OSZI); + +SM_AMIGA_6_.AR = (!RST); + +SM_AMIGA_6_.D = (inst_AS_030_000_SYNC.Q & SM_AMIGA_6_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_6_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_7_.Q); + +SM_AMIGA_6_.C = (CLK_OSZI); + +SM_AMIGA_7_.D = (inst_CLK_000_D.Q & SM_AMIGA_7_.Q + # AS_000.Q & inst_CLK_000_D.Q & SM_AMIGA_0_.Q); + +SM_AMIGA_7_.AP = (!RST); + +SM_AMIGA_7_.C = (CLK_OSZI); + +inst_RISING_CLK_AMIGA.D = (CLK_000 & !inst_CLK_000_D.Q); + +inst_RISING_CLK_AMIGA.C = (CLK_OSZI); + +SM_AMIGA_4_.AR = (!RST); + +SM_AMIGA_4_.D = (!inst_CLK_000_D.Q & SM_AMIGA_4_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_5_.Q); + +SM_AMIGA_4_.C = (CLK_OSZI); + +SM_AMIGA_3_.AR = (!RST); + +SM_AMIGA_3_.D = (inst_CLK_000_D.Q & SM_AMIGA_4_.Q + # inst_CLK_000_D.Q & SM_AMIGA_3_.Q + # inst_DTACK_SYNC.Q & inst_VPA_SYNC.Q & SM_AMIGA_3_.Q); + +SM_AMIGA_3_.C = (CLK_OSZI); + +SM_AMIGA_5_.AR = (!RST); + +SM_AMIGA_5_.D = (inst_CLK_000_D.Q & SM_AMIGA_5_.Q + # !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q); + +SM_AMIGA_5_.C = (CLK_OSZI); + +!CLK_000_CNT_0_.D = (CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_0_.Q + # !CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_0_.Q); + +CLK_000_CNT_0_.C = (CLK_OSZI); + +CLK_000_CNT_1_.D = (CLK_000 & inst_CLK_000_D.Q & !CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q + # !CLK_000 & !inst_CLK_000_D.Q & !CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q + # CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & !CLK_000_CNT_1_.Q + # !CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & !CLK_000_CNT_1_.Q); + +CLK_000_CNT_1_.C = (CLK_OSZI); + +!CLK_000_CNT_2_.D = (!CLK_000 & inst_CLK_000_D.Q + # CLK_000 & !inst_CLK_000_D.Q + # !CLK_000_CNT_0_.Q & !CLK_000_CNT_2_.Q + # !CLK_000_CNT_1_.Q & !CLK_000_CNT_2_.Q + # CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q & CLK_000_CNT_2_.Q); + +CLK_000_CNT_2_.C = (CLK_OSZI); + +CLK_000_CNT_3_.T = (!CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_3_.Q + # CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_3_.Q + # CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q & CLK_000_CNT_2_.Q + # !CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q & CLK_000_CNT_2_.Q); + +CLK_000_CNT_3_.C = (CLK_OSZI); + +SM_AMIGA_2_.AR = (!RST); + +SM_AMIGA_2_.D = (!inst_CLK_000_D.Q & SM_AMIGA_2_.Q + # !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q + # !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q); + +SM_AMIGA_2_.C = (CLK_OSZI); + +SM_AMIGA_1_.AR = (!RST); + +!SM_AMIGA_1_.D = (!inst_CLK_000_D.Q & SM_AMIGA_2_.Q + # !SM_AMIGA_2_.Q & !SM_AMIGA_1_.Q + # !CLK_000 & !inst_DTACK_SYNC.Q & !SM_AMIGA_2_.Q + # !CLK_000 & E.Q & cpu_est_1_.Q & !inst_VPA_SYNC.Q & cpu_est_0_.Q & cpu_est_2_.Q & !SM_AMIGA_2_.Q); + +SM_AMIGA_1_.C = (CLK_OSZI); + +SM_AMIGA_0_.AR = (!RST); + +SM_AMIGA_0_.D = (!AS_000.Q & SM_AMIGA_0_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_0_.Q + # !CLK_000 & !inst_DTACK_SYNC.Q & SM_AMIGA_1_.Q + # !CLK_000 & E.Q & cpu_est_1_.Q & !inst_VPA_SYNC.Q & cpu_est_0_.Q & cpu_est_2_.Q & SM_AMIGA_1_.Q); + +SM_AMIGA_0_.C = (CLK_OSZI); + +!SM_AMIGA_D_0_.D = (!RST & !SM_AMIGA_D_0_.Q + # RST & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q & !SM_AMIGA_0_.Q); + +SM_AMIGA_D_0_.C = (CLK_OSZI); + +!SM_AMIGA_D_1_.D = (!RST & !SM_AMIGA_D_1_.Q + # RST & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); + +SM_AMIGA_D_1_.C = (CLK_OSZI); + +!SM_AMIGA_D_2_.D = (!RST & !SM_AMIGA_D_2_.Q + # RST & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); + +SM_AMIGA_D_2_.C = (CLK_OSZI); + +un1_UDS_000_INT_0_sqmuxa_2_0 = (!DS_030 & RW & SM_AMIGA_5_.Q + # !DS_030 & RW & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q + # !DS_030 & !RW & inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q + # !DS_030 & !RW & inst_CLK_000_D.Q & !SM_AMIGA_6_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q); + + +Reverse-Polarity Equations: + diff --git a/Logic/68030_tk.err b/Logic/68030_tk.err new file mode 100644 index 0000000..e69de29 diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti new file mode 100644 index 0000000..18fe8f7 --- /dev/null +++ b/Logic/68030_tk.fti @@ -0,0 +1,345 @@ +#PLAFILE 68030_tk.tt4 +#DATE 05/15/2014 +#DESIGN +#DEVICE mach447a + +DATA LOCATION AMIGA_BUS_DATA_DIR:E_0_48 // OUT +DATA LOCATION AMIGA_BUS_ENABLE:D_9_34 // OUT +DATA LOCATION AMIGA_BUS_ENABLE_LOW:C_12_20 // OUT +DATA LOCATION AS_000:D_5_33 // IO {RN_AS_000} +DATA LOCATION AS_030:H_*_82 // INP +DATA LOCATION AVEC:A_4_92 // OUT +DATA LOCATION AVEC_EXP:C_0_22 // OUT +DATA LOCATION A_0_:G_*_69 // INP +DATA LOCATION A_16_:A_*_96 // INP +DATA LOCATION A_17_:F_*_59 // INP +DATA LOCATION A_18_:A_*_95 // INP +DATA LOCATION A_19_:A_*_97 // INP +DATA LOCATION A_20_:A_*_93 // INP +DATA LOCATION A_21_:A_*_94 // INP +DATA LOCATION A_22_:H_*_85 // INP +DATA LOCATION A_23_:H_*_84 // INP +DATA LOCATION A_24_:C_*_19 // INP +DATA LOCATION A_25_:C_*_18 // INP +DATA LOCATION A_26_:C_*_17 // INP +DATA LOCATION A_27_:C_*_16 // INP +DATA LOCATION A_28_:C_*_15 // INP +DATA LOCATION A_29_:B_*_6 // INP +DATA LOCATION A_30_:B_*_5 // INP +DATA LOCATION A_31_:B_*_4 // INP +DATA LOCATION BERR:E_4_41 // OUT +DATA LOCATION BGACK_000:D_*_28 // INP +DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} +DATA LOCATION BG_000:D_1_29 // IO {RN_BG_000} +DATA LOCATION BG_030:C_*_21 // INP +DATA LOCATION CIIN:E_12_47 // OUT +DATA LOCATION CLK_000:*_*_11 // INP +DATA LOCATION CLK_000_CNT_0_:H_5 // NOD +DATA LOCATION CLK_000_CNT_1_:G_5 // NOD +DATA LOCATION CLK_000_CNT_2_:H_13 // NOD +DATA LOCATION CLK_000_CNT_3_:H_2 // NOD +DATA LOCATION CLK_030:*_*_64 // INP +DATA LOCATION CLK_CNT_0_:G_15 // NOD +DATA LOCATION CLK_DIV_OUT:G_0_65 // OUT +DATA LOCATION CLK_EXP:B_0_10 // OUT +DATA LOCATION CLK_OSZI:*_*_61 // Cin +DATA LOCATION CPU_SPACE:*_*_14 // INP +DATA LOCATION DSACK_0_:H_12_80 // OUT +DATA LOCATION DSACK_1_:H_8_81 // IO {RN_DSACK_1_} +DATA LOCATION DS_030:A_*_98 // INP +DATA LOCATION DTACK:D_0_30 // IO +DATA LOCATION E:G_4_66 // IO {RN_E} +DATA LOCATION FC_0_:F_*_57 // INP +DATA LOCATION FC_1_:F_*_58 // INP +DATA LOCATION FPU_CS:H_0_78 // IO {RN_FPU_CS} +DATA LOCATION IPL_030_0_:B_8_8 // IO {RN_IPL_030_0_} +DATA LOCATION IPL_030_1_:B_12_7 // IO {RN_IPL_030_1_} +DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} +DATA LOCATION IPL_0_:G_*_67 // INP +DATA LOCATION IPL_1_:F_*_56 // INP +DATA LOCATION IPL_2_:G_*_68 // INP +DATA LOCATION LDS_000:D_12_31 // IO {RN_LDS_000} +DATA LOCATION RESET:B_5_3 // OUT +DATA LOCATION RN_AS_000:D_5 // NOD {AS_000} +DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} +DATA LOCATION RN_BG_000:D_1 // NOD {BG_000} +DATA LOCATION RN_DSACK_1_:H_8 // NOD {DSACK_1_} +DATA LOCATION RN_E:G_4 // NOD {E} +DATA LOCATION RN_FPU_CS:H_0 // NOD {FPU_CS} +DATA LOCATION RN_IPL_030_0_:B_8 // NOD {IPL_030_0_} +DATA LOCATION RN_IPL_030_1_:B_12 // NOD {IPL_030_1_} +DATA LOCATION RN_IPL_030_2_:B_4 // NOD {IPL_030_2_} +DATA LOCATION RN_LDS_000:D_12 // NOD {LDS_000} +DATA LOCATION RN_UDS_000:D_8 // NOD {UDS_000} +DATA LOCATION RN_VMA:D_4 // NOD {VMA} +DATA LOCATION RST:*_*_86 // INP +DATA LOCATION RW:G_*_71 // INP +DATA LOCATION SIZE_0_:G_*_70 // INP +DATA LOCATION SIZE_1_:H_*_79 // INP +DATA LOCATION SM_AMIGA_0_:G_8 // NOD +DATA LOCATION SM_AMIGA_1_:G_1 // NOD +DATA LOCATION SM_AMIGA_2_:G_9 // NOD +DATA LOCATION SM_AMIGA_3_:G_13 // NOD +DATA LOCATION SM_AMIGA_4_:D_13 // NOD +DATA LOCATION SM_AMIGA_5_:D_6 // NOD +DATA LOCATION SM_AMIGA_6_:D_2 // NOD +DATA LOCATION SM_AMIGA_7_:G_6 // NOD +DATA LOCATION SM_AMIGA_D_0_:B_13 // NOD +DATA LOCATION SM_AMIGA_D_1_:B_9 // NOD +DATA LOCATION SM_AMIGA_D_2_:G_2 // NOD +DATA LOCATION UDS_000:D_8_32 // IO {RN_UDS_000} +DATA LOCATION VMA:D_4_35 // IO {RN_VMA} +DATA LOCATION VPA:*_*_36 // INP +DATA LOCATION cpu_est_0_:G_11 // NOD +DATA LOCATION cpu_est_1_:G_3 // NOD +DATA LOCATION cpu_est_2_:G_7 // NOD +DATA LOCATION inst_AS_030_000_SYNC:H_1 // NOD +DATA LOCATION inst_CLK_000_D:A_0 // NOD +DATA LOCATION inst_CLK_000_DD:D_14 // NOD +DATA LOCATION inst_CLK_OUT_PRE:G_10 // NOD +DATA LOCATION inst_DTACK_SYNC:G_14 // NOD +DATA LOCATION inst_RISING_CLK_AMIGA:H_9 // NOD +DATA LOCATION inst_VPA_D:B_6 // NOD +DATA LOCATION inst_VPA_SYNC:G_12 // NOD +DATA LOCATION un1_UDS_000_INT_0_sqmuxa_2_0:D_10 // NOD +DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT +DATA IO_DIR AMIGA_BUS_ENABLE:OUT +DATA IO_DIR AMIGA_BUS_ENABLE_LOW:OUT +DATA IO_DIR AS_000:OUT +DATA IO_DIR AS_030:IN +DATA IO_DIR AVEC:OUT +DATA IO_DIR AVEC_EXP:OUT +DATA IO_DIR A_0_:IN +DATA IO_DIR A_16_:IN +DATA IO_DIR A_17_:IN +DATA IO_DIR A_18_:IN +DATA IO_DIR A_19_:IN +DATA IO_DIR A_20_:IN +DATA IO_DIR A_21_:IN +DATA IO_DIR A_22_:IN +DATA IO_DIR A_23_:IN +DATA IO_DIR A_24_:IN +DATA IO_DIR A_25_:IN +DATA IO_DIR A_26_:IN +DATA IO_DIR A_27_:IN +DATA IO_DIR A_28_:IN +DATA IO_DIR A_29_:IN +DATA IO_DIR A_30_:IN +DATA IO_DIR A_31_:IN +DATA IO_DIR BERR:OUT +DATA IO_DIR BGACK_000:IN +DATA IO_DIR BGACK_030:OUT +DATA IO_DIR BG_000:OUT +DATA IO_DIR BG_030:IN +DATA IO_DIR CIIN:OUT +DATA IO_DIR CLK_000:IN +DATA IO_DIR CLK_030:IN +DATA IO_DIR CLK_DIV_OUT:OUT +DATA IO_DIR CLK_EXP:OUT +DATA IO_DIR CLK_OSZI:IN +DATA IO_DIR CPU_SPACE:IN +DATA IO_DIR DSACK_0_:OUT +DATA IO_DIR DSACK_1_:BI +DATA IO_DIR DS_030:IN +DATA IO_DIR DTACK:BI +DATA IO_DIR E:OUT +DATA IO_DIR FC_0_:IN +DATA IO_DIR FC_1_:IN +DATA IO_DIR FPU_CS:OUT +DATA IO_DIR IPL_030_0_:OUT +DATA IO_DIR IPL_030_1_:OUT +DATA IO_DIR IPL_030_2_:OUT +DATA IO_DIR IPL_0_:IN +DATA IO_DIR IPL_1_:IN +DATA IO_DIR IPL_2_:IN +DATA IO_DIR LDS_000:OUT +DATA IO_DIR RESET:OUT +DATA IO_DIR RST:IN +DATA IO_DIR RW:IN +DATA IO_DIR SIZE_0_:IN +DATA IO_DIR SIZE_1_:IN +DATA IO_DIR UDS_000:OUT +DATA IO_DIR VMA:OUT +DATA IO_DIR VPA:IN +DATA GLB_CLOCK CLK_OSZI +DATA PW_LEVEL A_30_:0 +DATA SLEW A_30_:0 +DATA PW_LEVEL A_29_:0 +DATA SLEW A_29_:0 +DATA PW_LEVEL SIZE_1_:0 +DATA SLEW SIZE_1_:0 +DATA PW_LEVEL A_28_:0 +DATA SLEW A_28_:0 +DATA PW_LEVEL A_27_:0 +DATA SLEW A_27_:0 +DATA PW_LEVEL A_31_:0 +DATA SLEW A_31_:0 +DATA PW_LEVEL A_26_:0 +DATA SLEW A_26_:0 +DATA PW_LEVEL A_25_:0 +DATA SLEW A_25_:0 +DATA PW_LEVEL A_24_:0 +DATA SLEW A_24_:0 +DATA PW_LEVEL A_23_:0 +DATA SLEW A_23_:0 +DATA PW_LEVEL IPL_2_:0 +DATA SLEW IPL_2_:0 +DATA PW_LEVEL A_22_:0 +DATA SLEW A_22_:0 +DATA PW_LEVEL A_21_:0 +DATA SLEW A_21_:0 +DATA PW_LEVEL A_20_:0 +DATA SLEW A_20_:0 +DATA PW_LEVEL A_19_:0 +DATA SLEW A_19_:0 +DATA PW_LEVEL FC_1_:0 +DATA SLEW FC_1_:0 +DATA PW_LEVEL A_18_:0 +DATA SLEW A_18_:0 +DATA PW_LEVEL AS_030:0 +DATA SLEW AS_030:0 +DATA PW_LEVEL A_17_:0 +DATA SLEW A_17_:0 +DATA PW_LEVEL A_16_:0 +DATA SLEW A_16_:0 +DATA PW_LEVEL DS_030:0 +DATA SLEW DS_030:0 +DATA SLEW CPU_SPACE:0 +DATA PW_LEVEL BERR:0 +DATA SLEW BERR:0 +DATA PW_LEVEL BG_030:0 +DATA SLEW BG_030:0 +DATA PW_LEVEL BGACK_000:0 +DATA SLEW BGACK_000:0 +DATA SLEW CLK_030:0 +DATA SLEW CLK_000:0 +DATA SLEW CLK_OSZI:0 +DATA PW_LEVEL CLK_EXP:0 +DATA SLEW CLK_EXP:0 +DATA PW_LEVEL A_0_:0 +DATA SLEW A_0_:0 +DATA PW_LEVEL AVEC:0 +DATA SLEW AVEC:0 +DATA PW_LEVEL AVEC_EXP:0 +DATA SLEW AVEC_EXP:0 +DATA PW_LEVEL IPL_1_:0 +DATA SLEW IPL_1_:0 +DATA SLEW VPA:0 +DATA PW_LEVEL IPL_0_:0 +DATA SLEW IPL_0_:0 +DATA PW_LEVEL DSACK_0_:0 +DATA SLEW DSACK_0_:0 +DATA SLEW RST:0 +DATA PW_LEVEL FC_0_:0 +DATA SLEW FC_0_:0 +DATA PW_LEVEL RW:0 +DATA SLEW RW:0 +DATA PW_LEVEL AMIGA_BUS_ENABLE:0 +DATA SLEW AMIGA_BUS_ENABLE:0 +DATA PW_LEVEL AMIGA_BUS_DATA_DIR:0 +DATA SLEW AMIGA_BUS_DATA_DIR:0 +DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:0 +DATA SLEW AMIGA_BUS_ENABLE_LOW:0 +DATA PW_LEVEL CIIN:0 +DATA SLEW CIIN:0 +DATA PW_LEVEL SIZE_0_:0 +DATA SLEW SIZE_0_:0 +DATA PW_LEVEL IPL_030_2_:0 +DATA SLEW IPL_030_2_:0 +DATA PW_LEVEL DSACK_1_:0 +DATA SLEW DSACK_1_:0 +DATA PW_LEVEL AS_000:0 +DATA SLEW AS_000:0 +DATA PW_LEVEL UDS_000:0 +DATA SLEW UDS_000:0 +DATA PW_LEVEL LDS_000:0 +DATA SLEW LDS_000:0 +DATA PW_LEVEL BG_000:0 +DATA SLEW BG_000:0 +DATA PW_LEVEL BGACK_030:0 +DATA SLEW BGACK_030:0 +DATA PW_LEVEL CLK_DIV_OUT:0 +DATA SLEW CLK_DIV_OUT:0 +DATA PW_LEVEL FPU_CS:0 +DATA SLEW FPU_CS:0 +DATA PW_LEVEL DTACK:0 +DATA SLEW DTACK:0 +DATA PW_LEVEL IPL_030_1_:0 +DATA SLEW IPL_030_1_:0 +DATA PW_LEVEL IPL_030_0_:0 +DATA SLEW IPL_030_0_:0 +DATA PW_LEVEL E:0 +DATA SLEW E:0 +DATA PW_LEVEL VMA:0 +DATA SLEW VMA:0 +DATA PW_LEVEL RESET:0 +DATA SLEW RESET:0 +DATA PW_LEVEL cpu_est_1_:0 +DATA SLEW cpu_est_1_:0 +DATA PW_LEVEL inst_AS_030_000_SYNC:0 +DATA SLEW inst_AS_030_000_SYNC:0 +DATA PW_LEVEL inst_DTACK_SYNC:0 +DATA SLEW inst_DTACK_SYNC:0 +DATA PW_LEVEL inst_VPA_D:0 +DATA SLEW inst_VPA_D:0 +DATA PW_LEVEL inst_VPA_SYNC:0 +DATA SLEW inst_VPA_SYNC:0 +DATA PW_LEVEL inst_CLK_000_D:0 +DATA SLEW inst_CLK_000_D:0 +DATA PW_LEVEL inst_CLK_000_DD:0 +DATA SLEW inst_CLK_000_DD:0 +DATA PW_LEVEL inst_CLK_OUT_PRE:0 +DATA SLEW inst_CLK_OUT_PRE:0 +DATA PW_LEVEL cpu_est_0_:0 +DATA SLEW cpu_est_0_:0 +DATA PW_LEVEL cpu_est_2_:0 +DATA SLEW cpu_est_2_:0 +DATA PW_LEVEL CLK_CNT_0_:0 +DATA SLEW CLK_CNT_0_:0 +DATA PW_LEVEL SM_AMIGA_6_:0 +DATA SLEW SM_AMIGA_6_:0 +DATA PW_LEVEL SM_AMIGA_7_:0 +DATA SLEW SM_AMIGA_7_:0 +DATA PW_LEVEL inst_RISING_CLK_AMIGA:0 +DATA SLEW inst_RISING_CLK_AMIGA:0 +DATA PW_LEVEL SM_AMIGA_4_:0 +DATA SLEW SM_AMIGA_4_:0 +DATA PW_LEVEL SM_AMIGA_3_:0 +DATA SLEW SM_AMIGA_3_:0 +DATA PW_LEVEL SM_AMIGA_5_:0 +DATA SLEW SM_AMIGA_5_:0 +DATA PW_LEVEL CLK_000_CNT_0_:0 +DATA SLEW CLK_000_CNT_0_:0 +DATA PW_LEVEL CLK_000_CNT_1_:0 +DATA SLEW CLK_000_CNT_1_:0 +DATA PW_LEVEL CLK_000_CNT_2_:0 +DATA SLEW CLK_000_CNT_2_:0 +DATA PW_LEVEL CLK_000_CNT_3_:0 +DATA SLEW CLK_000_CNT_3_:0 +DATA PW_LEVEL SM_AMIGA_2_:0 +DATA SLEW SM_AMIGA_2_:0 +DATA PW_LEVEL SM_AMIGA_1_:0 +DATA SLEW SM_AMIGA_1_:0 +DATA PW_LEVEL SM_AMIGA_0_:0 +DATA SLEW SM_AMIGA_0_:0 +DATA PW_LEVEL SM_AMIGA_D_0_:0 +DATA SLEW SM_AMIGA_D_0_:0 +DATA PW_LEVEL SM_AMIGA_D_1_:0 +DATA SLEW SM_AMIGA_D_1_:0 +DATA PW_LEVEL SM_AMIGA_D_2_:0 +DATA SLEW SM_AMIGA_D_2_:0 +DATA PW_LEVEL un1_UDS_000_INT_0_sqmuxa_2_0:0 +DATA SLEW un1_UDS_000_INT_0_sqmuxa_2_0:0 +DATA PW_LEVEL RN_IPL_030_2_:0 +DATA PW_LEVEL RN_DSACK_1_:0 +DATA PW_LEVEL RN_AS_000:0 +DATA PW_LEVEL RN_UDS_000:0 +DATA PW_LEVEL RN_LDS_000:0 +DATA PW_LEVEL RN_BG_000:0 +DATA PW_LEVEL RN_BGACK_030:0 +DATA PW_LEVEL RN_FPU_CS:0 +DATA PW_LEVEL RN_IPL_030_1_:0 +DATA PW_LEVEL RN_IPL_030_0_:0 +DATA PW_LEVEL RN_E:0 +DATA PW_LEVEL RN_VMA:0 +END diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp new file mode 100644 index 0000000..1845f1a --- /dev/null +++ b/Logic/68030_tk.grp @@ -0,0 +1,16 @@ + +GROUP MACH_SEG_A inst_CLK_000_D AVEC +GROUP MACH_SEG_B SM_AMIGA_D_1_ SM_AMIGA_D_0_ IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ + RN_IPL_030_0_ IPL_030_2_ RN_IPL_030_2_ inst_VPA_D RESET CLK_EXP +GROUP MACH_SEG_C AVEC_EXP AMIGA_BUS_ENABLE_LOW +GROUP MACH_SEG_D UDS_000 RN_UDS_000 LDS_000 RN_LDS_000 BG_000 RN_BG_000 + AS_000 RN_AS_000 VMA RN_VMA SM_AMIGA_6_ SM_AMIGA_5_ SM_AMIGA_4_ DTACK + inst_CLK_000_DD un1_UDS_000_INT_0_sqmuxa_2_0 AMIGA_BUS_ENABLE +GROUP MACH_SEG_E CIIN BERR AMIGA_BUS_DATA_DIR +GROUP MACH_SEG_G SM_AMIGA_0_ SM_AMIGA_1_ inst_VPA_SYNC inst_DTACK_SYNC + SM_AMIGA_D_2_ E RN_E cpu_est_1_ cpu_est_2_ SM_AMIGA_2_ SM_AMIGA_3_ + SM_AMIGA_7_ CLK_000_CNT_1_ cpu_est_0_ inst_CLK_OUT_PRE CLK_CNT_0_ + CLK_DIV_OUT +GROUP MACH_SEG_H DSACK_1_ RN_DSACK_1_ inst_AS_030_000_SYNC FPU_CS RN_FPU_CS + CLK_000_CNT_3_ CLK_000_CNT_2_ BGACK_030 RN_BGACK_030 CLK_000_CNT_0_ + inst_RISING_CLK_AMIGA DSACK_0_ \ No newline at end of file diff --git a/Logic/68030_tk.imp b/Logic/68030_tk.imp new file mode 100644 index 0000000..18a49d6 --- /dev/null +++ b/Logic/68030_tk.imp @@ -0,0 +1,2 @@ +No pin assignment or valid property. +No source constraints were imported. \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr new file mode 100644 index 0000000..a3b1a89 --- /dev/null +++ b/Logic/68030_tk.ipr @@ -0,0 +1 @@ +3467346{D`00n \ No newline at end of file diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed new file mode 100644 index 0000000..e53b249 --- /dev/null +++ b/Logic/68030_tk.jed @@ -0,0 +1,1098 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 1.7.00.05.28.13 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + +TITLE: +AUTHOR: +PATTERN: +COMPANY: +REVISION: +DATE: Thu May 15 19:20:57 2014 + +ABEL mach447a + * +QP100* +QF54096* +G0*F0* +NOTE Part Number : M4A5-128/64-10VC * +NOTE Handling of Preplacements No Change * +NOTE Use placement data from 68030_tk.vct * +NOTE Global clocks routable as PT clocks? Y * +NOTE 22V10/MACH1XX/2XX S/R Compatibility? Y * +NOTE SET/RESET treated as DONT_CARE? N * +NOTE Reduce Unforced Global Clocks? N * +NOTE Iterate between partitioning and place/route? Y * +NOTE Balanced partitioning? Y * +NOTE Reduce Routes Per Placement? N * +NOTE Spread Placement? Y * +NOTE Run Time Upper Bound in 15 minutes 0 * +NOTE Zero Hold Time For Input Registers? Y * +NOTE Table of pin names and numbers* +NOTE PINS A_30_:5 A_29_:6 SIZE_1_:79 A_28_:15 A_27_:16 A_31_:4* +NOTE PINS A_26_:17 A_25_:18 A_24_:19 A_23_:84 IPL_2_:68 A_22_:85* +NOTE PINS A_21_:94 A_20_:93 A_19_:97 FC_1_:58 A_18_:95 AS_030:82* +NOTE PINS A_17_:59 A_16_:96 DS_030:98 CPU_SPACE:14 BERR:41* +NOTE PINS BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11 CLK_OSZI:61* +NOTE PINS CLK_EXP:10 A_0_:69 AVEC:92 AVEC_EXP:22 IPL_1_:56* +NOTE PINS VPA:36 IPL_0_:67 DSACK_0_:80 RST:86 FC_0_:57 RW:71* +NOTE PINS AMIGA_BUS_ENABLE:34 AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20* +NOTE PINS CIIN:47 SIZE_0_:70 IPL_030_2_:9 DSACK_1_:81 AS_000:33* +NOTE PINS UDS_000:32 LDS_000:31 BG_000:29 BGACK_030:83 CLK_DIV_OUT:65* +NOTE PINS FPU_CS:78 DTACK:30 IPL_030_1_:7 IPL_030_0_:8 E:66* +NOTE PINS VMA:35 RESET:3 * +NOTE Table of node names and numbers* +NOTE NODES RN_IPL_030_2_:131 RN_DSACK_1_:281 RN_AS_000:181 * +NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BG_000:175 RN_BGACK_030:275 * +NOTE NODES RN_FPU_CS:269 RN_DTACK:173 RN_IPL_030_1_:143 * +NOTE NODES RN_IPL_030_0_:137 RN_E:251 RN_VMA:179 cpu_est_1_:250 * +NOTE NODES inst_AS_030_000_SYNC:271 inst_DTACK_SYNC:266 * +NOTE NODES inst_VPA_D:134 inst_VPA_SYNC:263 inst_CLK_000_D:101 * +NOTE NODES inst_CLK_000_DD:194 inst_CLK_OUT_PRE:260 cpu_est_0_:262 * +NOTE NODES cpu_est_2_:256 CLK_CNT_0_:268 SM_AMIGA_6_:176 * +NOTE NODES SM_AMIGA_7_:254 inst_RISING_CLK_AMIGA:283 SM_AMIGA_4_:193 * +NOTE NODES SM_AMIGA_3_:265 SM_AMIGA_5_:182 CLK_000_CNT_0_:277 * +NOTE NODES CLK_000_CNT_1_:253 CLK_000_CNT_2_:289 CLK_000_CNT_3_:272 * +NOTE NODES SM_AMIGA_2_:259 SM_AMIGA_1_:247 SM_AMIGA_0_:257 * +NOTE NODES SM_AMIGA_D_0_:145 SM_AMIGA_D_1_:139 SM_AMIGA_D_2_:248 * +NOTE NODES un1_UDS_000_INT_0_sqmuxa_2_0:188 * +NOTE BLOCK 0 * +L000000 + 111111011111111111111111111111111111111111111111111111111111111111 + 111111111011111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111* +L000594 + 000000000000000000000000000000000000000000000000000000000000000000* +L000660 111111011111111111111111111111111111111111111111111111111111111111* +L000726 111111111111111111111111111111111111111111111111111111111111111111* +L000792 111111111111111111111111111111111111111111111111111111111111111111* +L000858 111111111111111111111111111111111111111111111111111111111111111111* +L000924 111111111111111111111111111111111111111111111111111111111111111111* +L000990 111111111111111111111111111111111111111111111111111111111111111111* +L001056 111111111111111111111111111111111111111111111111111111111111111111* +L001122 111111111111111111111111111111111111111111111111111111111111111111* +L001188 111111111111111111111111111111111111111111111111111111111111111111* +L001254 111111111111111111111111111111111111111111111111111111111111111111* +L001320 + 111111111111111111111111111111111111111111111111111111111111111111* +L001386 111111111111111111111111111111111111111111111111111111111111111111* +L001452 111111111111111111111111111111111111111111111111111111111111111111* +L001518 111111111111111111111111111111111111111111111111111111111111111111* +L001584 111111111111111111111111111111111111111111111111111111111111111111* +L001650 111111111111111111111111111111111111111111111111111111111111111111* +L001716 111111111111111111111111111111111111111111111111111111111111111111* +L001782 111111111111111111111111111111111111111111111111111111111111111111* +L001848 111111111111111111111111111111111111111111111111111111111111111111* +L001914 111111111111111111111111111111111111111111111111111111111111111111* +L001980 111111111111111111111111111111111111111111111111111111111111111111* +L002046 + 000000000000000000000000000000000000000000000000000000000000000000* +L002112 111111111111111111111111111111111111111111111111111111111111111111* +L002178 111111111111111111111111111111111111111111111111111111111111111111* +L002244 111111111111111111111111111111111111111111111111111111111111111111* +L002310 111111111111111111111111111111111111111111111111111111111111111111* +L002376 111111111111111111111111111111111111111111111111111111111111111111* +L002442 111111111111111111111111111111111111111111111111111111111111111111* +L002508 111111111111111111111111111111111111111111111111111111111111111111* +L002574 111111111111111111111111111111111111111111111111111111111111111111* +L002640 111111111111111111111111111111111111111111111111111111111111111111* +L002706 111111111111111111111111111111111111111111111111111111111111111111* +L002772 + 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111111111111111111111111111111111111111111111111111111* +L002904 111111111111111111111111111111111111111111111111111111111111111111* +L002970 111111111111111111111111111111111111111111111111111111111111111111* +L003036 111111111111111111111111111111111111111111111111111111111111111111* +L003102 111111111111111111111111111111111111111111111111111111111111111111* +L003168 111111111111111111111111111111111111111111111111111111111111111111* +L003234 111111111111111111111111111111111111111111111111111111111111111111* +L003300 111111111111111111111111111111111111111111111111111111111111111111* +L003366 111111111111111111111111111111111111111111111111111111111111111111* +L003432 111111111111111111111111111111111111111111111111111111111111111111* +L003498 + 000000000000000000000000000000000000000000000000000000000000000000* +L003564 111111111111111111111111111111111111111111111111111111111111111111* +L003630 111111111111111111111111111111111111111111111111111111111111111111* +L003696 111111111111111111111111111111111111111111111111111111111111111111* +L003762 111111111111111111111111111111111111111111111111111111111111111111* +L003828 111111111111111111111111111111111111111111111111111111111111111111* +L003894 111111111111111111111111111111111111111111111111111111111111111111* +L003960 111111111111111111111111111111111111111111111111111111111111111111* +L004026 111111111111111111111111111111111111111111111111111111111111111111* +L004092 111111111111111111111111111111111111111111111111111111111111111111* +L004158 111111111111111111111111111111111111111111111111111111111111111111* +L004224 + 000000000000000000000000000000000000000000000000000000000000000000* +L004290 111111111111111111111111111111111111111111111111111111111111111111* +L004356 111111111111111111111111111111111111111111111111111111111111111111* +L004422 111111111111111111111111111111111111111111111111111111111111111111* +L004488 111111111111111111111111111111111111111111111111111111111111111111* +L004554 111111111111111111111111111111111111111111111111111111111111111111* +L004620 111111111111111111111111111111111111111111111111111111111111111111* +L004686 111111111111111111111111111111111111111111111111111111111111111111* +L004752 111111111111111111111111111111111111111111111111111111111111111111* +L004818 111111111111111111111111111111111111111111111111111111111111111111* +L004884 111111111111111111111111111111111111111111111111111111111111111111* +L004950 + 000000000000000000000000000000000000000000000000000000000000000000* +L005016 111111111111111111111111111111111111111111111111111111111111111111* +L005082 111111111111111111111111111111111111111111111111111111111111111111* +L005148 111111111111111111111111111111111111111111111111111111111111111111* +L005214 111111111111111111111111111111111111111111111111111111111111111111* +L005280 111111111111111111111111111111111111111111111111111111111111111111* +L005346 111111111111111111111111111111111111111111111111111111111111111111* +L005412 111111111111111111111111111111111111111111111111111111111111111111* +L005478 111111111111111111111111111111111111111111111111111111111111111111* +L005544 111111111111111111111111111111111111111111111111111111111111111111* +L005610 111111111111111111111111111111111111111111111111111111111111111111* +L005676 + 000000000000000000000000000000000000000000000000000000000000000000* +L005742 111111111111111111111111111111111111111111111111111111111111111111* +L005808 111111111111111111111111111111111111111111111111111111111111111111* +L005874 111111111111111111111111111111111111111111111111111111111111111111* +L005940 111111111111111111111111111111111111111111111111111111111111111111* +L006006 111111111111111111111111111111111111111111111111111111111111111111* +L006072 111111111111111111111111111111111111111111111111111111111111111111* +L006138 111111111111111111111111111111111111111111111111111111111111111111* +L006204 111111111111111111111111111111111111111111111111111111111111111111* +L006270 111111111111111111111111111111111111111111111111111111111111111111* +L006336 111111111111111111111111111111111111111111111111111111111111111111* +L006402 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L006534 0010* +L006538 00010110010000* +L006552 11011011111110* +L006566 11110011110101* +L006580 11110111111111* +L006594 00110011111000* +L006608 11000111110011* +L006622 11110011110001* +L006636 11110111110011* +L006650 11110011110000* +L006664 11111011110011* +L006678 11110111110001* +L006692 11111111110011* +L006706 11110011110000* +L006720 11111011110011* +L006734 11110111110001* +L006748 11111111110011* +NOTE BLOCK 1 * +L006762 + 111111111111111111101111011111111111111111011111111111111111111111 + 111111111111011111111011111111111111111111111111111111111110111111 + 111111101111111101111111111111111111111111111011111111111011111111 + 101111111111111111111111111111111111111111111110111111011111111111 + 111111111011111111111111111111111111111111111111111111111111111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 111111111110111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111011111 + 111111111111111111111111110111110111111111111111101111111111111111* +L007356 + 111111111111111111111111111111111111111111111111111111111111111111* +L007422 111111111111011111111111111111111111111111011101111111110111111111* +L007488 111111111111111111111111111111111111111111111111111111110111111111* +L007554 111011111111111111111011111111111011111111011111111111111111111111* +L007620 111111111111111111111111111111110111111111101111111111111111111111* +L007686 110111111111111111111111111111111111111111101111111111111111111111* +L007752 111111111111111111110111111111111111111111101111111111111111111111* +L007818 111011111011011111111111111111111111111111111111111111111111101111* +L007884 110111111111101111111111111111111111111111111111111111111111111111* +L007950 111111111111101111111111111111111111111111111111111111111111011111* +L008016 111111110111101111111111111111111111111111111111111111111111111111* +L008082 + 111111111111111111111111111111111111111111111111111111111111111111* +L008148 111111111011111111101011111111111111111111111101111111111111111111* +L008214 111111111111111111011111111111111111111111111110111111111111111111* +L008280 111111111111111111110111111111111111111111111110111111111111111111* +L008346 111111110111111111111111111111111111111111111110111111111111111111* +L008412 000000000000000000000000000000000000000000000000000000000000000000* +L008478 111111111111111111111111111111111111111111111111111111111111111111* +L008544 111111111111111111111111111111111111111111111111111111111111111111* +L008610 111111111111111111111111111111111111111111111111111111111111111111* +L008676 111111111111111111111111111111111111111111111111111111111111111111* +L008742 111111111111111111111111111111111111111111111111111111111111111111* +L008808 + 111111111111111111111111111111111111111111111111111111111111111111* +L008874 111111111101111111111111111111111111111111110111111111111111111111* +L008940 111111111110111111111111111111111111111111111111111111011111111111* +L009006 000000000000000000000000000000000000000000000000000000000000000000* +L009072 000000000000000000000000000000000000000000000000000000000000000000* +L009138 000000000000000000000000000000000000000000000000000000000000000000* +L009204 111111111111111111111111111111111111111111111111011111111111111111* +L009270 111111111111111111111111111111111111111111111111111111111101111111* +L009336 000000000000000000000000000000000000000000000000000000000000000000* +L009402 111111111111111111111111111111111111111111111111111111111111111111* +L009468 111111111111111111111111111111111111111111111111111111111111111111* +L009534 + 111111111111111111111111111111111111111111111111111111111111111111* +L009600 111111111111111111111111110111111111111111111111111111111111111111* +L009666 111111111111111111111111111111111111111111111111111111111101111111* +L009732 000000000000000000000000000000000000000000000000000000000000000000* +L009798 111111111111111111111111111111111111111111111111111111111111111111* +L009864 111111111111111111111111111111111111111111111111111111111111111111* +L009930 111111111111111111111111111111111111111111111111111111111111111111* +L009996 111111111111111111111111111111111111111111111111111111111111111111* +L010062 111111111111111111111111111111111111111111111111111111111111111111* +L010128 111111111111111111111111111111111111111111111111111111111111111111* +L010194 111111111111111111111111111111111111111111111111111111111111111111* +L010260 + 000000000000000000000000000000000000000000000000000000000000000000* +L010326 011111111101111111111111111111111111111111111111111111111111111111* +L010392 111111111110111101111111111111111111111111111111111111111111111111* +L010458 000000000000000000000000000000000000000000000000000000000000000000* +L010524 000000000000000000000000000000000000000000000000000000000000000000* +L010590 000000000000000000000000000000000000000000000000000000000000000000* +L010656 111011111011111111111111111111111111111111111111011111111011101111* +L010722 111111111111111111111111111111111111111111111111111111111101111111* +L010788 000000000000000000000000000000000000000000000000000000000000000000* +L010854 111111111111101111111111111111111111111111111111101111111111111111* +L010920 000000000000000000000000000000000000000000000000000000000000000000* +L010986 + 000000000000000000000000000000000000000000000000000000000000000000* +L011052 111111111111111111111111111111111111111111111111111111111111111111* +L011118 111111111111111111111111111111111111111111111111111111111111111111* +L011184 111111111111111111111111111111111111111111111111111111111111111111* +L011250 111111111111111111111111111111111111111111111111111111111111111111* +L011316 111111111111111111111111111111111111111111111111111111111111111111* +L011382 111111111111111111111111111111111111111111111111111111111111111111* +L011448 111111111111111111111111111111111111111111111111111111111111111111* +L011514 111111111111111111111111111111111111111111111111111111111111111111* +L011580 111111111111111111111111111111111111111111111111111111111111111111* +L011646 111111111111111111111111111111111111111111111111111111111111111111* +L011712 + 000000000000000000000000000000000000000000000000000000000000000000* +L011778 111111011101111111111111111111111111111111111111111111111111111111* +L011844 111111111110111111111111011111111111111111111111111111111111111111* +L011910 000000000000000000000000000000000000000000000000000000000000000000* +L011976 000000000000000000000000000000000000000000000000000000000000000000* +L012042 000000000000000000000000000000000000000000000000000000000000000000* +L012108 111011111111111111111011111111111011111111111111011111111011111111* +L012174 111111111111111111111111111111111111111111111111111111111101111111* +L012240 000000000000000000000000000000000000000000000000000000000000000000* +L012306 111111111111111111111111111111111111111111101111101111111111111111* +L012372 000000000000000000000000000000000000000000000000000000000000000000* +L012438 + 111111111111111111111111111111111111111111111111111111111111111111* +L012504 111111111111111111111111111111111111111111111111111111111111111111* +L012570 111111111111111111111111111111111111111111111111111111111111111111* +L012636 111111111111111111111111111111111111111111111111111111111111111111* +L012702 111111111111111111111111111111111111111111111111111111111111111111* +L012768 111111111111111111111111111111111111111111111111111111111111111111* +L012834 111111111111111111111111111111111111111111111111111111111111111111* +L012900 111111111111111111111111111111111111111111111111111111111111111111* +L012966 111111111111111111111111111111111111111111111111111111111111111111* +L013032 111111111111111111111111111111111111111111111111111111111111111111* +L013098 111111111111111111111111111111111111111111111111111111111111111111* +L013164 + 000000000000000000000000000000000000000000000000000000000000000000 + 111111111111111111111111111111111111111111111111101111111111111111* +L013296 0010* +L013300 00100011110000* +L013314 11111111111111* +L013328 11001011110100* +L013342 11110011111110* +L013356 10100110010011* +L013370 00001110001111* +L013384 00011110000110* +L013398 11100111111111* +L013412 10100110011001* +L013426 11101110000011* +L013440 11010011110000* +L013454 11111011110010* +L013468 10100110011000* +L013482 11101110000011* +L013496 11010111111111* +L013510 11111111111111* +NOTE BLOCK 2 * +L013524 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111101111111111111111111111111111111111111111111111111111* +L014118 + 000000000000000000000000000000000000000000000000000000000000000000* +L014184 000000000000000000000000000000000000000000000000000000000000000000* +L014250 111111111111111111111111111111111111111111111111111111111111111111* +L014316 111111111111111111111111111111111111111111111111111111111111111111* +L014382 111111111111111111111111111111111111111111111111111111111111111111* +L014448 111111111111111111111111111111111111111111111111111111111111111111* +L014514 111111111111111111111111111111111111111111111111111111111111111111* +L014580 111111111111111111111111111111111111111111111111111111111111111111* +L014646 111111111111111111111111111111111111111111111111111111111111111111* +L014712 111111111111111111111111111111111111111111111111111111111111111111* +L014778 111111111111111111111111111111111111111111111111111111111111111111* +L014844 + 000000000000000000000000000000000000000000000000000000000000000000* +L014910 111111111111111111111111111111111111111111111111111111111111111111* +L014976 111111111111111111111111111111111111111111111111111111111111111111* +L015042 111111111111111111111111111111111111111111111111111111111111111111* +L015108 111111111111111111111111111111111111111111111111111111111111111111* +L015174 111111111111111111111111111111111111111111111111111111111111111111* +L015240 111111111111111111111111111111111111111111111111111111111111111111* +L015306 111111111111111111111111111111111111111111111111111111111111111111* +L015372 111111111111111111111111111111111111111111111111111111111111111111* +L015438 111111111111111111111111111111111111111111111111111111111111111111* +L015504 111111111111111111111111111111111111111111111111111111111111111111* +L015570 + 000000000000000000000000000000000000000000000000000000000000000000* +L015636 111111111111111111111111111111111111111111111111111111111111111111* +L015702 111111111111111111111111111111111111111111111111111111111111111111* +L015768 111111111111111111111111111111111111111111111111111111111111111111* +L015834 111111111111111111111111111111111111111111111111111111111111111111* +L015900 111111111111111111111111111111111111111111111111111111111111111111* +L015966 111111111111111111111111111111111111111111111111111111111111111111* +L016032 111111111111111111111111111111111111111111111111111111111111111111* +L016098 111111111111111111111111111111111111111111111111111111111111111111* +L016164 111111111111111111111111111111111111111111111111111111111111111111* +L016230 111111111111111111111111111111111111111111111111111111111111111111* +L016296 + 000000000000000000000000000000000000000000000000000000000000000000* +L016362 111111111111111111111111111111111111111111111111111111111111111111* +L016428 111111111111111111111111111111111111111111111111111111111111111111* +L016494 111111111111111111111111111111111111111111111111111111111111111111* +L016560 111111111111111111111111111111111111111111111111111111111111111111* +L016626 111111111111111111111111111111111111111111111111111111111111111111* +L016692 111111111111111111111111111111111111111111111111111111111111111111* +L016758 111111111111111111111111111111111111111111111111111111111111111111* +L016824 111111111111111111111111111111111111111111111111111111111111111111* +L016890 111111111111111111111111111111111111111111111111111111111111111111* +L016956 111111111111111111111111111111111111111111111111111111111111111111* +L017022 + 000000000000000000000000000000000000000000000000000000000000000000* +L017088 111111111111111111111111111111111111111111111111111111111111111111* +L017154 111111111111111111111111111111111111111111111111111111111111111111* +L017220 111111111111111111111111111111111111111111111111111111111111111111* +L017286 111111111111111111111111111111111111111111111111111111111111111111* +L017352 111111111111111111111111111111111111111111111111111111111111111111* +L017418 111111111111111111111111111111111111111111111111111111111111111111* +L017484 111111111111111111111111111111111111111111111111111111111111111111* +L017550 111111111111111111111111111111111111111111111111111111111111111111* +L017616 111111111111111111111111111111111111111111111111111111111111111111* +L017682 111111111111111111111111111111111111111111111111111111111111111111* +L017748 + 111111111111111111111111111111111111111111111111111111111111111111* +L017814 111111111111111111111111111111111111111111111111111111111111111111* +L017880 111111111111111111111111111111111111111111111111111111111111111111* +L017946 111111111111111111111111111111111111111111111111111111111111111111* +L018012 111111111111111111111111111111111111111111111111111111111111111111* +L018078 111111111111111111111111111111111111111111111111111111111111111111* +L018144 111111111111111111111111111111111111111111111111111111111111111111* +L018210 111111111111111111111111111111111111111111111111111111111111111111* +L018276 111111111111111111111111111111111111111111111111111111111111111111* +L018342 111111111111111111111111111111111111111111111111111111111111111111* +L018408 111111111111111111111111111111111111111111111111111111111111111111* +L018474 + 000000000000000000000000000000000000000000000000000000000000000000* +L018540 111111111111111111111111111111111111111111111111111111111111111111* +L018606 111111111111111111111111111111111111111111111111111111111111111111* +L018672 111111111111111111111111111111111111111111111111111111111111111111* +L018738 111111111111111111111111111111111111111111111111111111111111111111* +L018804 111111111111111111111111111111111111111111111111111111111111111111* +L018870 111111111111111111111111111111111111111111111111111111111111111111* +L018936 111111111111111111111111111111111111111111111111111111111111111111* +L019002 111111111111111111111111111111111111111111111111111111111111111111* +L019068 111111111111111111111111111111111111111111111111111111111111111111* +L019134 111111111111111111111111111111111111111111111111111111111111111111* +L019200 + 111111111111101111111111111111111111111111111111111111111111111111* +L019266 111111111111111111111111111111111111111111111111111111111111111111* +L019332 111111111111111111111111111111111111111111111111111111111111111111* +L019398 111111111111111111111111111111111111111111111111111111111111111111* +L019464 111111111111111111111111111111111111111111111111111111111111111111* +L019530 111111111111111111111111111111111111111111111111111111111111111111* +L019596 111111111111111111111111111111111111111111111111111111111111111111* +L019662 111111111111111111111111111111111111111111111111111111111111111111* +L019728 111111111111111111111111111111111111111111111111111111111111111111* +L019794 111111111111111111111111111111111111111111111111111111111111111111* +L019860 111111111111111111111111111111111111111111111111111111111111111111* +L019926 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L020058 0000* +L020062 00010011111000* +L020076 11010111110011* +L020090 11110011110001* +L020104 11110111110011* +L020118 11110011110000* +L020132 11110111110011* +L020146 11110011110001* +L020160 11110111110011* +L020174 11110011110000* +L020188 11111011110011* +L020202 11110111110101* +L020216 11111111111111* +L020230 00110011111000* +L020244 11001011110011* +L020258 11110111110101* +L020272 11111111111111* +NOTE BLOCK 3 * +L020286 + 111111111111111011111111111111111111111111111111111111111011111111 + 111111111111111110111111111110111111111111010111111111111110111111 + 101111111111111111111111111111111111111111111110111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111011111111111111111111111111111 + 110111111101101111111111111111111111111111111111111111111111011111 + 111111111111111111111111111111110110111111111111111111111111111111 + 111111110111111111100101111111111111111110111111111111111111111111 + 111101011111111111111111101111011111111111111111101111111111111111* +L020880 + 111111111111111111111111111111111111111111111111111111111111111111* +L020946 111111111111111111111110111111111110111111111111111111111111111111* +L021012 000000000000000000000000000000000000000000000000000000000000000000* +L021078 000000000000000000000000000000000000000000000000000000000000000000* +L021144 000000000000000000000000000000000000000000000000000000000000000000* +L021210 000000000000000000000000000000000000000000000000000000000000000000* +L021276 111111011111111111011111111111111111111111101011111111111011111111* +L021342 111110111111111111111111111111111111111111111011111111110111111111* +L021408 111111111111111111011111111111111111111111101001111111111011111111* +L021474 000000000000000000000000000000000000000000000000000000000000000000* +L021540 000000000000000000000000000000000000000000000000000000000000000000* +L021606 + 111111111111111111111111111111111111111111111111111111111111111111* +L021672 111111011111111111111111011111111111111111111111111111111111111111* +L021738 111111011111111111111111111111101111111111111111111111111111111111* +L021804 111111111111111111111111111111101111111111111101111111111111111111* +L021870 000000000000000000000000000000000000000000000000000000000000000000* +L021936 000000000000000000000000000000000000000000000000000000000000000000* +L022002 111111111111111111111111111111111111111111111111111111111111111111* +L022068 111111111111111111111111111111111111111111111111111111111111111111* +L022134 111111111111111111111111111111111111111111111111111111111111111111* +L022200 111111111111111111111111111111111111111111111111111111111111111111* +L022266 111111111111111111111111111111111111111111111111111111111111111111* +L022332 + 111111111111111111111111111111111111111101111111111111111111111111* +L022398 111111111111110111110111111111111111111111111111111111111111111111* +L022464 111111111111111111110111111111011111111111111111111111111111111111* +L022530 111111111111111111111101111111011111111111111111111111111111111111* +L022596 000000000000000000000000000000000000000000000000000000000000000000* +L022662 000000000000000000000000000000000000000000000000000000000000000000* +L022728 111111111111111111101110111111111111111111111111111111111111111111* +L022794 111111011111111111111111101111011111111111111111111111111111111111* +L022860 000000000000000000000000000000000000000000000000000000000000000000* +L022926 000000000000000000000000000000000000000000000000000000000000000000* +L022992 000000000000000000000000000000000000000000000000000000000000000000* +L023058 + 111111111111111111111111111111111111111101111111111111111111111111* +L023124 111111011111111111111111101111011111111111111111111111111111111111* +L023190 111111110111111111111111111111011111111111111111111111111111111111* +L023256 000000000000000000000000000000000000000000000000000000000000000000* +L023322 000000000000000000000000000000000000000000000000000000000000000000* +L023388 000000000000000000000000000000000000000000000000000000000000000000* +L023454 101111011111111101111111101111011111101111111111111111111111111111* +L023520 111111111111111111101111111111111011011111111111111111111111111111* +L023586 111111011111111110101111101111111011111111111111111111111111111111* +L023652 111011111111111110101111111111111011111111111111111111111111111111* +L023718 101111110111111101111111111111111111101111111111111111111111111111* +L023784 + 111111111111111111111111111111111111111101111111111111111111111111* +L023850 111111110111111110101111111111111011111111111111111111111111111111* +L023916 111111111011111101101111011111111011111111111111111111111111111111* +L023982 111111111011111111101111111111101011111111111111111111111111111111* +L024048 111111101011111101101111111111111011111111111111111111111111111111* +L024114 100111111011111110111111011111011111101111111111111111111111111111* +L024180 000000000000000000000000000000000000000000000000000000000000000000* +L024246 100111101011111110111111111111011111101111111111111111111111111111* +L024312 000000000000000000000000000000000000000000000000000000000000000000* +L024378 000000000000000000000000000000000000000000000000000000000000000000* +L024444 000000000000000000000000000000000000000000000000000000000000000000* +L024510 + 111111111111111111111111111111111111111110111111111111111111111111* +L024576 111111011111111101111111101111011111101111111111111111111111111111* +L024642 111111110111111101111111111111111111101111111111111111111111111111* +L024708 110111111011111110111111011111011111101111111111111111111111111111* +L024774 110111101011111110111111111111011111101111111111111111111111111111* +L024840 000000000000000000000000000000000000000000000000000000000000000000* +L024906 111111111111111111111111111111111111111111111111111111111111111111* +L024972 111111111111111111111111111111111111111111111111111111111111111111* +L025038 111111111111111111111111111111111111111111111111111111111111111111* +L025104 111111111111111111111111111111111111111111111111111111111111111111* +L025170 111111111111111111111111111111111111111111111111111111111111111111* +L025236 + 111111111111111111111111111111111111111111111111111111111111111111* +L025302 101111111111101111111111111101111111111111111111111111111111011111* +L025368 111111111111111111011111111111111111111111111111111111111111101111* +L025434 111111111101111111111111111111111111111111111111111111111111101111* +L025500 000000000000000000000000000000000000000000000000000000000000000000* +L025566 000000000000000000000000000000000000000000000000000000000000000000* +L025632 110111111111111111111111111111101111111111111111111111111111111111* +L025698 111111110111111111111111111111101111111111111111111111111111111111* +L025764 000000000000000000000000000000000000000000000000000000000000000000* +L025830 000000000000000000000000000000000000000000000000000000000000000000* +L025896 000000000000000000000000000000000000000000000000000000000000000000* +L025962 + 000000000000000000000000000000000000000000000000000000000000000000* +L026028 111111111111111111111111111111011111111111111111111111111111111111* +L026094 111111111111111111111111111111111111111111111111111111111101111111* +L026160 000000000000000000000000000000000000000000000000000000000000000000* +L026226 111111111111111111111111111111111111111111111111111111111111111111* +L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026358 111111111111111111111111111111111111111111111111111111111111111111* +L026424 111111111111111111111111111111111111111111111111111111111111111111* +L026490 111111111111111111111111111111111111111111111111111111111111111111* +L026556 111111111111111111111111111111111111111111111111111111111111111111* +L026622 111111111111111111111111111111111111111111111111111111111111111111* +L026688 + 000000000000000000000000000000000000000000000000000000000000000000 + 111111111111111111111111111111111111111111111111101111111111111111* +L026820 0010* +L026824 01100110010010* +L026838 11100110011110* +L026852 10100100011110* +L026866 11100011111111* +L026880 10100110011001* +L026894 11100110011111* +L026908 10100100010100* +L026922 11011111111111* +L026936 11100110010011* +L026950 00111011111111* +L026964 10101111110110* +L026978 11100011110010* +L026992 10100110011010* +L027006 10100100011111* +L027020 00011110000000* +L027034 11101011110010* +NOTE BLOCK 4 * +L027048 + 111111111111111111111111111111111111111111111111111111111111111111 + 110111111111111111011111111111111111111111111111111011111111111111 + 111111110101111111111111011111111111111111111111111111111111111111 + 111111011111111111111111111111111101111111111111111111111111111111 + 111111111111110111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111011111111111111111111111111101111111 + 111111111111101110111110111111111111111111111111111111111111111111* +L027642 + 111111111111101111111111111111111111111111111111111111111111111111* +L027708 111111111111111111111111111111111111111111111111111011111111111111* +L027774 111111111111111111111111111111111111111111111111111111111111111111* +L027840 111111111111111111111111111111111111111111111111111111111111111111* +L027906 111111111111111111111111111111111111111111111111111111111111111111* +L027972 111111111111111111111111111111111111111111111111111111111111111111* +L028038 111111111111111111111111111111111111111111111111111111111111111111* +L028104 111111111111111111111111111111111111111111111111111111111111111111* +L028170 111111111111111111111111111111111111111111111111111111111111111111* +L028236 111111111111111111111111111111111111111111111111111111111111111111* +L028302 111111111111111111111111111111111111111111111111111111111111111111* +L028368 + 000000000000000000000000000000000000000000000000000000000000000000* +L028434 111111111111111111111111111111111111111111111111111111111111111111* +L028500 111111111111111111111111111111111111111111111111111111111111111111* +L028566 111111111111111111111111111111111111111111111111111111111111111111* +L028632 111111111111111111111111111111111111111111111111111111111111111111* +L028698 111111111111111111111111111111111111111111111111111111111111111111* +L028764 111111111111111111111111111111111111111111111111111111111111111111* +L028830 111111111111111111111111111111111111111111111111111111111111111111* +L028896 111111111111111111111111111111111111111111111111111111111111111111* +L028962 111111111111111111111111111111111111111111111111111111111111111111* +L029028 111111111111111111111111111111111111111111111111111111111111111111* +L029094 + 000000000000000000000000000000000000000000000000000000000000000000* +L029160 000000000000000000000000000000000000000000000000000000000000000000* +L029226 111111111111111111111111111111111111111111111111111111111111111111* +L029292 111111111111111111111111111111111111111111111111111111111111111111* +L029358 111111111111111111111111111111111111111111111111111111111111111111* +L029424 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111111111111111111111111111111111111111111111111111111111111111111* +L029556 111111111111111111111111111111111111111111111111111111111111111111* +L029622 111111111111111111111111111111111111111111111111111111111111111111* +L029688 111111111111111111111111111111111111111111111111111111111111111111* +L029754 111111111111111111111111111111111111111111111111111111111111111111* +L029820 + 000000000000000000000000000000000000000000000000000000000000000000* +L029886 111111111111111111111111111111111111111111111111111111111111111111* +L029952 111111111111111111111111111111111111111111111111111111111111111111* +L030018 111111111111111111111111111111111111111111111111111111111111111111* +L030084 111111111111111111111111111111111111111111111111111111111111111111* +L030150 111111111111111111111111111111111111111111111111111111111111111111* +L030216 111111111111111111111111111111111111111111111111111111111111111111* +L030282 111111111111111111111111111111111111111111111111111111111111111111* +L030348 111111111111111111111111111111111111111111111111111111111111111111* +L030414 111111111111111111111111111111111111111111111111111111111111111111* +L030480 111111111111111111111111111111111111111111111111111111111111111111* +L030546 + 000000000000000000000000000000000000000000000000000000000000000000* +L030612 111111111111111111111111111111111111111111111111111111111111111111* +L030678 111111111111111111111111111111111111111111111111111111111111111111* +L030744 111111111111111111111111111111111111111111111111111111111111111111* +L030810 111111111111111111111111111111111111111111111111111111111111111111* +L030876 111111111111111111111111111111111111111111111111111111111111111111* +L030942 111111111111111111111111111111111111111111111111111111111111111111* +L031008 111111111111111111111111111111111111111111111111111111111111111111* +L031074 111111111111111111111111111111111111111111111111111111111111111111* +L031140 111111111111111111111111111111111111111111111111111111111111111111* +L031206 111111111111111111111111111111111111111111111111111111111111111111* +L031272 + 000000000000000000000000000000000000000000000000000000000000000000* +L031338 111111111111111111111111111111111111111111111111111111111111111111* +L031404 111111111111111111111111111111111111111111111111111111111111111111* +L031470 111111111111111111111111111111111111111111111111111111111111111111* +L031536 111111111111111111111111111111111111111111111111111111111111111111* +L031602 111111111111111111111111111111111111111111111111111111111111111111* +L031668 111111111111111111111111111111111111111111111111111111111111111111* +L031734 111111111111111111111111111111111111111111111111111111111111111111* +L031800 111111111111111111111111111111111111111111111111111111111111111111* +L031866 111111111111111111111111111111111111111111111111111111111111111111* +L031932 111111111111111111111111111111111111111111111111111111111111111111* +L031998 + 111011101010111011101111101111111110111111111111111111111111111111* +L032064 111111111111111101111101111111011111111111111111111111111101111111* +L032130 111111111111111111111111111111111111111111111111111111111111111111* +L032196 111111111111111111111111111111111111111111111111111111111111111111* +L032262 111111111111111111111111111111111111111111111111111111111111111111* +L032328 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111111111111111111111111111111111111111111111111111111111111111111* +L032460 111111111111111111111111111111111111111111111111111111111111111111* +L032526 111111111111111111111111111111111111111111111111111111111111111111* +L032592 111111111111111111111111111111111111111111111111111111111111111111* +L032658 111111111111111111111111111111111111111111111111111111111111111111* +L032724 + 111111111111111111111111111111111111111111111111111111111111111111* +L032790 111111111111111111111111111111111111111111111111111111111111111111* +L032856 111111111111111111111111111111111111111111111111111111111111111111* +L032922 111111111111111111111111111111111111111111111111111111111111111111* +L032988 111111111111111111111111111111111111111111111111111111111111111111* +L033054 111111111111111111111111111111111111111111111111111111111111111111* +L033120 111111111111111111111111111111111111111111111111111111111111111111* +L033186 111111111111111111111111111111111111111111111111111111111111111111* +L033252 111111111111111111111111111111111111111111111111111111111111111111* +L033318 111111111111111111111111111111111111111111111111111111111111111111* +L033384 111111111111111111111111111111111111111111111111111111111111111111* +L033450 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L033582 0000* +L033586 00010011110010* +L033600 11010111111111* +L033614 11110011110001* +L033628 11110111111111* +L033642 00110011111000* +L033656 11000111111111* +L033670 11110011110001* +L033684 11110111111111* +L033698 11110011110000* +L033712 11111011111111* +L033726 11110111110001* +L033740 11111111111111* +L033754 00110011110000* +L033768 11001011111111* +L033782 11110111110101* +L033796 11111111111111* +NOTE BLOCK 5 * +L033810 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111* +L034404 + 000000000000000000000000000000000000000000000000000000000000000000* +L034470 111111111111111111111111111111111111111111111111111111111111111111* +L034536 111111111111111111111111111111111111111111111111111111111111111111* +L034602 111111111111111111111111111111111111111111111111111111111111111111* +L034668 111111111111111111111111111111111111111111111111111111111111111111* +L034734 111111111111111111111111111111111111111111111111111111111111111111* +L034800 111111111111111111111111111111111111111111111111111111111111111111* +L034866 111111111111111111111111111111111111111111111111111111111111111111* +L034932 111111111111111111111111111111111111111111111111111111111111111111* +L034998 111111111111111111111111111111111111111111111111111111111111111111* +L035064 111111111111111111111111111111111111111111111111111111111111111111* +L035130 + 000000000000000000000000000000000000000000000000000000000000000000* +L035196 111111111111111111111111111111111111111111111111111111111111111111* +L035262 111111111111111111111111111111111111111111111111111111111111111111* +L035328 111111111111111111111111111111111111111111111111111111111111111111* +L035394 111111111111111111111111111111111111111111111111111111111111111111* +L035460 111111111111111111111111111111111111111111111111111111111111111111* +L035526 111111111111111111111111111111111111111111111111111111111111111111* +L035592 111111111111111111111111111111111111111111111111111111111111111111* +L035658 111111111111111111111111111111111111111111111111111111111111111111* +L035724 111111111111111111111111111111111111111111111111111111111111111111* +L035790 111111111111111111111111111111111111111111111111111111111111111111* +L035856 + 000000000000000000000000000000000000000000000000000000000000000000* +L035922 111111111111111111111111111111111111111111111111111111111111111111* +L035988 111111111111111111111111111111111111111111111111111111111111111111* +L036054 111111111111111111111111111111111111111111111111111111111111111111* +L036120 111111111111111111111111111111111111111111111111111111111111111111* +L036186 111111111111111111111111111111111111111111111111111111111111111111* +L036252 111111111111111111111111111111111111111111111111111111111111111111* +L036318 111111111111111111111111111111111111111111111111111111111111111111* +L036384 111111111111111111111111111111111111111111111111111111111111111111* +L036450 111111111111111111111111111111111111111111111111111111111111111111* +L036516 111111111111111111111111111111111111111111111111111111111111111111* +L036582 + 000000000000000000000000000000000000000000000000000000000000000000* +L036648 111111111111111111111111111111111111111111111111111111111111111111* +L036714 111111111111111111111111111111111111111111111111111111111111111111* +L036780 111111111111111111111111111111111111111111111111111111111111111111* +L036846 111111111111111111111111111111111111111111111111111111111111111111* +L036912 111111111111111111111111111111111111111111111111111111111111111111* +L036978 111111111111111111111111111111111111111111111111111111111111111111* +L037044 111111111111111111111111111111111111111111111111111111111111111111* +L037110 111111111111111111111111111111111111111111111111111111111111111111* +L037176 111111111111111111111111111111111111111111111111111111111111111111* +L037242 111111111111111111111111111111111111111111111111111111111111111111* +L037308 + 000000000000000000000000000000000000000000000000000000000000000000* +L037374 111111111111111111111111111111111111111111111111111111111111111111* +L037440 111111111111111111111111111111111111111111111111111111111111111111* +L037506 111111111111111111111111111111111111111111111111111111111111111111* +L037572 111111111111111111111111111111111111111111111111111111111111111111* +L037638 111111111111111111111111111111111111111111111111111111111111111111* +L037704 111111111111111111111111111111111111111111111111111111111111111111* +L037770 111111111111111111111111111111111111111111111111111111111111111111* +L037836 111111111111111111111111111111111111111111111111111111111111111111* +L037902 111111111111111111111111111111111111111111111111111111111111111111* +L037968 111111111111111111111111111111111111111111111111111111111111111111* +L038034 + 000000000000000000000000000000000000000000000000000000000000000000* +L038100 111111111111111111111111111111111111111111111111111111111111111111* +L038166 111111111111111111111111111111111111111111111111111111111111111111* +L038232 111111111111111111111111111111111111111111111111111111111111111111* +L038298 111111111111111111111111111111111111111111111111111111111111111111* +L038364 111111111111111111111111111111111111111111111111111111111111111111* +L038430 111111111111111111111111111111111111111111111111111111111111111111* +L038496 111111111111111111111111111111111111111111111111111111111111111111* +L038562 111111111111111111111111111111111111111111111111111111111111111111* +L038628 111111111111111111111111111111111111111111111111111111111111111111* +L038694 111111111111111111111111111111111111111111111111111111111111111111* +L038760 + 000000000000000000000000000000000000000000000000000000000000000000* +L038826 111111111111111111111111111111111111111111111111111111111111111111* +L038892 111111111111111111111111111111111111111111111111111111111111111111* +L038958 111111111111111111111111111111111111111111111111111111111111111111* +L039024 111111111111111111111111111111111111111111111111111111111111111111* +L039090 111111111111111111111111111111111111111111111111111111111111111111* +L039156 111111111111111111111111111111111111111111111111111111111111111111* +L039222 111111111111111111111111111111111111111111111111111111111111111111* +L039288 111111111111111111111111111111111111111111111111111111111111111111* +L039354 111111111111111111111111111111111111111111111111111111111111111111* +L039420 111111111111111111111111111111111111111111111111111111111111111111* +L039486 + 000000000000000000000000000000000000000000000000000000000000000000* +L039552 111111111111111111111111111111111111111111111111111111111111111111* +L039618 111111111111111111111111111111111111111111111111111111111111111111* +L039684 111111111111111111111111111111111111111111111111111111111111111111* +L039750 111111111111111111111111111111111111111111111111111111111111111111* +L039816 111111111111111111111111111111111111111111111111111111111111111111* +L039882 111111111111111111111111111111111111111111111111111111111111111111* +L039948 111111111111111111111111111111111111111111111111111111111111111111* +L040014 111111111111111111111111111111111111111111111111111111111111111111* +L040080 111111111111111111111111111111111111111111111111111111111111111111* +L040146 111111111111111111111111111111111111111111111111111111111111111111* +L040212 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L040344 0000* +L040348 11010011111110* +L040362 11110111111111* +L040376 11110011111111* +L040390 11110111110011* +L040404 11110011111110* +L040418 11110111110011* +L040432 11110011111111* +L040446 11110111110011* +L040460 11110011111110* +L040474 11111011110011* +L040488 11110111111111* +L040502 11111111111111* +L040516 11110011111110* +L040530 11111011111111* +L040544 11110111111111* +L040558 11111111111111* +NOTE BLOCK 6 * +L040572 + 111111011111111111111111101110111111101111111111111110111111111111 + 111111111011111111111011111011111111111111111011111111111111111111 + 111101111111111110111111111111111111111111111110111111111011111111 + 111111111110111111111110111111111111111111111111111111111111111010 + 111111111111111111111111111111111101111111111111101111111111111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111011111111111111111111111111111111111111111111111 + 111111111111110111111111111111111111111011111111111111101111111111 + 101111111111111111111111111111011111111111111111111111111111111111* +L041166 + 111111111111111111111111111111111111111111111111111111111111111111* +L041232 111111111111111111111111111111111111111111110111111111111111111111* +L041298 111111111111111111110111111111101111111111111111111111111111111111* +L041364 111111101111111111111011111111111111111111111111111110111111111111* +L041430 111111101101111101111001110110111111111111111111111111111111111111* +L041496 111111111111111111111011111111111111111111111111101111111111111111* +L041562 000000000000000000000000000000000000000000000000000000000000000000* +L041628 111111110111111111111111111111111111111111111111111111111111111111* +L041694 101111111111111111111111111111111111111111111111111111111111111111* +L041760 000000000000000000000000000000000000000000000000000000000000000000* +L041826 000000000000000000000000000000000000000000000000000000000000000000* +L041892 + 111111111111111111111111111111111111111111111111111111111111111111* +L041958 011111111111111111111011101111111111111111111111101111111011111111* +L042024 101111111111111111111111111111111111111111111111111111111111111011* +L042090 000000000000000000000000000000000000000000000000000000000000000000* +L042156 000000000000000000000000000000000000000000000000000000000000000000* +L042222 000000000000000000000000000000000000000000000000000000000000000000* +L042288 111111111110111111111101111011011110111111111111111111111111111111* +L042354 111111111111111101111110110111011110111111111111111111111111111111* +L042420 111111111110111110111110111111011110111111111111111111111111111111* +L042486 111111111101111110111101110111011110111111111111111111111111111111* +L042552 000000000000000000000000000000000000000000000000000000000000000000* +L042618 + 000000000000000000000000000000000000000000000000000000000000000000* +L042684 111111111101111101111101110111011110111111111111111111111111111111* +L042750 111111111101111110111110110111011110111111111111111111111111111111* +L042816 111111111110111110111110111011011110111111111111111111111111111111* +L042882 000000000000000000000000000000000000000000000000000000000000000000* +L042948 000000000000000000000000000000000000000000000000000000000000000000* +L043014 111111011111111111111111111111011111111111111111111111101111111101* +L043080 111111101111111111111111111111101111111111111111111111101111111101* +L043146 111111011111111111111111111111011111111111111111111111011111111110* +L043212 111111101111111111111111111111101111111111111111111111011111111110* +L043278 000000000000000000000000000000000000000000000000000000000000000000* +L043344 + 000000000000000000000000000000000000000000000000000000000000000000* +L043410 111111111111111111111111111111011111111111111101111111111111111111* +L043476 111111110111111111111111111111111111111111111111111111111111111111* +L043542 101111111111111111111111111111111111111111111111111111111111111111* +L043608 111111111111110111111111111111011111111111111111111111110111111111* +L043674 000000000000000000000000000000000000000000000000000000000000000000* +L043740 111111111111111101111111111111111111111111111111111111111111111111* +L043806 111111111111111110111101110111011110111111111111111111111111111111* +L043872 111111111110111110111111111011011110111111111111111111111111111111* +L043938 111111111110111101111110110111011110111111111111111111111111111111* +L044004 000000000000000000000000000000000000000000000000000000000000000000* +L044070 + 000000000000000000000000000000000000000000000000000000000000000000* +L044136 111111111111111111111111011111101111111111111111111110111111111111* +L044202 111111110111111111111111111111111111111111111111111111111111111111* +L044268 101111111111111111111111111111111111111111111111111111111111111111* +L044334 111111111111111111111111011110101111111111111111111111111111111111* +L044400 111111111111111111110111111111101111111111111111111111111111111111* +L044466 111111111111111111111111111111111111011111111011111111111111111111* +L044532 111111110111111111111111111111111111111111111111111111111111111111* +L044598 101111111111111111111111111111111111111111111111111111111111111111* +L044664 111111111111111111111111111111111111101111110111111111111111111111* +L044730 000000000000000000000000000000000000000000000000000000000000000000* +L044796 + 000000000000000000000000000000000000000000000000000000000000000000* +L044862 111111101111111111111111111111111111111111111111011110111111111111* +L044928 111111101101111101111101110110111111111111111111011111111111111111* +L044994 111111111111111011111111111111111111111111111111111111110111111111* +L045060 111111111111111111111111111111101111111111111111111111110111111111* +L045126 000000000000000000000000000000000000000000000000000000000000000000* +L045192 111111111111111111111111110111101111111111111111111111111111111111* +L045258 111111111111111111111111110111111101111111111111111111111111111111* +L045324 111111111111111111111111111011011110111111111111111111111111111111* +L045390 000000000000000000000000000000000000000000000000000000000000000000* +L045456 000000000000000000000000000000000000000000000000000000000000000000* +L045522 + 000000000000000000000000000000000000000000000000000000000000000000* +L045588 111111111111111111111111111110111111111011111111111111111111111111* +L045654 111111110111111111111111111111111111111111111111111111111111111111* +L045720 101111111111111111111111111111111111111111111111111111111111111111* +L045786 111110111110111101111110010111011111111111111111111111111111111111* +L045852 000000000000000000000000000000000000000000000000000000000000000000* +L045918 110111111111111111111111111111011111111111111111111111111111111111* +L045984 111111110111111111111111111111111111111111111111111111111111111111* +L046050 101111111111111111111111111111111111111111111111111111111111111111* +L046116 111111111111111111111111011101111111111111111111111101111111111111* +L046182 111111111111111111111111011111011111111111111111111111111111111111* +L046248 + 000000000000000000000000000000000000000000000000000000000000000000* +L046314 111111111111111111111111111111111111111011111111111110111111111111* +L046380 111111110111111111111111111111111111111111111111111111111111111111* +L046446 101111111111111111111111111111111111111111111111111111111111111111* +L046512 111101111111111111101111011111011111111111111111111111111111111111* +L046578 000000000000000000000000000000000000000000000000000000000000000000* +L046644 111111111111111111111111111111111111101111111111111111111111111111* +L046710 000000000000000000000000000000000000000000000000000000000000000000* +L046776 000000000000000000000000000000000000000000000000000000000000000000* +L046842 000000000000000000000000000000000000000000000000000000000000000000* +L046908 000000000000000000000000000000000000000000000000000000000000000000* +L046974 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L047106 0010* +L047110 00010110010000* +L047124 11101110001110* +L047138 11100110010100* +L047152 10100111011111* +L047166 10100111011001* +L047180 10100110010011* +L047194 10101100000000* +L047208 00100110010010* +L047222 10011110000000* +L047236 10011110000011* +L047250 10000110010001* +L047264 10100110010011* +L047278 11101100000000* +L047292 10101110000010* +L047306 11101100000000* +L047320 00100110011111* +NOTE BLOCK 7 * +L047334 + 111111111111111011111110111111111111111110111111011111111111111111 + 111111111101111111111111111111111111111111101011111111111111111111 + 111111111111111111111111111111111110111111111111111111111011111111 + 111011111111111110111111111111111111111111111111111111111111111110 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111011111111111011011111111111111111111111111 + 111111100111011111111111111111111111111111111111111111101111111111 + 111111111111111111101111111011111111111111111110111111111111111111 + 101111111111111111111011111110011111111111111111111111111111101111* +L047928 + 000000000000000000000000000000000000000000000000000000000000000000* +L047994 110111111011101101101111101111111101011101111111111111111111111111* +L048060 111111111111111111101111111111111111111110111111111111111111101111* +L048126 000000000000000000000000000000000000000000000000000000000000000000* +L048192 000000000000000000000000000000000000000000000000000000000000000000* +L048258 000000000000000000000000000000000000000000000000000000000000000000* +L048324 111111111111111111011111111111111111111111111111111111111111111111* +L048390 111111111101111111111111111111111111111101111111111111111111111111* +L048456 110111111011101101111111101111111101011101111111111111111111111111* +L048522 111111111111111111110111111111111111111110111111111111111111111111* +L048588 000000000000000000000000000000000000000000000000000000000000000000* +L048654 + 000000000000000000000000000000000000000000000000000000000000000000* +L048720 111111111111111111111111110111011111110111111111011111111111111101* +L048786 111111111111111111111111111111111111111111011111111111111111111111* +L048852 000000000000000000000000000000000000000000000000000000000000000000* +L048918 111111111111111111111111110111101111110111111111101111111111111101* +L048984 111111111111111111111111111101011111111111111111101111111111111111* +L049050 111111111111111111111111111101101111111111111111011111111111111111* +L049116 000000000000000000000000000000000000000000000000000000000000000000* +L049182 000000000000000000000000000000000000000000000000000000000000000000* +L049248 000000000000000000000000000000000000000000000000000000000000000000* +L049314 000000000000000000000000000000000000000000000000000000000000000000* +L049380 + 111111111111111111111111111111111111111111111111111111111111111111* +L049446 111111111111111111111111111111111111111111111111111111111111111111* +L049512 111111111111111111111111111111111111111111111111111111111111111111* +L049578 111111111111111111111111111111111111111111111111111111111111111111* +L049644 111111111111111111111111111111111111111111111111111111111111111111* +L049710 111111111111111111111111111111111111111111111111111111111111111111* +L049776 111111111111111111111111111111111111011111111101111111111111111111* +L049842 111111111111111111111111111111111111111111011111111111111111111111* +L049908 000000000000000000000000000000000000000000000000000000000000000000* +L049974 111111111111111111111111111111111111011111111111111111011111111111* +L050040 000000000000000000000000000000000000000000000000000000000000000000* +L050106 + 000000000000000000000000000000000000000000000000000000000000000000* +L050172 111111111111111111111111110111011111111111111111011111111111111111* +L050238 111111111111111111111111110111101111111111111111101111111111111111* +L050304 000000000000000000000000000000000000000000000000000000000000000000* +L050370 000000000000000000000000000000000000000000000000000000000000000000* +L050436 000000000000000000000000000000000000000000000000000000000000000000* +L050502 111111101111111111101111111111111111111111111111111111111111111111* +L050568 111111111111111111111010110111101111111111110111111111110111111111* +L050634 111111111111111011111011110111101111111111110111111111110111111111* +L050700 111111111111111111111010111111101111111111110111111111110111111101* +L050766 111111111111111011111011111111101111111111110111111111110111111101* +L050832 + 111111111110111111111111111111111111111111111111111111111111111111* +L050898 111111111111111111111111111111111111111111111111111111111111111111* +L050964 111111111111111111111111111111111111111111111111111111111111111111* +L051030 111111111111111111111111111111111111111111111111111111111111111111* +L051096 111111111111111111111111111111111111111111111111111111111111111111* +L051162 111111111111111111111111111111111111111111111111111111111111111111* +L051228 111111111111111111111111111111101111111111111111011111111111111111* +L051294 111111111111111111111111111111111111111111011111111111111111111111* +L051360 000000000000000000000000000000000000000000000000000000000000000000* +L051426 111111111111111111111010111111101111110111110111111111110111111111* +L051492 111111111111111011111011111111101111110111110111111111110111111111* +L051558 + 111111111110111111111111111111111111111111111111111111111111111111* +L051624 111111111111111111111010111101101111111111110111111111110111111111* +L051690 111111111111111011111011111101101111111111110111111111110111111111* +L051756 000000000000000000000000000000000000000000000000000000000000000000* +L051822 000000000000000000000000000000000000000000000000000000000000000000* +L051888 000000000000000000000000000000000000000000000000000000000000000000* +L051954 111111111111111111111111111111111111111111111111111111111111111111* +L052020 111111111111111111111111111111111111111111111111111111111111111111* +L052086 111111111111111111111111111111111111111111111111111111111111111111* +L052152 111111111111111111111111111111111111111111111111111111111111111111* +L052218 111111111111111111111111111111111111111111111111111111111111111111* +L052284 + 000000000000000000000000000000000000000000000000000000000000000000* +L052350 111111111111111111111111111111111111111111111111111111111111111111* +L052416 111111111111111111111111111111111111111111111111111111111111111111* +L052482 111111111111111111111111111111111111111111111111111111111111111111* +L052548 111111111111111111111111111111111111111111111111111111111111111111* +L052614 111111111111111111111111111111111111111111111111111111111111111111* +L052680 111111111111111111111111111111101111111111111111011111111111111111* +L052746 111111111111111111111111111111111111111111011111111111111111111111* +L052812 000000000000000000000000000000000000000000000000000000000000000000* +L052878 111111111111111111111111111111011111111111111111101111111111111111* +L052944 111111111111111111111111110111111111110111111111111111111111111101* +L053010 + 111111111111111111111111111111111111111111111111111111111111111111* +L053076 111111111111111111111111111111111111111111111111111111111111111111* +L053142 111111111111111111111111111111111111111111111111111111111111111111* +L053208 111111111111111111111111111111111111111111111111111111111111111111* +L053274 111111111111111111111111111111111111111111111111111111111111111111* +L053340 111111111111111111111111111111111111111111111111111111111111111111* +L053406 111111111111111111111111111011111111111011111111111111111111111111* +L053472 111111111111111111111111111111111111111011111111111111111111111110* +L053538 000000000000000000000000000000000000000000000000000000000000000000* +L053604 000000000000000000000000000000000000000000000000000000000000000000* +L053670 000000000000000000000000000000000000000000000000000000000000000000* +L053736 + 000000000000000000000000000000000000000000000000000000000000000000 + 101111111111111111111111111111111111111111111111111111111111111111* +L053868 0010* +L053872 11100110011000* +L053886 10100110010010* +L053900 10101111000000* +L053914 11110011110011* +L053928 10110110010001* +L053942 11111110001111* +L053956 11111011110000* +L053970 11010011110011* +L053984 11110110010001* +L053998 00111110000011* +L054012 11001111110100* +L054026 11110011111110* +L054040 00111011111001* +L054054 11101110000011* +L054068 11010111110100* +L054082 11001111111111* +E1 +0 +00000000 +0 +00000000 +0 +00000000 +0 +00000000 +0 +00000000 +0 +00000000 +0 +00000000 +0 +00000000 +1 +* +C1D70* +U00000000000000000000000000000000* +DC63 diff --git a/Logic/68030_tk.jid b/Logic/68030_tk.jid new file mode 100644 index 0000000..4d6ed37 --- /dev/null +++ b/Logic/68030_tk.jid @@ -0,0 +1 @@ +. BUS68030 68030-68000-bus.vhd c:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd diff --git a/Logic/68030_tk.l0 b/Logic/68030_tk.l0 new file mode 100644 index 0000000..0bb3cee --- /dev/null +++ b/Logic/68030_tk.l0 @@ -0,0 +1 @@ + -ck Min -ce On -ar On -ap On -oe On -split 16 -clust 5 -xor on -speed -ifb yes -sr no -device M4A5 diff --git a/Logic/68030_tk.l2v b/Logic/68030_tk.l2v new file mode 100644 index 0000000..e69de29 diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco new file mode 100644 index 0000000..ddddb01 --- /dev/null +++ b/Logic/68030_tk.lco @@ -0,0 +1,210 @@ +[DEVICE] +Family = M4A5; +PartType = M4A5-128/64; +Package = 100TQFP; +PartNumber = M4A5-128/64-10VC; +Speed = -10; +Operating_condition = COM; +EN_Segment = No; +Pin_MC_1to1 = No; +EN_PinReserve_IO = Yes; +EN_PinReserve_BIDIR = Yes; +Voltage = 5.0; + +[REVISION] +RCS = "$Revision: 1.2 $"; +Parent = m4a5.lci; +SDS_File = m4a5.sds; +Design = 68030_tk.tt4; +DATE = 5/15/14; +TIME = 19:20:57; +Source_Format = Pure_VHDL; +Type = TT2; +Pre_Fit_Time = 1; + +[IGNORE ASSIGNMENTS] +Pin_Assignments = No; +Pin_Keep_Block = No; +Pin_Keep_Segment = No; +Group_Assignments = No; +Macrocell_Assignments = No; +Macrocell_Keep_Block = No; +Macrocell_Keep_Segment = No; +Pin_Reservation = No; +Block_Reservation = No; +Segment_Reservation = No; +Timing_Constraints = No; + +[CLEAR ASSIGNMENTS] +Pin_Assignments = No; +Pin_Keep_Block = No; +Pin_Keep_Segment = No; +Group_Assignments = No; +Macrocell_Assignments = No; +Macrocell_Keep_Block = No; +Macrocell_Keep_Segment = No; +Pin_Reservation = No; +Block_Reservation = No; +Segment_Reservation = No; +Timing_Constraints = No; + +[BACKANNOTATE ASSIGNMENTS] +Pin_Block = No; +Pin_Macrocell_Block = No; +Routing = No; + +[GLOBAL CONSTRAINTS] +Max_PTerm_Split = 16; +Max_PTerm_Collapse = 16; +Max_Pin_Percent = 100; +Max_Macrocell_Percent = 100; +Max_GLB_Input_Percent = 100; +Max_Seg_In_Percent = 100; +Logic_Reduction = Yes; +XOR_Synthesis = Yes; +DT_Synthesis = Yes; +Node_Collapse = Yes; +Run_Time = 0; +Set_Reset_Dont_Care = No; +Clock_Optimize = No; +In_Reg_Optimize = Yes; +Balanced_Partitioning = Yes; +Device_max_fanin = 33; +Device_max_pterms = 20; +Usercode = 0; +Usercode_Format = Hex; + +[LOCATION ASSIGNMENTS] +Layer = OFF; +A_30_ = pin,5,-,B,-; +A_29_ = pin,6,-,B,-; +SIZE_1_ = pin,79,-,H,-; +A_28_ = pin,15,-,C,-; +A_27_ = pin,16,-,C,-; +A_31_ = pin,4,-,B,-; +A_26_ = pin,17,-,C,-; +A_25_ = pin,18,-,C,-; +A_24_ = pin,19,-,C,-; +A_23_ = pin,84,-,H,-; +IPL_2_ = pin,68,-,G,-; +A_22_ = pin,85,-,H,-; +A_21_ = pin,94,-,A,-; +A_20_ = pin,93,-,A,-; +A_19_ = pin,97,-,A,-; +FC_1_ = pin,58,-,F,-; +A_18_ = pin,95,-,A,-; +AS_030 = pin,82,-,H,-; +A_17_ = pin,59,-,F,-; +A_16_ = pin,96,-,A,-; +DS_030 = pin,98,-,A,-; +CPU_SPACE = pin,14,-,-,-; +BERR = pin,41,-,E,-; +BG_030 = pin,21,-,C,-; +BGACK_000 = pin,28,-,D,-; +CLK_030 = pin,64,-,-,-; +CLK_000 = pin,11,-,-,-; +CLK_OSZI = pin,61,-,-,-; +CLK_EXP = pin,10,-,B,-; +A_0_ = pin,69,-,G,-; +AVEC = pin,92,-,A,-; +AVEC_EXP = pin,22,-,C,-; +IPL_1_ = pin,56,-,F,-; +VPA = pin,36,-,-,-; +IPL_0_ = pin,67,-,G,-; +DSACK_0_ = pin,80,-,H,-; +RST = pin,86,-,-,-; +FC_0_ = pin,57,-,F,-; +RW = pin,71,-,G,-; +AMIGA_BUS_ENABLE = pin,34,-,D,-; +AMIGA_BUS_DATA_DIR = pin,48,-,E,-; +AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; +CIIN = pin,47,-,E,-; +SIZE_0_ = pin,70,-,G,-; +IPL_030_2_ = pin,9,-,B,-; +DSACK_1_ = pin,81,-,H,-; +AS_000 = pin,33,-,D,-; +UDS_000 = pin,32,-,D,-; +LDS_000 = pin,31,-,D,-; +BG_000 = pin,29,-,D,-; +BGACK_030 = pin,83,-,H,-; +CLK_DIV_OUT = pin,65,-,G,-; +FPU_CS = pin,78,-,H,-; +DTACK = pin,30,-,D,-; +IPL_030_1_ = pin,7,-,B,-; +IPL_030_0_ = pin,8,-,B,-; +E = pin,66,-,G,-; +VMA = pin,35,-,D,-; +RESET = pin,3,-,B,-; +cpu_est_1_ = node,-,-,G,3; +inst_AS_030_000_SYNC = node,-,-,H,1; +inst_DTACK_SYNC = node,-,-,G,14; +inst_VPA_D = node,-,-,B,6; +inst_VPA_SYNC = node,-,-,G,12; +inst_CLK_000_D = node,-,-,A,0; +inst_CLK_000_DD = node,-,-,D,14; +inst_CLK_OUT_PRE = node,-,-,G,10; +cpu_est_0_ = node,-,-,G,11; +cpu_est_2_ = node,-,-,G,7; +CLK_CNT_0_ = node,-,-,G,15; +SM_AMIGA_6_ = node,-,-,D,2; +SM_AMIGA_7_ = node,-,-,G,6; +inst_RISING_CLK_AMIGA = node,-,-,H,9; +SM_AMIGA_4_ = node,-,-,D,13; +SM_AMIGA_3_ = node,-,-,G,13; +SM_AMIGA_5_ = node,-,-,D,6; +CLK_000_CNT_0_ = node,-,-,H,5; +CLK_000_CNT_1_ = node,-,-,G,5; +CLK_000_CNT_2_ = node,-,-,H,13; +CLK_000_CNT_3_ = node,-,-,H,2; +SM_AMIGA_2_ = node,-,-,G,9; +SM_AMIGA_1_ = node,-,-,G,1; +SM_AMIGA_0_ = node,-,-,G,8; +SM_AMIGA_D_0_ = node,-,-,B,13; +SM_AMIGA_D_1_ = node,-,-,B,9; +SM_AMIGA_D_2_ = node,-,-,G,2; +un1_UDS_000_INT_0_sqmuxa_2_0 = node,-,-,D,10; + +[GROUP ASSIGNMENTS] +Layer = OFF; + +[RESOURCE RESERVATIONS] +Layer = OFF; + +[SLEWRATE] +Default = FAST; + +[PULLUP] +Default = Up; + +[NETLIST/DELAY FORMAT] +Delay_File = SDF; +Netlist = VHDL; + +[OSM BYPASS] + +[FITTER REPORT FORMAT] +Fitter_Options = Yes; +Pinout_Diagram = No; +Pinout_Listing = Yes; +Detailed_Block_Segment_Summary = Yes; +Input_Signal_List = Yes; +Output_Signal_List = Yes; +Bidir_Signal_List = Yes; +Node_Signal_List = Yes; +Signal_Fanout_List = Yes; +Block_Segment_Fanin_List = Yes; +Postfit_Eqn = Yes; +Prefit_Eqn = Yes; +Page_Break = Yes; + +[POWER] +Powerlevel = Low,High; +Default = High; +Type = GLB; + +[SOURCE CONSTRAINT OPTION] + +[TIMING ANALYZER] +Last_source=; +Last_source_type=Fmax; + diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out new file mode 100644 index 0000000..fcb9096 --- /dev/null +++ b/Logic/68030_tk.out @@ -0,0 +1,47226 @@ + +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 301 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 304 6 0 65 -1 4 0 21 + 30 LDS_000 5 303 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 306 7 0 79 -1 3 0 21 + 31 UDS_000 5 302 3 0 31 -1 3 0 21 + 34 VMA 5 305 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 5 307 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 41 BERR 0 4 0 41 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 2 3 6 7 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 2 4 2 3 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 4 2 3 6 7 -1 -1 1 0 21 + 300 AS_000_0 3 -1 5 3 0 3 7 -1 -1 1 0 21 + 305 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 307 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 + 303 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 306 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 302 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 301 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 40 VPA 1 -1 -1 3 0 3 7 40 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 2 4 7 56 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 301 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 304 6 0 65 -1 4 0 21 + 30 LDS_000 5 303 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 306 7 0 79 -1 3 0 21 + 31 UDS_000 5 302 3 0 31 -1 3 0 21 + 34 VMA 5 305 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 5 307 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 41 BERR 0 4 0 41 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 2 3 6 7 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 2 4 2 3 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 4 2 3 6 7 -1 -1 1 0 21 + 300 AS_000_0 3 -1 5 3 0 3 7 -1 -1 1 0 21 + 305 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 307 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 + 303 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 306 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 302 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 301 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 40 VPA 1 -1 -1 3 0 3 7 40 -1 + 27 BGACK_000 1 -1 -1 3 4 5 7 27 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 303 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 30 LDS_000 5 305 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 31 UDS_000 5 304 3 0 31 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 41 BERR 0 4 0 41 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 5 5 0 3 5 6 7 -1 -1 1 0 20 + 306 RN_E 3 65 6 4 0 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 3 0 21 + 302 AS_000_0 3 -1 2 3 3 5 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 5 2 3 5 -1 -1 1 0 20 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 1 5 32 -1 1 0 21 + 301 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 297 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 4 0 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 85 RST 1 -1 -1 3 1 2 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 40 VPA 1 -1 -1 3 0 3 7 40 -1 + 27 BGACK_000 1 -1 -1 3 2 4 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 304 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 30 LDS_000 5 306 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 31 UDS_000 5 305 3 0 31 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 293 BERR 0 5 0 -1 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 294 cpu_est_0_ 3 -1 0 6 0 2 3 4 6 7 -1 -1 1 0 21 + 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 + 295 cpu_est_1_ 3 -1 4 5 2 3 4 6 7 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 2 5 2 3 4 6 7 -1 -1 3 0 21 + 303 AS_000_0 3 -1 5 4 0 3 4 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 304 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 + 302 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 298 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 297 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 40 VPA 1 -1 -1 3 0 3 7 40 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 304 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 30 LDS_000 5 306 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 31 UDS_000 5 305 3 0 31 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 293 BERR 0 5 0 -1 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 294 cpu_est_0_ 3 -1 0 6 0 2 3 4 6 7 -1 -1 1 0 21 + 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 + 295 cpu_est_1_ 3 -1 4 5 2 3 4 6 7 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 2 5 2 3 4 6 7 -1 -1 3 0 21 + 303 AS_000_0 3 -1 5 4 0 3 4 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 304 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 + 302 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 298 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 297 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 40 VPA 1 -1 -1 3 0 3 7 40 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +68 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 303 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 30 LDS_000 5 305 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 31 UDS_000 5 304 3 0 31 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 2 5 2 3 4 6 7 -1 -1 1 0 21 + 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 + 302 AS_000_0 3 -1 5 3 0 3 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 1 0 32 -1 1 0 21 + 301 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 40 VPA 1 -1 -1 3 0 3 7 40 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +68 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 303 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 30 LDS_000 5 305 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 31 UDS_000 5 304 3 0 31 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 0 5 0 3 4 6 7 -1 -1 1 0 21 + 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 + 302 as_amiga_un2_rst_n 3 -1 5 3 2 3 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 299 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 298 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 2 2 2 3 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 303 RN_AS_000 3 32 3 1 2 32 -1 1 0 21 + 301 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +68 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 303 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 30 LDS_000 5 305 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 31 UDS_000 5 304 3 0 31 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 0 5 0 3 4 6 7 -1 -1 1 0 21 + 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 + 302 as_amiga_un2_rst_n 3 -1 5 3 2 3 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 299 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 298 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 2 2 2 3 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 303 RN_AS_000 3 32 3 1 2 32 -1 1 0 21 + 301 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 297 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +68 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 303 3 1 3 32 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 21 + 30 LDS_000 5 305 3 0 30 -1 4 0 21 + 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 0 5 0 3 4 6 7 -1 -1 1 0 21 + 306 RN_E 3 65 6 4 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 4 4 3 4 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 4 4 3 4 6 7 -1 -1 3 0 21 + 301 as_amiga_un2_rst_n 3 -1 5 3 2 3 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 2 3 32 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 2 2 2 3 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 81 AS_030 1 -1 -1 2 3 5 81 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 32 AS_000 5 304 3 1 3 32 -1 1 0 20 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 306 3 0 30 -1 6 0 20 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 20 + 307 RN_E 3 65 6 5 0 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 5 0 3 4 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 4 5 0 3 4 6 7 -1 -1 3 0 21 + 303 AS_000_0 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 304 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 5 2 3 4 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 302 inst_AS_030_ne 3 -1 5 1 2 -1 -1 1 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 2 1 5 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 2 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 5 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 306 3 0 30 -1 6 0 20 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 20 + 307 RN_E 3 65 6 5 0 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 5 0 3 4 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 4 5 0 3 4 6 7 -1 -1 3 0 21 + 303 AS_000_0 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 304 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 5 2 3 4 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 302 inst_AS_030_ne 3 -1 5 1 2 -1 -1 1 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 2 1 5 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 2 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 5 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 306 3 0 30 -1 6 0 20 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 20 + 307 RN_E 3 65 6 5 0 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 5 0 3 4 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 4 5 0 3 4 6 7 -1 -1 3 0 21 + 301 as_amiga_un2_rst_n 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 304 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 5 2 3 4 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 303 inst_AS_030_ne 3 -1 5 1 2 -1 -1 1 0 21 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 2 1 5 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 2 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 5 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 3 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 306 3 0 30 -1 6 0 20 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 5 6 2 3 4 5 6 7 -1 -1 1 0 20 + 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 5 2 3 4 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 4 5 2 3 4 6 7 -1 -1 3 0 21 + 301 as_amiga_un2_rst_n 3 -1 5 4 3 4 5 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 5 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 303 inst_AS_030_ne 3 -1 5 1 0 -1 -1 1 0 21 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 0 1 5 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 0 3 5 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 0 7 96 -1 + 95 A_16_ 1 -1 -1 2 0 7 95 -1 + 94 A_18_ 1 -1 -1 2 0 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 0 7 58 -1 + 57 FC_1_ 1 -1 -1 2 0 7 57 -1 + 56 FC_0_ 1 -1 -1 2 0 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +69 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 306 3 0 30 -1 6 0 20 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 309 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 5 6 2 3 4 5 6 7 -1 -1 1 0 20 + 307 RN_E 3 65 6 5 2 3 4 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 5 2 3 4 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 4 5 2 3 4 6 7 -1 -1 3 0 21 + 301 as_amiga_un2_rst_n 3 -1 5 3 3 4 5 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 5 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 309 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 303 inst_AS_030_ne 3 -1 5 1 0 -1 -1 1 0 21 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 0 1 5 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 0 7 96 -1 + 95 A_16_ 1 -1 -1 2 0 7 95 -1 + 94 A_18_ 1 -1 -1 2 0 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 0 7 58 -1 + 57 FC_1_ 1 -1 -1 2 0 7 57 -1 + 56 FC_0_ 1 -1 -1 2 0 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +68 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 6 0 20 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 308 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 308 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 302 inst_AS_030_ne 3 -1 0 1 2 -1 -1 1 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 304 3 0 30 -1 6 0 20 + 65 E 5 305 6 0 65 -1 4 0 21 + 31 UDS_000 5 303 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 307 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 306 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 302 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 + 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 302 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 + 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 307 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 304 3 0 30 -1 6 0 20 + 65 E 5 305 6 0 65 -1 4 0 21 + 31 UDS_000 5 303 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 307 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 306 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 302 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 + 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 302 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 + 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 307 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 -1 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 304 3 0 30 -1 6 0 20 + 65 E 5 305 6 0 65 -1 4 0 21 + 31 UDS_000 5 303 3 0 31 -1 4 0 20 + 79 DSACK_0_ 5 307 7 0 79 -1 3 0 21 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 306 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 302 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 + 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 302 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 + 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 307 RN_DSACK_0_ 3 79 7 1 7 79 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 6 0 20 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 + 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 6 0 20 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 4 3 4 5 6 -1 -1 1 0 21 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 300 inst_AS_030_delay 3 -1 0 2 0 2 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 20 + 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 20 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +66 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 301 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 304 3 0 30 -1 6 0 20 + 65 E 5 305 6 0 65 -1 4 0 21 + 31 UDS_000 5 303 3 0 31 -1 4 0 20 + 64 CLK_DIV_OUT 5 307 6 0 64 -1 2 0 21 + 34 VMA 5 306 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 302 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 RN_E 3 65 6 5 3 4 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 5 3 4 5 6 7 -1 -1 4 0 20 + 298 cpu_est_2_ 3 -1 4 5 3 4 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 5 3 4 5 6 7 -1 -1 1 0 21 + 307 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 302 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 0 3 -1 -1 1 0 21 + 304 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 303 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 301 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 300 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 299 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 6 0 20 + 65 E 5 306 6 0 65 -1 5 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 0 3 4 6 7 -1 -1 2 0 20 + 306 RN_E 3 65 6 4 0 3 6 7 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 0 4 0 3 6 7 -1 -1 5 0 21 + 299 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 5 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 5 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 5 1 5 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 6 0 3 4 5 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 5 18 -1 + 17 A_25_ 1 -1 -1 1 5 17 -1 + 16 A_26_ 1 -1 -1 1 5 16 -1 + 15 A_27_ 1 -1 -1 1 5 15 -1 + 14 A_28_ 1 -1 -1 1 5 14 -1 + 5 A_29_ 1 -1 -1 1 5 5 -1 + 4 A_30_ 1 -1 -1 1 5 4 -1 + 3 A_31_ 1 -1 -1 1 5 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 6 0 20 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 + 299 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 6 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 300 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 30 LDS_000 5 305 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 + 300 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 299 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 3 4 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 1 3 -1 -1 1 1 21 + 297 inst_AS_030_delay 3 -1 5 1 2 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 2 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 30 LDS_000 5 305 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 0 3 4 6 7 -1 -1 1 0 21 + 306 RN_E 3 65 6 4 0 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 0 3 6 7 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 3 0 21 + 298 CLK_CNT_0_ 3 -1 0 3 0 4 6 -1 -1 1 0 21 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 299 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 2 3 5 -1 -1 1 1 21 + 295 inst_AS_000_INT_D 3 -1 5 2 3 5 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +67 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 65 E 5 306 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 20 + 30 LDS_000 5 305 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 0 3 4 6 7 -1 -1 1 0 21 + 306 RN_E 3 65 6 4 0 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 0 3 6 7 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 0 4 0 3 6 7 -1 -1 3 0 21 + 298 CLK_CNT_0_ 3 -1 0 3 0 4 6 -1 -1 1 0 21 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 299 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 3 5 32 -1 1 0 20 + 301 inst_AS_030_AMIGA_ENABLE 3 -1 2 2 3 5 -1 -1 1 1 21 + 295 inst_AS_000_INT_D 3 -1 5 2 3 5 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 40 VPA 1 -1 -1 2 3 7 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +68 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 30 LDS_000 5 306 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 2 3 4 6 7 -1 -1 1 0 21 + 307 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 4 2 3 6 7 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 4 2 3 6 7 -1 -1 3 0 21 + 299 CLK_CNT_0_ 3 -1 4 3 2 4 6 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 + 304 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 3 5 -1 -1 1 1 21 + 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 3 5 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 0 7 96 -1 + 95 A_16_ 1 -1 -1 2 0 7 95 -1 + 94 A_18_ 1 -1 -1 2 0 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 0 7 58 -1 + 57 FC_1_ 1 -1 -1 2 0 7 57 -1 + 56 FC_0_ 1 -1 -1 2 0 7 56 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 5 40 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +68 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 30 LDS_000 5 306 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 2 3 4 6 7 -1 -1 1 0 21 + 307 RN_E 3 65 6 4 2 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 4 2 3 6 7 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 4 2 3 6 7 -1 -1 3 0 21 + 299 CLK_CNT_0_ 3 -1 4 3 2 4 6 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 5 2 5 7 -1 -1 2 0 21 + 304 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 3 5 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 3 5 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 296 inst_AS_000_INT_DD 3 -1 5 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 5 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +60 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 30 LDS_000 5 306 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 2 2 2 7 -1 -1 2 0 21 + 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 2 3 -1 -1 1 0 21 + 299 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 4 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 4 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +60 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 30 LDS_000 5 306 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 2 2 2 7 -1 -1 2 0 21 + 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 2 3 -1 -1 1 0 21 + 299 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 4 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 4 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +60 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 305 3 0 31 -1 4 0 20 + 30 LDS_000 5 306 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 2 2 2 7 -1 -1 2 0 21 + 304 RN_AS_000 3 32 3 2 3 4 32 -1 1 0 20 + 302 inst_AS_030_AMIGA_ENABLE 3 -1 0 2 2 3 -1 -1 1 0 21 + 299 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 4 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 2 0 3 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 296 inst_AS_000_INT_DD 3 -1 0 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 4 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +61 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 20 + 30 LDS_000 5 307 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 305 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 5 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +61 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 20 + 30 LDS_000 5 307 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 305 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 5 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +61 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 20 + 30 LDS_000 5 307 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 305 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 5 7 40 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +61 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 20 + 30 LDS_000 5 307 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 305 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 5 2 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 5 2 3 5 6 7 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 5 5 2 3 5 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 5 2 3 5 6 7 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 5 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 3 4 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 5 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 20 + 30 LDS_000 5 307 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 305 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 20 + 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 301 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 297 inst_DTACK_SYC 3 -1 0 2 0 7 -1 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 2 3 32 -1 1 0 20 + 303 inst_AS_030_AMIGA_ENABLE 3 -1 4 2 0 3 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 0 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 2 2 3 4 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 299 inst_AS_030_delay 3 -1 5 1 4 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 4 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 6 0 2 3 4 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 4 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 0 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 20 + 30 LDS_000 5 307 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 305 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 2 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 2 3 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 303 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 + 297 inst_DTACK_SYC 3 -1 4 1 7 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 20 + 30 LDS_000 5 307 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 305 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 305 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 2 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 2 3 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 303 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 + 297 inst_DTACK_SYC 3 -1 4 1 7 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +63 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 31 UDS_000 5 307 3 0 31 -1 4 0 20 + 30 LDS_000 5 308 3 0 30 -1 4 0 20 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 306 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 4 3 5 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 3 5 6 7 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 5 4 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 3 5 6 7 -1 -1 1 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 310 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 301 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 2 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 0 2 2 3 -1 -1 1 0 21 + 308 RN_LDS_000 3 30 3 1 3 30 -1 4 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 4 0 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 304 inst_AS_030_AMIGA_ENABLE 3 -1 0 1 3 -1 -1 1 0 21 + 303 inst_DTACK_SYNC_N 3 -1 4 1 2 -1 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 4 1 0 -1 -1 1 0 21 + 297 inst_DTACK_SYNC_P 3 -1 2 1 7 -1 -1 1 0 21 + 296 inst_AS_000_INT_DD 3 -1 2 1 7 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 5 0 2 3 4 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +63 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 32 AS_000 5 306 3 1 3 32 -1 2 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 307 3 0 31 -1 5 0 21 + 30 LDS_000 5 308 3 0 30 -1 5 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 296 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 304 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +64 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 32 AS_000 5 307 3 1 6 32 -1 2 0 21 + 80 DSACK_1_ 5 306 7 1 3 80 -1 1 0 21 + 30 LDS_000 5 309 3 0 30 -1 6 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 31 UDS_000 5 308 3 0 31 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 295 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 296 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 307 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 309 RN_LDS_000 3 30 3 1 3 30 -1 6 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 305 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 303 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +64 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 32 AS_000 5 307 3 1 6 32 -1 2 0 21 + 80 DSACK_1_ 5 306 7 1 3 80 -1 1 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 31 UDS_000 5 308 3 0 31 -1 4 0 21 + 30 LDS_000 5 309 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 295 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 296 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 309 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 305 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 1 0 21 + 303 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +64 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 32 AS_000 5 307 3 1 6 32 -1 2 0 21 + 80 DSACK_1_ 5 306 7 1 3 80 -1 1 0 21 + 30 LDS_000 5 309 3 0 30 -1 6 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 31 UDS_000 5 308 3 0 31 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 295 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 296 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 309 RN_LDS_000 3 30 3 1 3 30 -1 6 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 305 inst_AS_030_AMIGA_ENABLE 3 -1 7 1 3 -1 -1 1 0 21 + 303 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_AS_030_delay 3 -1 3 1 7 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +63 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 32 AS_000 5 306 3 1 6 32 -1 2 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 1 0 21 + 31 UDS_000 5 307 3 0 31 -1 5 0 21 + 30 LDS_000 5 308 3 0 30 -1 5 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 301 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 296 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 304 inst_AS_030_AMIGA_ENABLE 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 32 AS_000 5 305 3 1 6 32 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 8 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 301 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 296 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 302 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +61 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 305 3 0 31 -1 9 0 21 + 30 LDS_000 5 306 3 0 30 -1 9 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 21 + 32 AS_000 5 304 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 301 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 7 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +60 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 1 0 21 + 31 UDS_000 5 304 3 0 31 -1 9 0 20 + 30 LDS_000 5 305 3 0 30 -1 9 0 20 + 65 E 5 306 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 3 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 32 AS_000 5 303 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 RN_E 3 65 6 5 0 3 4 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 4 5 0 3 4 5 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 5 5 0 3 4 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 5 0 3 4 5 6 -1 -1 1 0 20 + 300 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 + 301 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 + 307 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 295 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 20 + 298 inst_DTACK_INT 3 -1 0 2 0 7 -1 -1 4 0 21 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 + 296 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 9 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 20 + 297 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 40 VPA 1 -1 -1 3 0 2 5 40 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 30 LDS_000 5 307 3 0 30 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 8 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 299 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 8 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +61 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 0 2 29 -1 1 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 1 0 21 + 31 UDS_000 5 305 3 0 31 -1 5 0 20 + 30 LDS_000 5 306 3 0 30 -1 5 0 20 + 65 E 5 307 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 2 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 304 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 RN_E 3 65 6 4 0 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 0 2 3 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 2 4 0 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 4 0 2 3 6 -1 -1 1 0 21 + 301 SM_AMIGA_0_ 3 -1 2 3 0 2 3 -1 -1 4 0 20 + 302 SM_AMIGA_1_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 + 308 RN_VMA 3 34 3 3 0 2 3 34 -1 2 1 20 + 304 RN_AS_000 3 32 3 3 2 3 4 32 -1 1 0 20 + 296 inst_VPA_SYNC 3 -1 5 3 0 2 3 -1 -1 1 0 20 + 297 inst_DTACK_INT 3 -1 0 2 0 7 -1 -1 4 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 300 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 5 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 5 0 20 + 299 CLK_CNT_0_ 3 -1 5 1 5 -1 -1 2 0 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 4 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 3 4 5 7 81 -1 + 85 RST 1 -1 -1 3 0 1 2 85 -1 + 40 VPA 1 -1 -1 3 0 2 5 40 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +60 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 302 7 1 3 80 -1 1 0 21 + 31 UDS_000 5 304 3 0 31 -1 5 0 20 + 30 LDS_000 5 305 3 0 30 -1 5 0 20 + 65 E 5 306 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 308 6 0 64 -1 2 0 21 + 34 VMA 5 307 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 5 303 3 0 32 -1 1 0 20 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 297 cpu_est_2_ 3 -1 6 4 0 3 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 + 300 SM_AMIGA_0_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 + 301 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 + 307 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 295 inst_VPA_SYNC 3 -1 4 3 0 3 5 -1 -1 1 0 21 + 296 inst_DTACK_INT 3 -1 5 2 5 7 -1 -1 4 0 21 + 308 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 303 RN_AS_000 3 32 3 2 0 3 32 -1 1 0 20 + 299 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 5 0 20 + 304 RN_UDS_000 3 31 3 1 3 31 -1 5 0 20 + 298 CLK_CNT_0_ 3 -1 2 1 2 -1 -1 2 0 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 10 CLK_000 9 -1 1 7 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 3 4 7 81 -1 + 40 VPA 1 -1 -1 3 0 4 5 40 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 32 AS_000 0 3 0 32 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 298 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 295 inst_AS_000_INT 3 -1 3 2 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +62 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 1 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 1 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +63 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +63 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 320 3 0 30 -1 13 0 21 + 31 UDS_000 5 319 3 0 31 -1 9 0 21 + 65 E 5 321 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 322 3 0 34 -1 2 1 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 315 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 316 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 321 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 308 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 306 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 311 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 323 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 309 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 305 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 320 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 312 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 314 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 310 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 307 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 304 A_27_ 1 -1 -1 1 4 -1 -1 + 303 A_28_ 1 -1 -1 1 4 -1 -1 + 302 A_29_ 1 -1 -1 1 4 -1 -1 + 301 A_30_ 1 -1 -1 1 4 -1 -1 + 300 A_20_ 1 -1 -1 1 4 -1 -1 + 299 A_21_ 1 -1 -1 1 4 -1 -1 + 298 A_22_ 1 -1 -1 1 4 -1 -1 + 297 A_31_ 1 -1 -1 1 4 -1 -1 + 296 A_23_ 1 -1 -1 1 4 -1 -1 + 295 A_24_ 1 -1 -1 1 4 -1 -1 + 294 A_25_ 1 -1 -1 1 4 -1 -1 + 293 A_26_ 1 -1 -1 1 4 -1 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 inst_VPA_SYNC 3 -1 3 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 inst_VPA_SYNC 3 -1 3 1 3 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 3 6 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 82 BGACK_030 5 -1 7 2 3 7 82 -1 2 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 7 29 -1 1 0 21 + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 309 3 0 31 -1 5 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 32 AS_000 5 308 3 0 32 -1 4 0 21 + 30 LDS_000 5 310 3 0 30 -1 4 1 21 + 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 313 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 312 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 310 RN_LDS_000 3 30 3 2 3 6 30 -1 4 1 21 + 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 313 RN_VMA 3 34 3 2 3 7 34 -1 2 1 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 6 2 3 6 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 3 2 3 7 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 21 + 306 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 305 UDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 308 RN_AS_000 3 32 3 1 3 32 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 7 1 7 -1 -1 4 0 20 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 3 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 308 3 0 31 -1 13 1 21 + 30 LDS_000 5 309 3 0 30 -1 5 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 32 AS_000 5 307 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 + 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 + 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 + 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 3 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 7 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 7 78 -1 + 69 SIZE_0_ 1 -1 -1 1 7 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 308 3 0 31 -1 13 1 21 + 30 LDS_000 5 309 3 0 30 -1 5 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 32 AS_000 5 307 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 + 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 + 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 + 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 7 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 7 78 -1 + 69 SIZE_0_ 1 -1 -1 1 7 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 308 3 0 31 -1 13 1 21 + 30 LDS_000 5 309 3 0 30 -1 5 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 32 AS_000 5 307 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 + 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 + 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 + 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 7 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 7 78 -1 + 69 SIZE_0_ 1 -1 -1 1 7 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 308 3 0 31 -1 13 1 21 + 30 LDS_000 5 309 3 0 30 -1 5 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 32 AS_000 5 307 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 309 RN_LDS_000 3 30 3 2 3 7 30 -1 5 1 21 + 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 296 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 298 inst_CLK_000_D 3 -1 6 2 3 7 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 2 3 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 + 305 LDS_000_0 3 -1 7 1 3 -1 -1 7 1 21 + 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 1 7 -1 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 7 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 7 78 -1 + 69 SIZE_0_ 1 -1 -1 1 7 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 308 3 0 31 -1 8 0 21 + 30 LDS_000 5 309 3 0 30 -1 8 0 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 32 AS_000 5 307 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 309 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 307 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 294 N_40_i 3 -1 3 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 308 3 0 31 -1 8 0 21 + 30 LDS_000 5 309 3 0 30 -1 8 0 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 32 AS_000 5 307 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 297 inst_DTACK_INT 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 3 1 21 + 305 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 20 + 298 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 309 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 3 1 3 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 294 N_40_i 3 -1 3 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 306 3 0 30 -1 14 0 20 + 31 UDS_000 5 305 3 0 31 -1 13 0 20 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 32 AS_000 5 304 3 0 32 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 301 SM_AMIGA_0_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 0 3 5 6 -1 -1 4 0 21 + 302 SM_AMIGA_1_ 3 -1 0 4 0 2 3 5 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 + 295 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 + 309 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 297 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 RN_AS_000 3 32 3 2 0 3 32 -1 2 1 20 + 299 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 306 3 0 30 -1 14 0 20 + 31 UDS_000 5 305 3 0 31 -1 13 0 20 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 20 + 32 AS_000 5 304 3 0 32 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 301 SM_AMIGA_0_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 0 3 5 6 -1 -1 4 0 21 + 302 SM_AMIGA_1_ 3 -1 0 4 0 2 3 5 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 + 295 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 + 309 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 297 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 RN_AS_000 3 32 3 2 0 3 32 -1 2 1 20 + 299 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 + 305 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 14 0 20 + 31 UDS_000 5 307 3 0 31 -1 13 0 20 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 3 0 21 + 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 296 SM_AMIGA_LAST_1_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 + 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 309 3 0 31 -1 8 0 21 + 30 LDS_000 5 310 3 0 30 -1 8 0 21 + 32 AS_000 5 308 3 0 32 -1 5 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 313 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 RN_AS_000 3 32 3 2 3 6 32 -1 5 0 21 + 312 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 313 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 296 SM_AMIGA_LAST_1_ 3 -1 3 2 3 7 -1 -1 2 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 310 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 N_35_i 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 3 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 311 3 0 30 -1 9 1 21 + 65 E 5 313 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 314 3 0 34 -1 2 1 20 + 32 AS_000 5 309 3 0 32 -1 2 1 21 + 31 UDS_000 5 310 3 0 31 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 312 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 313 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 307 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 314 RN_VMA 3 34 3 3 3 6 7 34 -1 2 1 20 + 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 309 RN_AS_000 3 32 3 2 3 6 32 -1 2 1 21 + 305 un1_UDS_000_INT_3_0 3 -1 3 1 3 -1 -1 12 1 21 + 311 RN_LDS_000 3 30 3 1 3 30 -1 9 1 21 + 300 N_30_0 3 -1 3 1 3 -1 -1 3 0 21 + 310 RN_UDS_000 3 31 3 1 3 31 -1 2 0 21 + 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 2 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_CLK_000_D 3 -1 3 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 2 6 7 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 14 0 20 + 31 UDS_000 5 307 3 0 31 -1 13 0 20 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 3 0 21 + 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 296 SM_AMIGA_LAST_1_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 6 3 2 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 + 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 14 0 20 + 31 UDS_000 5 307 3 0 31 -1 13 0 20 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 + 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 6 2 2 6 -1 -1 2 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 6 2 2 6 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 308 3 0 31 -1 13 1 21 + 30 LDS_000 5 309 3 0 30 -1 5 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 32 AS_000 5 307 3 0 32 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 309 RN_LDS_000 3 30 3 2 3 6 30 -1 5 1 21 + 311 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 1 21 + 298 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 13 1 21 + 305 LDS_000_0 3 -1 6 1 3 -1 -1 7 1 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 2 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 309 3 0 31 -1 8 0 21 + 30 LDS_000 5 310 3 0 30 -1 8 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 313 3 0 34 -1 2 1 20 + 32 AS_000 5 308 3 0 32 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 312 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 295 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 294 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_DTACK_INT 3 -1 7 2 6 7 -1 -1 4 0 20 + 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 313 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 308 RN_AS_000 3 32 3 2 3 7 32 -1 2 1 21 + 301 inst_CLK_000_D 3 -1 3 2 3 7 -1 -1 1 0 21 + 299 inst_VPA_SYNC 3 -1 3 2 3 7 -1 -1 1 0 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 297 SM_AMIGA_LAST_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 296 SM_AMIGA_LAST_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 N_40_i 3 -1 7 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 7 29 159 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 7 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 3 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 14 0 20 + 31 UDS_000 5 307 3 0 31 -1 13 0 20 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 1 20 + 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 6 2 2 6 -1 -1 2 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 6 2 2 6 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 308 RN_LDS_000 3 30 3 1 3 30 -1 14 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 13 0 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 310 3 0 31 -1 8 0 21 + 30 LDS_000 5 311 3 0 30 -1 8 0 21 + 65 E 5 313 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 + 32 AS_000 5 309 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 314 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 312 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 313 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 298 inst_DTACK_INT 3 -1 6 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 314 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 299 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 311 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 310 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 309 RN_AS_000 3 32 3 1 3 32 -1 3 1 21 + 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 2 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 300 N_37_i 3 -1 3 1 3 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 3 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 310 3 0 31 -1 10 0 21 + 65 E 5 313 6 0 65 -1 4 0 21 + 30 LDS_000 5 311 3 0 30 -1 4 1 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 314 3 0 34 -1 2 1 21 + 32 AS_000 5 309 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 312 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 313 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 301 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 304 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 311 RN_LDS_000 3 30 3 2 3 6 30 -1 4 1 21 + 305 SM_AMIGA_0_ 3 -1 3 2 3 7 -1 -1 4 0 20 + 298 inst_DTACK_INT 3 -1 7 2 6 7 -1 -1 4 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 20 + 314 RN_VMA 3 34 3 2 3 7 34 -1 2 1 21 + 300 inst_CLK_000_D 3 -1 3 2 3 6 -1 -1 1 0 20 + 299 inst_VPA_SYNC 3 -1 6 2 3 7 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 310 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 307 LDS_000_0 3 -1 6 1 3 -1 -1 8 1 21 + 309 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 2 3 7 29 159 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 78 SIZE_1_ 1 -1 -1 2 3 6 78 -1 + 69 SIZE_0_ 1 -1 -1 2 3 6 69 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 10 0 20 + 31 UDS_000 5 307 3 0 31 -1 8 0 20 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 299 cpu_est_2_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 304 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 + 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 302 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 + 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 3 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 5 2 2 3 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 10 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 8 0 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 7 0 20 + 65 E 5 312 6 0 65 -1 4 0 21 + 32 AS_000 5 308 3 0 32 -1 4 0 20 + 31 UDS_000 5 309 3 0 31 -1 4 1 20 + 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 313 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 0 3 4 5 6 -1 -1 1 0 21 + 312 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 0 4 0 2 3 4 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 21 + 305 SM_AMIGA_1_ 3 -1 0 4 0 2 3 5 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 21 + 308 RN_AS_000 3 32 3 3 0 2 3 32 -1 4 0 20 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 309 RN_UDS_000 3 31 3 2 2 3 31 -1 4 1 20 + 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 313 RN_VMA 3 34 3 2 0 3 34 -1 2 1 20 + 298 inst_VPA_SYNC 3 -1 5 2 0 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 3 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 4 2 2 3 -1 -1 1 0 21 + 306 UDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 310 RN_LDS_000 3 30 3 1 3 30 -1 7 0 20 + 302 un1_as_000_int2_0 3 -1 2 1 3 -1 -1 7 1 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 0 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 0 1 85 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 5 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 308 7 1 3 80 -1 2 0 21 + 32 AS_000 5 309 3 0 32 -1 5 0 20 + 31 UDS_000 5 310 3 0 31 -1 5 0 20 + 30 LDS_000 5 311 3 0 30 -1 5 0 20 + 65 E 5 313 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 314 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 312 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 0 3 4 5 6 -1 -1 1 0 21 + 313 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 303 SM_AMIGA_0_ 3 -1 0 4 0 3 4 5 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 4 0 3 5 6 -1 -1 4 0 20 + 300 cpu_est_2_ 3 -1 6 4 0 3 5 6 -1 -1 3 0 21 + 309 RN_AS_000 3 32 3 3 0 2 3 32 -1 5 0 20 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 304 SM_AMIGA_1_ 3 -1 5 3 0 3 5 -1 -1 3 0 20 + 314 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 3 0 3 5 -1 -1 1 0 20 + 311 RN_LDS_000 3 30 3 2 2 3 30 -1 5 0 20 + 310 RN_UDS_000 3 31 3 2 2 3 31 -1 5 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 305 N_35 3 -1 2 2 2 3 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 3 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 4 2 2 3 -1 -1 1 0 21 + 307 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 306 UDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 312 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 2 3 6 7 81 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 68 A_0_ 1 -1 -1 1 2 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 20 + 31 UDS_000 5 307 3 0 31 -1 7 1 20 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 302 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 + 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 6 2 2 3 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 5 2 2 3 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 7 1 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 20 + 31 UDS_000 5 307 3 0 31 -1 8 1 20 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 311 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 302 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 + 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 307 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 296 SM_AMIGA_LAST_1_ 3 -1 6 1 2 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 5 1 2 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 2 0 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 309 3 0 30 -1 13 0 20 + 31 UDS_000 5 308 3 0 31 -1 8 1 20 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 312 3 0 34 -1 2 1 20 + 32 AS_000 5 307 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 311 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 300 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 6 4 0 3 5 6 -1 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_1_ 3 -1 5 3 0 3 5 -1 -1 3 0 21 + 312 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 299 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 20 + 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 298 inst_DTACK_SYNC_D 3 -1 4 2 0 5 -1 -1 1 0 21 + 309 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 308 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 296 SM_AMIGA_LAST_1_ 3 -1 0 1 2 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 0 1 2 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 1 4 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 20 + 31 UDS_000 5 309 3 0 31 -1 8 1 20 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 314 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 313 3 0 34 -1 2 1 20 + 32 AS_000 5 308 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 293 cpu_est_0_ 3 -1 4 5 0 3 4 5 6 -1 -1 1 0 21 + 312 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 301 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 305 SM_AMIGA_0_ 3 -1 5 3 0 3 5 -1 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 0 3 0 2 7 -1 -1 4 0 21 + 306 SM_AMIGA_1_ 3 -1 0 3 0 3 5 -1 -1 3 0 21 + 313 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 299 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 314 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 20 + 298 inst_DTACK_SYNC_DD 3 -1 4 2 0 5 -1 -1 1 0 21 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_DTACK_SYNC_D 3 -1 6 1 4 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 0 1 2 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 5 1 2 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 20 + 31 UDS_000 5 309 3 0 31 -1 8 1 20 + 65 E 5 313 6 0 65 -1 4 0 21 + 9 CLK_EXP 5 312 1 0 9 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 314 3 0 34 -1 2 1 20 + 32 AS_000 5 308 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 313 RN_E 3 65 6 4 0 3 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 4 0 3 5 6 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 0 4 0 3 4 5 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 5 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 5 4 0 3 5 6 -1 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 + 314 RN_VMA 3 34 3 3 0 3 5 34 -1 2 1 20 + 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 299 inst_VPA_SYNC 3 -1 2 3 0 3 5 -1 -1 1 0 21 + 308 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 20 + 303 CLK_CNT_1_ 3 -1 4 2 1 4 -1 -1 2 0 21 + 302 CLK_CNT_0_ 3 -1 4 2 1 4 -1 -1 1 0 21 + 298 inst_DTACK_SYNC_DD 3 -1 6 2 0 5 -1 -1 1 0 21 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 + 312 RN_CLK_EXP 3 9 1 1 1 9 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_DTACK_SYNC_D 3 -1 6 1 6 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 4 1 2 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 0 1 2 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 10 CLK_000 9 -1 1 6 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 0 1 5 85 -1 + 81 AS_030 1 -1 -1 3 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 20 + 31 UDS_000 5 309 3 0 31 -1 8 1 20 + 65 E 5 313 6 0 65 -1 4 0 21 + 9 CLK_EXP 5 312 1 0 9 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 6 -1 -1 3 0 20 + 305 SM_AMIGA_0_ 3 -1 0 4 0 3 5 6 -1 -1 4 0 21 + 299 inst_VMA_INT 3 -1 5 4 0 3 5 6 -1 -1 2 1 20 + 313 RN_E 3 65 6 3 0 5 6 65 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 6 3 2 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 5 3 0 5 6 -1 -1 3 0 21 + 308 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 + 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 2 3 0 5 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 0 3 0 5 6 -1 -1 1 0 20 + 303 CLK_CNT_1_ 3 -1 4 2 1 4 -1 -1 2 0 21 + 302 CLK_CNT_0_ 3 -1 4 2 1 4 -1 -1 1 0 21 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 + 312 RN_CLK_EXP 3 9 1 1 1 9 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 2 1 2 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 0 1 2 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 3 0 5 6 29 159 + 10 CLK_000 9 -1 1 6 10 -1 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 4 0 1 5 6 85 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 20 + 31 UDS_000 5 309 3 0 31 -1 8 1 20 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 312 RN_E 3 65 6 4 0 2 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 4 0 2 5 6 -1 -1 4 0 20 + 300 cpu_est_2_ 3 -1 5 4 0 2 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 0 4 0 2 5 6 -1 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 297 inst_DTACK_INT 3 -1 5 3 2 5 7 -1 -1 4 0 21 + 306 SM_AMIGA_1_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 + 308 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 + 304 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 299 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 2 1 20 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 302 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 2 0 5 -1 -1 1 0 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 309 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 2 1 2 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 2 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 5 0 2 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 309 3 0 30 -1 13 0 20 + 31 UDS_000 5 308 3 0 31 -1 8 1 20 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 307 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 298 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 2 1 21 + 311 RN_E 3 65 6 3 0 5 6 65 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 5 3 2 3 5 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 3 0 5 6 -1 -1 4 0 20 + 299 cpu_est_2_ 3 -1 0 3 0 5 6 -1 -1 3 0 20 + 307 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 + 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 305 SM_AMIGA_1_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 2 2 0 5 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 5 2 2 7 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 2 2 2 7 -1 -1 1 0 20 + 309 RN_LDS_000 3 30 3 1 3 30 -1 13 0 20 + 308 RN_UDS_000 3 31 3 1 3 31 -1 8 1 20 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 309 3 0 30 -1 10 0 20 + 31 UDS_000 5 308 3 0 31 -1 8 0 20 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 307 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 2 1 21 + 311 RN_E 3 65 6 3 0 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 3 0 5 6 -1 -1 4 0 20 + 303 inst_AS_AMIGA_ENABLE 3 -1 2 3 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 0 3 0 5 6 -1 -1 3 0 20 + 307 RN_AS_000 3 32 3 3 0 3 5 32 -1 2 0 20 + 293 cpu_est_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 2 2 0 5 -1 -1 1 0 21 + 309 RN_LDS_000 3 30 3 1 3 30 -1 10 0 20 + 308 RN_UDS_000 3 31 3 1 3 31 -1 8 0 20 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 2 1 2 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 5 1 2 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 10 0 21 + 31 UDS_000 5 309 3 0 31 -1 8 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 311 3 0 31 -1 10 0 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 30 LDS_000 5 312 3 0 30 -1 4 1 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 305 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 311 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 308 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 312 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 3 3 6 7 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 21 + 31 UDS_000 5 309 3 0 31 -1 9 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 21 + 31 UDS_000 5 309 3 0 31 -1 9 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 311 3 0 31 -1 10 0 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 30 LDS_000 5 312 3 0 30 -1 4 1 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 303 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 305 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 311 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 308 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 312 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 21 + 31 UDS_000 5 309 3 0 31 -1 9 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 299 inst_VMA_INT 3 -1 6 3 3 6 7 -1 -1 2 1 20 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 SM_AMIGA_LAST_1_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 7 2 3 7 -1 -1 1 0 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 311 3 0 31 -1 10 0 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 30 LDS_000 5 312 3 0 30 -1 4 1 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 303 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 311 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 308 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 312 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +81 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 310 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 312 3 0 31 -1 10 0 21 + 65 E 5 315 6 0 65 -1 4 0 21 + 30 LDS_000 5 313 3 0 30 -1 4 1 21 + 64 CLK_DIV_OUT 5 316 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 311 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 314 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 308 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 299 inst_VMA_INT 3 -1 6 3 3 6 7 -1 -1 2 1 20 + 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 316 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 311 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 312 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 309 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 315 RN_E 3 65 6 1 6 65 -1 4 0 21 + 313 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 310 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 303 as_amiga_un2_as_030_n 3 -1 7 1 3 -1 -1 2 0 21 + 314 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_1_ 3 -1 3 1 7 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 312 3 0 30 -1 10 0 21 + 31 UDS_000 5 311 3 0 31 -1 9 1 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 inst_AS_AMIGA_ENABLE 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 307 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 312 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 311 RN_UDS_000 3 31 3 1 3 31 -1 9 1 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 308 as_amiga_un10_as_030_4_n 3 -1 6 1 3 -1 -1 1 0 21 + 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +80 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 312 3 0 30 -1 10 0 21 + 31 UDS_000 5 311 3 0 31 -1 9 1 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 312 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 311 RN_UDS_000 3 31 3 1 3 31 -1 9 1 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 308 as_amiga_un10_as_030_4_n 3 -1 3 1 3 -1 -1 1 0 21 + 305 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 296 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 309 3 0 31 -1 10 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 30 LDS_000 5 310 3 0 30 -1 4 1 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 309 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 306 LDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 310 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 20 + 31 UDS_000 5 306 3 0 31 -1 8 0 20 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 305 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 5 4 0 3 5 7 -1 -1 4 0 21 + 303 SM_AMIGA_1_ 3 -1 5 4 0 3 5 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 2 4 2 3 5 6 -1 -1 2 1 20 + 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 1 0 21 + 309 RN_E 3 65 6 3 2 5 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 5 3 2 5 6 -1 -1 4 0 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 0 3 0 3 7 -1 -1 3 0 21 + 297 cpu_est_2_ 3 -1 2 3 2 5 6 -1 -1 3 0 21 + 305 RN_AS_000 3 32 3 3 2 3 5 32 -1 2 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 2 0 21 + 295 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 20 + 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 20 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 CLK_CNT_1_ 3 -1 2 1 6 -1 -1 1 0 21 + 298 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 5 29 159 + 63 CLK_030 9 -1 0 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 9 -1 0 10 -1 + 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 5 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 0 5 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 8 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 8 0 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 306 3 0 30 -1 13 0 21 + 31 UDS_000 5 305 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 304 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 297 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 298 inst_AS_AMIGA_ENABLE 3 -1 3 1 3 -1 -1 3 0 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 306 3 0 30 -1 13 0 21 + 31 UDS_000 5 305 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 304 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 298 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 3 0 21 + 297 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 6 7 40 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 303 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 306 3 0 30 -1 13 0 21 + 31 UDS_000 5 305 3 0 31 -1 9 0 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 32 AS_000 5 304 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 298 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 3 0 21 + 297 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 304 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 300 CLK_CNT_1_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 306 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 295 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 302 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 13 0 21 + 31 UDS_000 5 304 3 0 31 -1 9 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 32 AS_000 5 303 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 306 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 297 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 305 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 307 3 0 31 -1 7 0 21 + 30 LDS_000 5 308 3 0 30 -1 7 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 32 AS_000 5 306 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 295 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 311 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 300 SM_AMIGA_LAST_1_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 299 SM_AMIGA_LAST_0_ 3 -1 7 2 3 7 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 7 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 304 as_amiga_un8_as_030_n 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 10 CLK_000 9 -1 2 3 6 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 6 7 29 -1 1 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 31 UDS_000 5 306 3 0 31 -1 3 0 21 + 30 LDS_000 5 307 3 0 30 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 20 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 300 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 + 310 RN_VMA 3 34 3 3 3 6 7 34 -1 2 1 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 305 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 + 296 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 20 + 303 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 302 UDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 3 3 6 7 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 6 7 40 162 + 10 CLK_000 9 -1 2 3 6 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 6 81 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 6 7 29 -1 1 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 31 UDS_000 5 306 3 0 31 -1 3 0 21 + 30 LDS_000 5 307 3 0 30 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 20 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 300 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 299 inst_AS_AMIGA_ENABLE 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 + 310 RN_VMA 3 34 3 3 3 6 7 34 -1 2 1 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 307 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 305 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 + 296 inst_AS_000_INT_D 3 -1 3 2 3 6 -1 -1 1 0 20 + 303 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 302 UDS_000_0 3 -1 3 1 3 -1 -1 8 1 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 3 3 6 7 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 6 7 40 162 + 10 CLK_000 9 -1 2 3 6 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 3 1 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 6 81 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 302 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 13 0 21 + 31 UDS_000 5 304 3 0 31 -1 8 1 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 32 AS_000 5 303 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 306 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 305 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 8 1 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 81 AS_030 1 -1 -1 1 3 81 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 31 UDS_000 5 307 3 0 31 -1 8 1 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 30 LDS_000 5 308 3 0 30 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 303 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 3 3 6 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 306 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 311 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 300 SM_AMIGA_LAST_1_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 299 SM_AMIGA_LAST_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 296 inst_AS_000_INT_D 3 -1 6 2 3 6 -1 -1 1 0 20 + 304 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 8 1 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 7 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 7 40 162 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 7 85 -1 + 81 AS_030 1 -1 -1 2 3 6 81 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 31 UDS_000 5 307 3 0 31 -1 8 1 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 30 LDS_000 5 308 3 0 30 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 311 3 0 34 -1 2 1 20 + 32 AS_000 5 306 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 RN_E 3 65 6 3 3 6 7 65 -1 4 0 21 + 302 SM_AMIGA_0_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 295 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 306 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 20 + 300 SM_AMIGA_LAST_1_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 299 SM_AMIGA_LAST_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 311 RN_VMA 3 34 3 2 3 7 34 -1 2 1 20 + 296 inst_AS_000_INT_D 3 -1 6 2 3 6 -1 -1 1 0 20 + 304 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 8 1 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 7 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 7 40 162 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 97 DS_030 1 -1 -1 3 3 6 7 97 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 7 85 -1 + 81 AS_030 1 -1 -1 2 3 6 81 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 11 0 21 + 31 UDS_000 5 304 3 0 31 -1 10 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 32 AS_000 5 303 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 306 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 305 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 81 AS_030 1 -1 -1 1 3 81 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 305 3 0 30 -1 8 0 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 31 UDS_000 5 304 3 0 31 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 20 + 32 AS_000 5 303 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 306 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 303 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 305 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 81 AS_030 1 -1 -1 1 3 81 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 8 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 20 + 32 AS_000 5 305 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 20 + 298 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 SM_AMIGA_LAST_1_ 3 -1 3 1 7 -1 -1 1 0 20 + 297 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 6 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 8 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 31 UDS_000 5 306 3 0 31 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 20 + 32 AS_000 5 305 3 0 32 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 10 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 20 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 RN_AS_000 3 32 3 3 3 6 7 32 -1 3 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 310 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 RN_AS_000 3 32 3 3 3 6 7 32 -1 3 0 21 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 310 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 1 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 306 3 0 30 -1 7 1 21 + 31 UDS_000 5 305 3 0 31 -1 6 1 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 304 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 7 1 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 6 1 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 97 DS_030 1 -1 -1 2 3 7 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 81 AS_030 1 -1 -1 1 3 81 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 304 3 0 31 -1 9 0 21 + 30 LDS_000 5 305 3 0 30 -1 8 1 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 32 AS_000 5 303 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 306 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 299 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 302 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 304 3 0 31 -1 9 0 21 + 30 LDS_000 5 305 3 0 30 -1 8 1 21 + 65 E 5 307 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 309 6 0 64 -1 3 0 21 + 32 AS_000 5 303 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 308 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 306 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 301 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 309 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 303 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 304 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 305 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 + 302 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 306 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 299 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 303 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 305 3 0 31 -1 9 0 21 + 30 LDS_000 5 306 3 0 30 -1 8 1 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 304 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 300 inst_AS_AMIGA_ENABLE 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 298 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 299 SM_AMIGA_LAST_1_ 3 -1 3 1 7 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 2 3 6 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 303 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 305 3 0 31 -1 10 0 21 + 30 LDS_000 5 306 3 0 30 -1 7 1 21 + 65 E 5 308 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 304 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 309 3 0 34 -1 2 1 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 307 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 304 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 299 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 309 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 305 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 306 RN_LDS_000 3 30 3 1 3 30 -1 7 1 21 + 303 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 2 3 6 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +78 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 21 + 31 UDS_000 5 309 3 0 31 -1 9 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 308 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 296 inst_AS_000_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 295 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 309 3 0 30 -1 13 0 21 + 31 UDS_000 5 308 3 0 31 -1 9 0 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 307 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 309 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 311 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 12 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 3 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 3 0 21 + 65 E 5 321 6 0 65 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 + 307 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 308 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 + 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 + 309 CLK_CNT_0_ 3 -1 0 2 0 7 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 7 2 0 6 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 321 RN_E 3 65 6 1 6 65 -1 2 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 0 40 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 12 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 3 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 3 0 21 + 65 E 5 321 6 0 65 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 + 307 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 308 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 + 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 + 309 CLK_CNT_0_ 3 -1 0 2 0 7 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 7 2 0 6 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 321 RN_E 3 65 6 1 6 65 -1 2 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 0 40 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 12 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 4 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 3 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 65 E 5 321 6 0 65 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 + 307 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 308 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 + 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 + 309 CLK_CNT_0_ 3 -1 0 2 0 7 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 + 303 CLK_CNT_1_ 3 -1 7 2 0 6 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 321 RN_E 3 65 6 1 6 65 -1 2 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 0 40 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 12 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 4 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 65 E 5 321 6 0 65 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 4 4 2 4 5 6 -1 -1 4 0 21 + 308 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 296 cpu_est_3_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 309 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 307 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 305 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 7 3 0 6 7 -1 -1 1 0 21 + 310 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 317 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 + 312 SM_AMIGA_2_ 3 -1 2 2 0 2 -1 -1 2 0 21 + 304 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 299 inst_CLK_030_D 3 -1 4 2 4 7 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 0 2 2 5 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 321 RN_E 3 65 6 1 6 65 -1 2 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 5 0 3 4 5 7 63 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 0 40 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +85 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 318 3 0 30 -1 12 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 314 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 4 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 3 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 65 E 5 320 6 0 65 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 6 5 0 1 2 4 6 -1 -1 2 0 21 + 294 cpu_est_1_ 3 -1 4 4 0 4 5 6 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 4 0 21 + 296 cpu_est_3_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 4 0 4 5 6 -1 -1 3 0 21 + 305 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 3 0 21 + 308 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 8 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 311 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 + 299 inst_CLK_030_D 3 -1 6 2 6 7 -1 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 297 inst_VPA_SYNC 3 -1 0 2 0 5 -1 -1 1 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 320 RN_E 3 65 6 1 6 65 -1 2 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 5 2 3 5 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 0 40 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +84 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 317 3 0 30 -1 12 0 21 + 31 UDS_000 5 316 3 0 31 -1 10 0 21 + 8 IPL_030_2_ 5 311 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 + 32 AS_000 5 315 3 0 32 -1 3 0 21 + 28 BG_000 5 318 3 0 28 -1 3 0 21 + 65 E 5 319 6 0 65 -1 2 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 2 0 21 + 294 cpu_est_1_ 3 -1 6 4 2 4 5 6 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 6 4 2 4 5 6 -1 -1 4 0 21 + 296 cpu_est_3_ 3 -1 4 4 2 4 5 6 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 4 4 2 4 5 6 -1 -1 3 0 21 + 306 SM_AMIGA_1_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 0 3 0 3 7 -1 -1 4 0 21 + 298 inst_VMA_INT 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 307 SM_AMIGA_4_ 3 -1 5 3 0 3 5 -1 -1 2 0 21 + 305 SM_AMIGA_0_ 3 -1 2 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_6_ 3 -1 0 3 0 3 5 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 8 0 21 + 315 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 299 inst_CLK_030_D 3 -1 6 2 6 7 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 2 2 2 5 -1 -1 1 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 12 0 21 + 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 319 RN_E 3 65 6 1 6 65 -1 2 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 5 0 3 5 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 2 40 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 8 1 21 + 31 UDS_000 5 306 3 0 31 -1 6 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 8 1 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 5 0 21 + 30 LDS_000 5 309 3 0 30 -1 11 0 21 + 31 UDS_000 5 308 3 0 31 -1 7 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 32 AS_000 5 307 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 5 0 21 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 311 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 302 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 309 3 0 30 -1 11 0 21 + 31 UDS_000 5 308 3 0 31 -1 7 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 32 AS_000 5 307 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 311 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 309 3 0 30 -1 11 0 21 + 31 UDS_000 5 308 3 0 31 -1 7 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 32 AS_000 5 307 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 311 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 SM_AMIGA_LAST_1_ 3 -1 7 1 7 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 7 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 306 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 309 3 0 30 -1 11 0 21 + 31 UDS_000 5 308 3 0 31 -1 7 1 21 + 65 E 5 311 6 0 65 -1 4 0 21 + 32 AS_000 5 307 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 312 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 310 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 307 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 312 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 309 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 308 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 311 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 306 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 310 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 303 SM_AMIGA_LAST_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +71 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 2 5 29 -1 1 0 21 + 80 DSACK_1_ 5 305 7 1 3 80 -1 3 0 21 + 31 UDS_000 5 307 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 4 0 21 + 30 LDS_000 5 308 3 0 30 -1 4 0 21 + 32 AS_000 5 306 3 0 32 -1 3 0 21 + 28 BG_000 5 309 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 SM_AMIGA_1_ 3 -1 5 5 0 3 5 6 7 -1 -1 2 0 21 + 300 SM_AMIGA_4_ 3 -1 5 4 2 3 5 6 -1 -1 3 0 21 + 296 SM_AMIGA_6_ 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 298 SM_AMIGA_0_ 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 + 297 SM_AMIGA_7_ 3 -1 5 4 0 3 6 7 -1 -1 1 0 21 + 294 CLK_CNT_1_ 3 -1 4 3 2 4 6 -1 -1 2 0 21 + 293 CLK_CNT_0_ 3 -1 2 3 2 4 6 -1 -1 1 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 308 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 302 SM_AMIGA_3_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 301 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 + 295 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 1 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 304 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 309 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 306 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 303 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 2 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 5 0 2 3 5 6 10 -1 + 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 6 7 13 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 0 3 6 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 40 VPA 1 -1 -1 2 2 5 40 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +85 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 4 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 4 5 0 1 2 4 6 -1 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 + 305 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 303 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 4 3 0 4 6 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 5 3 0 2 5 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 7 3 2 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 2 3 2 6 7 -1 -1 1 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 318 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 0 2 0 2 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 + 296 inst_VMA_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 310 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 300 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 4 5 6 10 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 70 RW 1 -1 -1 3 3 4 5 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 5 68 -1 + 63 CLK_030 1 -1 -1 2 3 5 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 5 78 -1 + 69 SIZE_0_ 1 -1 -1 1 5 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +85 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 296 inst_VMA_INT 3 -1 2 4 0 2 3 5 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 4 4 0 2 4 6 -1 -1 3 0 21 + 305 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 4 4 1 2 4 6 -1 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 0 3 0 3 5 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 2 6 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 5 3 0 3 5 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 0 2 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 2 6 -1 -1 3 0 21 + 306 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 0 3 3 5 7 -1 -1 1 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 318 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 2 3 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 5 2 0 5 -1 -1 2 0 21 + 307 SM_AMIGA_2_ 3 -1 7 2 0 7 -1 -1 2 0 21 + 300 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 2 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 70 RW 1 -1 -1 3 3 4 5 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 5 68 -1 + 63 CLK_030 1 -1 -1 2 3 5 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 5 78 -1 + 69 SIZE_0_ 1 -1 -1 1 5 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 + 307 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 6 4 1 2 4 6 -1 -1 1 0 21 + 312 SM_AMIGA_3_ 3 -1 0 3 0 2 7 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 2 3 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 4 3 0 4 6 -1 -1 3 1 21 + 311 SM_AMIGA_5_ 3 -1 5 3 0 2 5 -1 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 7 3 2 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_0_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 0 3 0 2 6 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 6 3 0 4 6 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 2 3 0 2 6 -1 -1 1 0 21 + 294 cpu_est_1_ 3 -1 4 2 0 4 -1 -1 5 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 320 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 + 301 cpu_est_2_ 3 -1 4 2 0 4 -1 -1 4 0 21 + 318 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 300 inst_BGACK_030_INTreg 3 -1 2 2 2 3 -1 -1 2 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 313 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 304 inst_FALLING_CLK_AMIGA 3 -1 6 1 0 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 2 1 3 -1 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 70 RW 1 -1 -1 3 3 4 5 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 5 68 -1 + 63 CLK_030 1 -1 -1 2 3 5 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 5 78 -1 + 69 SIZE_0_ 1 -1 -1 1 5 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +85 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 31 UDS_000 5 316 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 + 30 LDS_000 5 317 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 312 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 4 0 21 + 32 AS_000 5 315 3 0 32 -1 3 0 21 + 28 BG_000 5 318 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 4 5 0 1 4 5 6 -1 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 + 306 SM_AMIGA_0_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 2 4 0 2 3 7 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 317 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 315 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 2 2 2 3 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 300 inst_BGACK_030_INTreg 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 312 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 63 CLK_030 1 -1 -1 2 2 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 21 AVEC_EXP 5 320 2 0 21 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 + 306 SM_AMIGA_0_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 5 4 0 2 3 7 -1 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 4 4 0 1 4 6 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 318 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 7 2 5 7 -1 -1 2 0 21 + 300 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_AVEC_EXP 3 21 2 1 2 21 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 + 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 3 2 3 5 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +81 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 3 1 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 21 + 31 UDS_000 5 309 3 0 31 -1 5 1 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 3 0 21 + 32 AS_000 5 308 3 0 32 -1 3 1 21 + 21 AVEC_EXP 5 312 2 0 21 -1 3 0 21 + 8 IPL_030_2_ 5 306 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 314 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 28 BG_000 5 311 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 296 SM_AMIGA_0_ 3 -1 5 5 0 2 3 5 7 -1 -1 5 0 21 + 297 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 + 298 SM_AMIGA_2_ 3 -1 5 5 0 2 3 5 7 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 + 302 inst_CLK_000_D 3 -1 5 4 0 1 2 6 -1 -1 1 0 21 + 299 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 5 1 21 + 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 + 304 cpu_est_2_ 3 -1 0 3 0 2 5 -1 -1 3 1 21 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 308 RN_AS_000 3 32 3 2 0 3 32 -1 3 1 21 + 303 inst_BGACK_030_INTreg 3 -1 6 2 3 6 -1 -1 2 0 21 + 301 CLK_CNT_1_ 3 -1 4 2 4 6 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 4 2 4 6 -1 -1 1 0 21 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 5 1 21 + 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 312 RN_AVEC_EXP 3 21 2 1 2 21 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 1 21 + 306 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 311 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 305 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 5 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 6 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 4 5 0 1 4 6 7 -1 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 + 306 SM_AMIGA_0_ 3 -1 2 4 0 2 3 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 5 4 0 2 3 7 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 318 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 307 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 300 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 3 0 21 + 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 4 0 2 3 7 81 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 63 CLK_030 1 -1 -1 2 2 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 6 5 0 1 2 4 6 -1 -1 1 0 21 + 309 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 4 4 0 4 5 6 -1 -1 3 1 21 + 307 SM_AMIGA_0_ 3 -1 7 4 2 3 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 6 4 0 4 5 6 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 4 3 0 4 5 -1 -1 5 0 21 + 301 cpu_est_2_ 3 -1 4 3 0 4 5 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 4 0 21 + 320 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 318 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 308 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 300 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 313 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 310 inst_FALLING_CLK_AMIGA 3 -1 6 1 5 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 63 CLK_030 1 -1 -1 2 2 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_0_ 3 -1 5 6 0 1 2 3 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 0 6 0 1 2 3 5 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 2 5 0 1 2 3 5 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 4 4 2 4 5 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 4 2 4 5 6 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 2 4 0 3 5 7 -1 -1 1 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 6 4 1 4 6 7 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 5 3 2 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 4 3 2 4 5 -1 -1 5 0 21 + 301 cpu_est_2_ 3 -1 4 3 2 4 5 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 0 3 0 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 0 3 0 1 2 -1 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 2 3 0 2 7 -1 -1 2 0 21 + 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 + 318 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 300 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 313 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 3 0 21 + 310 inst_FALLING_CLK_AMIGA 3 -1 6 1 5 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 2 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 70 RW 1 -1 -1 3 0 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 0 3 68 -1 + 63 CLK_030 1 -1 -1 2 0 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 0 78 -1 + 69 SIZE_0_ 1 -1 -1 1 0 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +85 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 317 3 0 30 -1 13 0 21 + 31 UDS_000 5 316 3 0 31 -1 9 0 21 + 64 CLK_DIV_OUT 5 319 6 0 64 -1 4 0 21 + 8 IPL_030_2_ 5 311 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 + 32 AS_000 5 315 3 0 32 -1 3 0 21 + 28 BG_000 5 318 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 0 5 0 1 2 3 5 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 0 5 0 1 2 3 7 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 7 5 0 1 3 5 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 4 5 0 1 2 5 6 -1 -1 1 0 21 + 303 SM_AMIGA_6_ 3 -1 7 4 2 3 5 7 -1 -1 3 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 2 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 2 3 0 2 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 2 3 0 2 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 3 0 2 6 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 5 3 0 1 5 -1 -1 2 0 21 + 307 SM_AMIGA_2_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 5 3 0 3 7 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 0 2 0 3 -1 -1 6 0 21 + 315 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 300 inst_BGACK_030_INTreg 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 5 2 5 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 316 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 319 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 302 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 4 6 0 1 2 4 5 6 -1 -1 1 0 21 + 305 SM_AMIGA_1_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_4_ 3 -1 5 4 0 2 3 5 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 0 4 2 3 5 7 -1 -1 1 0 21 + 303 SM_AMIGA_6_ 3 -1 2 3 0 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 4 3 4 5 6 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 5 2 3 5 -1 -1 6 0 21 + 318 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 5 6 -1 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 5 6 -1 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 0 2 0 7 -1 -1 2 0 21 + 300 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 302 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 63 CLK_030 1 -1 -1 2 2 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 316 7 1 3 80 -1 4 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 4 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 4 5 0 1 4 5 6 -1 -1 1 0 21 + 309 SM_AMIGA_4_ 3 -1 0 4 0 2 3 5 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 4 4 0 4 5 6 -1 -1 3 0 21 + 307 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 2 4 2 3 5 7 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 308 SM_AMIGA_2_ 3 -1 7 3 2 5 7 -1 -1 2 0 21 + 319 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 317 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 2 2 2 3 -1 -1 3 0 21 + 310 SM_AMIGA_5_ 3 -1 2 2 0 2 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 300 inst_CLK_030_D 3 -1 4 2 1 2 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 312 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 4 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 303 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 4 1 2 3 4 63 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 4 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 3 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 2 4 0 2 3 5 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 4 4 0 2 4 6 -1 -1 3 0 21 + 306 SM_AMIGA_0_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 7 4 0 3 5 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 1 0 21 + 297 inst_CLK_000_D 3 -1 4 4 0 1 4 6 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 2 3 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 2 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 3 0 2 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 2 6 -1 -1 3 0 21 + 318 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 2 2 2 5 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 307 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 302 CLK_CNT_0_ 3 -1 2 2 2 6 -1 -1 1 0 21 + 298 inst_CLK_030_D 3 -1 4 2 1 2 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 2 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 63 CLK_030 1 -1 -1 5 1 2 3 4 5 63 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 70 RW 1 -1 -1 3 3 4 5 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 5 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 5 78 -1 + 69 SIZE_0_ 1 -1 -1 1 5 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 313 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 4 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 3 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 0 5 0 3 5 6 7 -1 -1 4 0 21 + 304 SM_AMIGA_7_ 3 -1 5 5 0 3 5 6 7 -1 -1 3 0 21 + 306 SM_AMIGA_0_ 3 -1 0 5 0 3 5 6 7 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 5 5 0 3 5 6 7 -1 -1 2 0 21 + 303 SM_AMIGA_6_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 + 297 inst_CLK_000_D 3 -1 4 4 1 2 5 6 -1 -1 1 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 + 318 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 0 2 0 7 -1 -1 2 0 21 + 307 SM_AMIGA_2_ 3 -1 7 2 5 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 5 2 3 5 -1 -1 2 0 21 + 302 CLK_CNT_0_ 3 -1 5 2 5 6 -1 -1 1 0 21 + 298 inst_CLK_030_D 3 -1 4 2 1 2 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 320 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 301 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 63 CLK_030 1 -1 -1 6 0 1 2 3 4 6 63 -1 + 81 AS_030 1 -1 -1 5 0 3 5 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 4 0 3 6 7 13 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 4 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 SM_AMIGA_4_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_7_ 3 -1 5 5 0 2 3 5 7 -1 -1 3 0 21 + 307 SM_AMIGA_0_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 + 297 inst_CLK_OUT_PRE 3 -1 4 3 1 2 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 313 SM_AMIGA_3_ 3 -1 0 3 0 5 7 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 298 inst_CLK_000_D 3 -1 2 3 0 1 6 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 317 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 311 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 310 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 3 0 21 + 303 CLK_REF_1_ 3 -1 0 1 4 -1 -1 1 0 20 + 302 CLK_REF_0_ 3 -1 2 1 4 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 2 3 5 7 85 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 4 1 2 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 4 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 SM_AMIGA_4_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_7_ 3 -1 5 5 0 2 3 5 7 -1 -1 3 0 21 + 307 SM_AMIGA_0_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 5 5 0 2 3 5 7 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 313 SM_AMIGA_3_ 3 -1 0 3 0 5 7 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 298 inst_CLK_000_D 3 -1 2 3 0 1 6 -1 -1 1 0 21 + 320 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 297 inst_CLK_OUT_PRE 3 -1 4 2 2 6 -1 -1 4 0 21 + 317 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 311 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 310 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 3 0 21 + 303 CLK_REF_1_ 3 -1 0 1 4 -1 -1 1 0 20 + 302 CLK_REF_0_ 3 -1 2 1 4 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 2 3 5 7 85 -1 + 81 AS_030 1 -1 -1 5 0 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 4 1 2 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +84 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 317 3 0 30 -1 14 0 21 + 31 UDS_000 5 316 3 0 31 -1 10 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 4 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 4 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 4 0 21 + 32 AS_000 5 315 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 + 310 SM_AMIGA_6_ 3 -1 0 4 0 2 3 7 -1 -1 4 0 21 + 303 SM_AMIGA_7_ 3 -1 5 4 0 3 5 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 4 0 1 5 6 -1 -1 3 0 21 + 305 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 306 SM_AMIGA_2_ 3 -1 7 3 2 5 7 -1 -1 2 0 21 + 304 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 4 3 1 5 6 -1 -1 1 0 21 + 315 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 0 2 0 7 -1 -1 2 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 4 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 4 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 4 0 21 + 309 CLK_CNT_1_ 3 -1 2 1 2 -1 -1 4 0 21 + 297 inst_CLK_OUT_PRE 3 -1 2 1 6 -1 -1 4 0 21 + 308 CLK_CNT_0_ 3 -1 2 1 2 -1 -1 3 0 21 + 302 CLK_REF_1_ 3 -1 4 1 2 -1 -1 1 0 20 + 301 CLK_REF_0_ 3 -1 4 1 2 -1 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 1 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 3 4 5 7 85 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 3 0 1 3 63 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +84 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 317 3 0 30 -1 14 0 21 + 31 UDS_000 5 316 3 0 31 -1 10 0 21 + 32 AS_000 5 315 3 0 32 -1 3 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 + 310 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 2 4 0 2 5 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 2 4 0 2 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 + 315 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 297 inst_CLK_000_D 3 -1 1 2 2 6 -1 -1 1 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 316 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 309 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 4 0 21 + 298 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 4 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 308 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 3 0 21 + 302 CLK_REF_1_ 3 -1 6 1 4 -1 -1 1 0 20 + 301 CLK_REF_0_ 3 -1 6 1 4 -1 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 1 2 3 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +85 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 315 7 1 3 80 -1 9 0 21 + 30 LDS_000 5 318 3 0 30 -1 14 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 7 5 0 2 3 5 7 -1 -1 2 0 21 + 311 SM_AMIGA_6_ 3 -1 0 4 0 2 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_7_ 3 -1 0 4 0 3 5 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 4 3 1 2 6 -1 -1 1 0 21 + 310 CLK_CNT_1_ 3 -1 2 2 2 6 -1 -1 4 0 21 + 316 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 313 SM_AMIGA_3_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 309 CLK_CNT_0_ 3 -1 6 2 2 6 -1 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 0 2 0 7 -1 -1 2 0 21 + 302 CLK_REF_1_ 3 -1 4 2 2 6 -1 -1 1 0 20 + 301 CLK_REF_0_ 3 -1 4 2 2 6 -1 -1 1 0 20 + 318 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 297 inst_CLK_OUT_PRE 3 -1 2 1 6 -1 -1 4 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 1 1 1 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 8 0 1 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 3 4 5 7 85 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 3 0 1 3 63 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +83 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 313 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 30 LDS_000 5 316 3 0 30 -1 14 0 21 + 31 UDS_000 5 315 3 0 31 -1 10 0 21 + 32 AS_000 5 314 3 0 32 -1 3 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 306 SM_AMIGA_4_ 3 -1 5 5 0 2 3 5 7 -1 -1 4 0 21 + 307 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 4 0 2 5 6 -1 -1 4 0 21 + 302 SM_AMIGA_7_ 3 -1 7 4 0 3 5 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 2 4 0 2 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 304 SM_AMIGA_0_ 3 -1 0 4 0 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 3 5 -1 -1 6 0 21 + 311 SM_AMIGA_3_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 305 SM_AMIGA_2_ 3 -1 0 3 0 2 7 -1 -1 2 0 21 + 303 SM_AMIGA_1_ 3 -1 0 3 0 3 7 -1 -1 2 0 21 + 314 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 310 SM_AMIGA_5_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 297 inst_CLK_OUT_PRE 3 -1 4 2 4 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 2 2 6 -1 -1 1 0 21 + 316 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 315 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 308 CLK_CNT_0_ 3 -1 4 1 4 -1 -1 2 0 21 + 309 CLK_CNT_1_ 3 -1 4 1 4 -1 -1 1 0 21 + 301 inst_RISING_CLK_AMIGA 3 -1 2 1 1 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 6 0 2 3 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +82 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 312 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 315 3 0 30 -1 14 0 21 + 31 UDS_000 5 314 3 0 31 -1 10 0 21 + 32 AS_000 5 313 3 0 32 -1 3 0 21 + 8 IPL_030_2_ 5 311 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 + 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 + 309 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 4 3 2 4 6 -1 -1 1 0 21 + 313 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 315 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 297 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 302 inst_RISING_CLK_AMIGA 3 -1 4 1 1 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 + 81 AS_030 1 -1 -1 3 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +82 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 312 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 315 3 0 30 -1 14 0 21 + 31 UDS_000 5 314 3 0 31 -1 10 0 21 + 32 AS_000 5 313 3 0 32 -1 3 0 21 + 8 IPL_030_2_ 5 311 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 + 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 + 309 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 4 3 2 4 6 -1 -1 1 0 21 + 313 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 315 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 297 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 302 inst_RISING_CLK_AMIGA 3 -1 4 1 1 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 + 81 AS_030 1 -1 -1 3 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +82 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 312 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 315 3 0 30 -1 14 0 21 + 31 UDS_000 5 314 3 0 31 -1 10 0 21 + 32 AS_000 5 313 3 0 32 -1 3 0 21 + 8 IPL_030_2_ 5 311 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 0 5 0 2 3 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 2 4 0 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 4 0 2 5 6 -1 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 2 3 0 2 5 -1 -1 4 0 21 + 303 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 2 3 0 2 5 -1 -1 3 1 21 + 309 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 7 3 0 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 4 3 2 4 6 -1 -1 1 0 21 + 313 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 315 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 297 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 302 inst_RISING_CLK_AMIGA 3 -1 4 1 1 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 5 7 85 -1 + 81 AS_030 1 -1 -1 3 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 3 1 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 5 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 10 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 SM_AMIGA_4_ 3 -1 0 5 0 2 3 5 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 4 0 21 + 306 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 0 4 0 2 5 7 -1 -1 2 0 21 + 308 SM_AMIGA_0_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 5 3 0 3 5 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 3 0 5 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 3 0 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 3 0 5 6 -1 -1 3 0 21 + 313 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 2 0 21 + 320 RN_LDS_000 3 30 3 2 2 3 30 -1 4 0 21 + 312 CLK_CNT_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 + 318 RN_AS_000 3 32 3 2 3 5 32 -1 3 0 21 + 314 SM_AMIGA_3_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 311 CLK_CNT_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 299 inst_BGACK_030_INTreg 3 -1 0 2 0 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 4 2 0 1 -1 -1 1 0 21 + 303 CLK_REF_1_ 3 -1 7 2 1 2 -1 -1 1 0 20 + 302 CLK_REF_0_ 3 -1 4 2 1 2 -1 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 4 2 4 6 -1 -1 1 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 315 LDS_000_0 3 -1 2 1 3 -1 -1 10 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 297 inst_CLK_OUT_PRE 3 -1 1 1 6 -1 -1 4 0 21 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 301 inst_VMA_INT_D 3 -1 0 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 10 CLK_000 1 -1 -1 7 0 2 3 4 5 6 7 10 -1 + 85 RST 1 -1 -1 6 0 1 3 4 5 7 85 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 63 CLK_030 1 -1 -1 4 1 2 3 5 63 -1 + 13 CPU_SPACE 1 -1 -1 4 2 3 5 7 13 -1 + 70 RW 1 -1 -1 3 2 3 4 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 2 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 0 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 2 78 -1 + 69 SIZE_0_ 1 -1 -1 1 2 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 1 29 -1 1 0 21 + 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 + 31 UDS_000 5 319 3 0 31 -1 10 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 310 SM_AMIGA_4_ 3 -1 0 5 0 1 2 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 7 4 0 2 3 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 3 4 0 1 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 20 + 307 SM_AMIGA_0_ 3 -1 3 4 0 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 3 3 0 1 3 -1 -1 4 0 20 + 305 SM_AMIGA_7_ 3 -1 3 3 0 3 7 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 3 0 1 3 -1 -1 3 1 20 + 306 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 + 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 + 312 CLK_CNT_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 297 inst_CLK_OUT_PRE 3 -1 7 2 1 6 -1 -1 4 0 20 + 318 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 314 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 311 CLK_CNT_0_ 3 -1 7 2 1 7 -1 -1 3 0 20 + 313 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 + 303 CLK_REF_1_ 3 -1 3 2 1 7 -1 -1 1 0 20 + 302 CLK_REF_0_ 3 -1 3 2 1 7 -1 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 3 2 3 7 -1 -1 1 0 20 + 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 315 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 7 1 1 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 + 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 + 85 RST 1 -1 -1 4 0 1 3 7 85 -1 + 10 CLK_000 1 -1 -1 4 0 1 3 7 10 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 0 3 4 70 -1 + 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 0 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 0 78 -1 + 69 SIZE_0_ 1 -1 -1 1 0 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 1 29 -1 1 0 21 + 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 + 31 UDS_000 5 319 3 0 31 -1 10 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 310 SM_AMIGA_4_ 3 -1 0 5 0 1 2 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 7 4 0 2 3 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 3 4 0 1 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 4 0 1 3 7 -1 -1 3 0 20 + 307 SM_AMIGA_0_ 3 -1 3 4 0 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 3 3 0 1 3 -1 -1 4 0 20 + 305 SM_AMIGA_7_ 3 -1 3 3 0 3 7 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 3 0 1 3 -1 -1 3 1 20 + 306 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 + 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 + 312 CLK_CNT_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 297 inst_CLK_OUT_PRE 3 -1 7 2 1 6 -1 -1 4 0 20 + 318 RN_AS_000 3 32 3 2 0 3 32 -1 3 0 21 + 314 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 311 CLK_CNT_0_ 3 -1 7 2 1 7 -1 -1 3 0 20 + 313 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 + 303 CLK_REF_1_ 3 -1 3 2 1 7 -1 -1 1 0 20 + 302 CLK_REF_0_ 3 -1 3 2 1 7 -1 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 3 2 3 7 -1 -1 1 0 20 + 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 315 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 7 1 1 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 + 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 + 85 RST 1 -1 -1 4 0 1 3 7 85 -1 + 10 CLK_000 1 -1 -1 4 0 1 3 7 10 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 0 3 4 70 -1 + 63 CLK_030 1 -1 -1 3 0 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 0 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 0 78 -1 + 69 SIZE_0_ 1 -1 -1 1 0 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 10 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 3 2 3 7 -1 -1 6 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 + 300 cpu_est_2_ 3 -1 3 2 3 7 -1 -1 3 1 20 + 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 3 2 3 7 -1 -1 3 0 20 + 311 CLK_CNT_1_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 2 0 20 + 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 + 302 CLK_REF_0_ 3 -1 7 2 1 6 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 313 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_5_ 3 -1 7 1 7 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 1 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 1 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 9 0 21 + 31 UDS_000 5 306 3 0 31 -1 5 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 2 3 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 0 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 + 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 0 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 + 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 5 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 + 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 3 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 3 3 3 6 7 -1 -1 5 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 303 SM_AMIGA_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 2 1 20 + 295 inst_VPA_SYNC 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 308 3 0 30 -1 10 0 21 + 31 UDS_000 5 307 3 0 31 -1 6 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 32 AS_000 5 306 3 0 32 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 6 0 20 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 310 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 300 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 298 CLK_CNT_1_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 299 inst_CLK_000_D 3 -1 3 2 6 7 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 295 inst_VPA_SYNC 3 -1 3 1 6 -1 -1 1 0 20 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 3 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 6 0 20 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 309 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 298 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 297 inst_CLK_000_D 3 -1 3 2 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 295 inst_VPA_SYNC 3 -1 3 1 6 -1 -1 1 0 20 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 3 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 7 0 20 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 309 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 298 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 297 inst_CLK_000_D 3 -1 3 2 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 295 inst_VPA_SYNC 3 -1 3 1 6 -1 -1 1 0 20 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 3 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +74 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 7 0 20 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 309 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 297 inst_CLK_000_D 3 -1 3 1 6 -1 -1 1 0 20 + 295 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 20 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 1 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 10 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 32 AS_000 5 319 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 20 + 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 3 2 1 3 -1 -1 6 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 313 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 + 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 311 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 310 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 302 CLK_REF_0_ 3 -1 3 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 3 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +53 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 28 BG_000 5 314 3 0 28 -1 3 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 310 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 303 SM_AMIGA_7_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 299 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 312 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 314 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 296 inst_AS_000_INT 3 -1 6 1 6 -1 -1 3 0 20 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 + 308 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 307 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 + 301 CLK_REF_0_ 3 -1 3 1 1 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 3 1 3 6 85 -1 + 81 AS_030 1 -1 -1 2 3 6 81 -1 + 63 CLK_030 1 -1 -1 2 3 6 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 6 13 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 29 DTACK 1 -1 -1 1 3 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 10 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 32 AS_000 5 319 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 7 4 1 3 6 7 -1 -1 3 0 20 + 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 3 2 1 3 -1 -1 6 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 313 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 + 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 311 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 310 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 302 CLK_REF_0_ 3 -1 3 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 3 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +81 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 308 7 1 3 80 -1 3 1 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 30 LDS_000 5 311 3 0 30 -1 13 0 21 + 21 AVEC_EXP 5 313 2 0 21 -1 6 0 21 + 31 UDS_000 5 310 3 0 31 -1 5 1 21 + 32 AS_000 5 309 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 28 BG_000 5 312 3 0 28 -1 2 0 21 + 8 IPL_030_2_ 5 307 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 4 5 0 2 3 5 7 -1 -1 1 0 21 + 313 RN_AVEC_EXP 3 21 2 4 2 3 5 7 21 -1 6 0 21 + 296 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 4 0 21 + 297 SM_AMIGA_2_ 3 -1 2 4 2 3 5 7 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 5 4 0 2 5 6 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 5 3 2 3 5 -1 -1 10 0 21 + 294 cpu_est_1_ 3 -1 5 3 0 2 5 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 0 3 0 2 5 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 0 3 0 2 5 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 1 6 -1 -1 2 0 21 + 309 RN_AS_000 3 32 3 2 3 5 32 -1 3 1 21 + 305 CLK_CNT_0_ 3 -1 6 2 4 6 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 6 2 3 6 -1 -1 2 0 21 + 306 CLK_CNT_1_ 3 -1 4 2 0 6 -1 -1 1 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 0 2 1 6 -1 -1 1 0 21 + 311 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 310 RN_UDS_000 3 31 3 1 3 31 -1 5 1 21 + 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 1 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 307 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 303 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 2 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 3 0 4 5 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 2 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 6 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +81 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 308 7 1 3 80 -1 3 1 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 30 LDS_000 5 311 3 0 30 -1 13 0 21 + 21 AVEC_EXP 5 313 2 0 21 -1 6 0 21 + 31 UDS_000 5 310 3 0 31 -1 5 1 21 + 32 AS_000 5 309 3 0 32 -1 3 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 28 BG_000 5 312 3 0 28 -1 2 0 21 + 8 IPL_030_2_ 5 307 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 4 5 0 2 3 5 7 -1 -1 1 0 21 + 313 RN_AVEC_EXP 3 21 2 4 2 3 5 7 21 -1 6 0 21 + 296 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 4 0 21 + 297 SM_AMIGA_2_ 3 -1 2 4 2 3 5 7 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 5 4 0 2 5 6 -1 -1 3 0 21 + 298 inst_VMA_INT 3 -1 5 3 2 3 5 -1 -1 10 0 21 + 294 cpu_est_1_ 3 -1 5 3 0 2 5 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 0 3 0 2 5 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 0 3 0 2 5 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 1 6 -1 -1 2 0 21 + 309 RN_AS_000 3 32 3 2 3 5 32 -1 3 1 21 + 305 CLK_CNT_0_ 3 -1 6 2 4 6 -1 -1 2 0 21 + 301 inst_BGACK_030_INTreg 3 -1 6 2 3 6 -1 -1 2 0 21 + 306 CLK_CNT_1_ 3 -1 4 2 0 6 -1 -1 1 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 0 2 1 6 -1 -1 1 0 21 + 311 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 310 RN_UDS_000 3 31 3 1 3 31 -1 5 1 21 + 308 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 1 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 307 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 303 inst_VMA_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 2 5 40 162 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 4 2 3 5 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 3 0 4 5 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 2 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 6 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 85 RST 1 -1 -1 1 1 85 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 3 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 10 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 7 4 0 2 3 7 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 4 0 2 3 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 3 4 0 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 0 3 7 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 304 SM_AMIGA_1_ 3 -1 7 3 0 3 7 -1 -1 2 0 21 + 297 inst_CLK_OUT_PRE 3 -1 0 3 0 1 6 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 7 2 3 7 -1 -1 6 0 21 + 320 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 + 318 RN_AS_000 3 32 3 2 3 7 32 -1 3 0 21 + 300 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 + 311 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 + 309 CLK_CNT_0_ 3 -1 0 2 0 3 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 7 2 3 7 -1 -1 1 0 20 + 319 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 313 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 310 CLK_CNT_1_ 3 -1 3 1 0 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 7 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 7 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 0 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 0 3 7 13 -1 + 10 CLK_000 1 -1 -1 3 0 3 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 0 3 68 -1 + 63 CLK_030 1 -1 -1 2 0 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 0 78 -1 + 69 SIZE_0_ 1 -1 -1 1 0 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 4 0 21 + 30 LDS_000 5 307 3 0 30 -1 10 0 21 + 31 UDS_000 5 306 3 0 31 -1 6 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 32 AS_000 5 305 3 0 32 -1 3 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 4 0 3 6 7 -1 -1 5 0 20 + 301 inst_SM_AMIGA_ENABLE 3 -1 3 4 0 3 6 7 -1 -1 5 0 21 + 303 SM_AMIGA_1_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 + 297 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 309 RN_E 3 65 6 3 0 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 + 298 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 3 3 0 3 6 -1 -1 2 1 20 + 295 inst_VPA_SYNC 3 -1 6 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 305 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 81 AS_030 1 -1 7 2 3 6 81 -1 + 70 RW 1 -1 6 1 4 70 -1 + 288 inst_AS_030_D 8 81 7 3 3 6 7 81 189 + 258 inst_DTACK_D 8 29 3 3 0 3 6 29 159 + 283 inst_RW_D 8 70 6 1 3 70 184 + 63 CLK_030 9 -1 3 0 3 6 63 -1 + 10 CLK_000 9 -1 1 6 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 4 0 3 6 7 -1 -1 5 0 20 + 303 SM_AMIGA_1_ 3 -1 0 4 0 3 6 7 -1 -1 4 0 21 + 301 inst_SM_AMIGA_ENABLE 3 -1 3 3 0 3 6 -1 -1 5 0 21 + 309 RN_E 3 65 6 3 0 3 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 20 + 298 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 3 3 0 3 6 -1 -1 2 1 20 + 297 inst_CLK_000_D 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 6 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 + 305 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 81 AS_030 1 -1 7 2 3 6 81 -1 + 70 RW 1 -1 6 1 4 70 -1 + 288 inst_AS_030_D 8 81 7 3 3 6 7 81 189 + 258 inst_DTACK_D 8 29 3 3 0 3 6 29 159 + 283 inst_RW_D 8 70 6 1 3 70 184 + 63 CLK_030 9 -1 3 0 3 6 63 -1 + 10 CLK_000 9 -1 1 6 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 3 0 21 + 30 LDS_000 5 307 3 0 30 -1 11 0 21 + 31 UDS_000 5 306 3 0 31 -1 7 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 296 inst_VMA_INT 3 -1 0 4 0 3 6 7 -1 -1 2 1 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 301 inst_SM_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 5 0 20 + 309 RN_E 3 65 6 3 0 6 7 65 -1 4 0 21 + 303 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 0 6 7 -1 -1 4 0 20 + 298 cpu_est_2_ 3 -1 7 3 0 6 7 -1 -1 3 0 20 + 297 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 295 inst_VPA_SYNC 3 -1 3 3 0 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 7 3 0 6 7 -1 -1 1 0 20 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 307 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 81 AS_030 1 -1 7 2 0 3 81 -1 + 70 RW 1 -1 6 1 4 70 -1 + 288 inst_AS_030_D 8 81 7 3 3 6 7 81 189 + 258 inst_DTACK_D 8 29 3 2 6 7 29 159 + 283 inst_RW_D 8 70 6 1 3 70 184 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 10 CLK_000 9 -1 1 6 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 3 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 10 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 32 AS_000 5 319 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 296 inst_VMA_INT 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 308 SM_AMIGA_4_ 3 -1 1 4 1 2 3 6 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 1 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 313 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 3 2 3 6 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 297 inst_CLK_OUT_PRE 3 -1 1 1 1 -1 -1 3 1 20 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 311 CLK_CNT_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 CLK_REF_0_ 3 -1 3 1 1 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 10 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 32 AS_000 5 318 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 20 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 3 2 3 7 -1 -1 6 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 + 300 cpu_est_2_ 3 -1 3 2 3 7 -1 -1 3 1 20 + 297 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 3 2 3 7 -1 -1 3 0 20 + 311 CLK_CNT_1_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 2 0 20 + 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 299 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 + 302 CLK_REF_0_ 3 -1 7 2 1 6 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 313 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_5_ 3 -1 7 1 7 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 9 CLK_EXP 0 1 0 9 -1 4 0 21 + 32 AS_000 5 317 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 20 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 20 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 309 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_6_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 + 311 CLK_CNT_1_ 3 -1 7 3 1 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 + 310 CLK_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 + 304 SM_AMIGA_7_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 302 CLK_REF_1_ 3 -1 3 3 1 6 7 -1 -1 1 0 20 + 301 CLK_REF_0_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 + 320 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 313 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 20 + 312 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 307 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 298 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 20 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 20 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 297 inst_CLK_000_D 3 -1 7 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 312 3 0 30 -1 13 0 21 + 31 UDS_000 5 311 3 0 31 -1 9 0 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 0 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 308 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 300 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 312 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 311 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 SM_AMIGA_LAST_1_ 3 -1 3 1 0 -1 -1 1 0 20 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 298 inst_DTACK_SYNC_D 3 -1 6 1 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 1 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 0 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 4 0 3 6 7 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 312 3 0 30 -1 13 0 21 + 31 UDS_000 5 311 3 0 31 -1 9 0 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 0 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 307 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 308 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 304 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 300 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 312 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 311 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 SM_AMIGA_LAST_1_ 3 -1 3 1 0 -1 -1 1 0 20 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 298 inst_DTACK_SYNC_D 3 -1 6 1 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 1 6 -1 -1 1 0 21 + 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 6 1 0 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 3 3 6 7 63 -1 + 60 CLK_OSZI 9 -1 1 0 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +79 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 309 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 312 3 0 30 -1 13 0 21 + 31 UDS_000 5 311 3 0 31 -1 9 0 21 + 65 E 5 314 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 315 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 310 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 313 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 0 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 304 inst_AS_AMIGA_ENABLE 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_0_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 315 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 300 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 297 inst_DTACK_SYNC 3 -1 7 2 6 7 -1 -1 2 0 21 + 298 inst_DTACK_SYNC_D 3 -1 6 2 6 7 -1 -1 1 0 20 + 312 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 311 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 314 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 309 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 313 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 306 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 SM_AMIGA_LAST_1_ 3 -1 6 1 7 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 299 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 296 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 SM_AMIGA_LAST_0_ 3 -1 3 1 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 2 3 6 63 -1 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 21 + 31 UDS_000 5 309 3 0 31 -1 9 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 0 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 2 0 21 + 299 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 297 inst_DTACK_SYNC_D 3 -1 6 1 7 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 63 CLK_030 9 -1 3 3 6 7 63 -1 + 10 CLK_000 9 -1 2 3 6 10 -1 + 60 CLK_OSZI 9 -1 1 6 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +77 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 307 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 310 3 0 30 -1 13 0 21 + 31 UDS_000 5 309 3 0 31 -1 9 0 21 + 65 E 5 312 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 313 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 308 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 311 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 305 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 306 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 313 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 308 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 302 inst_AS_AMIGA_ENABLE 3 -1 3 2 3 7 -1 -1 2 0 20 + 301 inst_DTACK_SYNC 3 -1 7 2 6 7 -1 -1 2 0 20 + 298 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 296 inst_DTACK_SYNC_D 3 -1 6 2 3 7 -1 -1 1 0 20 + 310 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 309 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 312 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 307 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 304 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 20 + 297 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 2 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +76 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 305 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 308 3 0 30 -1 13 0 21 + 31 UDS_000 5 307 3 0 31 -1 9 0 21 + 65 E 5 310 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 311 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 306 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 309 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 303 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 300 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 311 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 306 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 308 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 307 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 310 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 305 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 302 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 7 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 63 CLK_030 9 -1 1 3 63 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 7 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 305 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +75 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 304 7 1 3 80 -1 2 0 21 + 32 AS_000 5 305 3 1 7 32 -1 2 0 21 + 30 LDS_000 5 307 3 0 30 -1 13 0 21 + 31 UDS_000 5 306 3 0 31 -1 9 0 21 + 65 E 5 309 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 310 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 5 308 7 0 82 -1 1 0 20 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 29 DTACK 5 -1 3 0 29 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 20 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 8 IPL_030_2_ 0 1 0 8 -1 1 0 21 + 7 IPL_030_0_ 0 1 0 7 -1 1 0 21 + 6 IPL_030_1_ 0 1 0 6 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 302 SM_AMIGA_0_ 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_1_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 301 inst_AS_AMIGA_ENABLE 3 -1 7 2 3 7 -1 -1 3 0 21 + 310 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 305 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 1 20 + 307 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 306 RN_UDS_000 3 31 3 1 3 31 -1 9 0 21 + 309 RN_E 3 65 6 1 6 65 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 304 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 308 RN_BGACK_030 3 82 7 1 3 82 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 21 + 296 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 1 0 20 + 295 inst_AS_000_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 258 inst_DTACK_SYNC 8 29 3 1 6 29 159 + 10 CLK_000 9 -1 2 3 7 10 -1 + 63 CLK_030 9 -1 1 3 63 -1 + 60 CLK_OSZI 9 -1 0 60 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 85 RST 1 -1 -1 2 1 6 85 -1 + 81 AS_030 1 -1 -1 2 3 6 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 40 VPA 1 -1 -1 1 6 40 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 10 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 32 AS_000 5 316 3 0 32 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 1 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 3 4 1 2 3 7 -1 -1 2 0 21 + 296 inst_VMA_INT 3 -1 3 3 3 6 7 -1 -1 6 0 21 + 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 3 3 1 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 304 SM_AMIGA_1_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 + 297 inst_CLK_000_D 3 -1 3 3 1 3 7 -1 -1 1 0 20 + 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 322 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 1 21 + 311 SM_AMIGA_5_ 3 -1 7 2 6 7 -1 -1 2 0 21 + 310 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 309 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 298 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_REF_0_ 3 -1 3 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 313 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 + 81 AS_030 1 -1 -1 3 1 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 63 CLK_030 1 -1 -1 3 1 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 1 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 1 78 -1 + 69 SIZE_0_ 1 -1 -1 1 1 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 10 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 4 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 9 CLK_EXP 0 1 0 9 -1 4 0 21 + 32 AS_000 5 319 3 0 32 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 20 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 20 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 1 4 1 2 3 6 -1 -1 4 0 20 + 303 SM_AMIGA_6_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 297 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 296 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 + 310 CLK_CNT_1_ 3 -1 7 3 1 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 20 + 309 CLK_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 + 304 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 302 CLK_REF_1_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 + 301 CLK_REF_0_ 3 -1 3 3 1 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 20 + 313 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 298 inst_BGACK_030_INTreg 3 -1 7 2 3 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 314 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 20 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 20 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 20 + 300 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 313 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 31 UDS_000 5 315 3 0 31 -1 10 0 21 + 30 LDS_000 5 316 3 0 30 -1 4 0 21 + 32 AS_000 5 314 3 0 32 -1 3 0 21 + 28 BG_000 5 317 3 0 28 -1 3 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 7 4 2 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 7 4 2 3 6 7 -1 -1 2 0 21 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 304 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 297 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 296 inst_VMA_INT 3 -1 7 2 3 7 -1 -1 6 0 21 + 316 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 7 -1 -1 4 0 20 + 314 RN_AS_000 3 32 3 2 3 7 32 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 7 -1 -1 3 0 20 + 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 3 7 -1 -1 2 0 21 + 298 inst_BGACK_030_INTreg 3 -1 1 2 1 3 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 315 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 317 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 7 1 7 -1 -1 3 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 1 1 -1 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 63 CLK_030 1 -1 -1 2 3 6 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +84 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 311 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 314 3 0 30 -1 14 0 21 + 31 UDS_000 5 313 3 0 31 -1 10 0 21 + 32 AS_000 5 312 3 0 32 -1 3 0 21 + 28 BG_000 5 315 3 0 28 -1 3 0 21 + 64 CLK_DIV_OUT 5 318 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 310 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 82 BGACK_030 0 7 0 82 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 3 4 2 3 6 7 -1 -1 2 0 21 + 305 SM_AMIGA_4_ 3 -1 6 3 2 3 6 -1 -1 4 0 20 + 301 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 + 302 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 304 SM_AMIGA_1_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 297 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 RN_AS_000 3 32 3 2 3 6 32 -1 3 0 21 + 308 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 318 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 7 2 6 7 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 314 RN_LDS_000 3 30 3 1 3 30 -1 14 0 21 + 313 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 315 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 311 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 310 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 1 1 -1 -1 1 0 21 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +86 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 312 7 1 3 80 -1 3 0 21 + 31 UDS_000 5 314 3 0 31 -1 10 0 21 + 30 LDS_000 5 315 3 0 30 -1 4 0 21 + 32 AS_000 5 313 3 0 32 -1 3 0 21 + 28 BG_000 5 316 3 0 28 -1 3 0 21 + 82 BGACK_030 5 317 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 320 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 311 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 4 2 3 6 7 -1 -1 4 0 21 + 301 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 + 297 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 296 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 6 0 21 + 315 RN_LDS_000 3 30 3 2 3 7 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 302 SM_AMIGA_7_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 320 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 317 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_1_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 314 RN_UDS_000 3 31 3 1 3 31 -1 10 0 21 + 310 LDS_000_0 3 -1 7 1 3 -1 -1 10 0 21 + 316 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 RN_AS_000 3 32 3 1 3 32 -1 3 0 21 + 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 299 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 68 A_0_ 1 -1 -1 2 3 7 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 7 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 7 78 -1 + 69 SIZE_0_ 1 -1 -1 1 7 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 3 6 29 -1 1 0 21 + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 1 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_0_ 3 -1 7 4 1 2 3 7 -1 -1 2 0 21 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 309 SM_AMIGA_5_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_1_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 3 2 3 6 -1 -1 6 0 21 + 318 RN_LDS_000 3 30 3 2 3 7 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 310 SM_AMIGA_3_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 7 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 1 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 68 A_0_ 1 -1 -1 2 3 7 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 7 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 7 78 -1 + 69 SIZE_0_ 1 -1 -1 1 7 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 1 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 7 5 1 2 3 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_1_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 1 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 7 3 1 6 7 -1 -1 3 1 20 + 295 cpu_est_3_ 3 -1 1 3 1 6 7 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 7 -1 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 1 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 3 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 + 302 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 1 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 1 78 -1 + 69 SIZE_0_ 1 -1 -1 1 1 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 308 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 308 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 308 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 306 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 308 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 306 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 6 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 6 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 4 1 3 4 7 70 -1 + 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 1 3 68 -1 + 63 CLK_030 1 -1 -1 2 1 3 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 1 78 -1 + 69 SIZE_0_ 1 -1 -1 1 1 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 6 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 302 SM_AMIGA_6_ 3 -1 6 4 1 2 3 6 -1 -1 3 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 6 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 4 1 3 4 7 70 -1 + 13 CPU_SPACE 1 -1 -1 4 1 3 6 7 13 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 1 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 1 78 -1 + 69 SIZE_0_ 1 -1 -1 1 1 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 6 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 314 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 313 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 5 1 2 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 302 SM_AMIGA_6_ 3 -1 6 3 2 3 6 -1 -1 3 0 20 + 308 SM_AMIGA_2_ 3 -1 7 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 318 RN_LDS_000 3 30 3 2 3 6 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 6 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 6 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 313 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_3_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_2_ 3 -1 3 3 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 7 3 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 6 0 20 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 + 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 + 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 + 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 1 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_1_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 6 0 20 + 302 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 318 RN_LDS_000 3 30 3 2 1 3 30 -1 4 1 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 4 1 3 4 7 70 -1 + 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 1 3 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 1 78 -1 + 69 SIZE_0_ 1 -1 -1 1 1 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 12 0 21 + 32 AS_000 5 316 3 0 32 -1 4 0 21 + 30 LDS_000 5 318 3 0 30 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 1 5 1 2 3 6 7 -1 -1 4 0 21 + 304 SM_AMIGA_0_ 3 -1 3 5 1 2 3 6 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 20 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 7 3 1 3 7 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 3 1 6 7 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 3 1 6 7 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 1 0 20 + 316 RN_AS_000 3 32 3 2 3 7 32 -1 4 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 12 0 21 + 311 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +87 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 312 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 315 3 0 30 -1 8 0 21 + 31 UDS_000 5 314 3 0 31 -1 4 0 21 + 28 BG_000 5 316 3 0 28 -1 3 0 21 + 82 BGACK_030 5 317 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 318 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 313 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 311 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 306 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 317 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 313 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_1_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 315 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 314 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 316 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 312 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 311 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 307 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 311 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +90 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 13 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 311 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 inst_CLK_000_DDD 3 -1 6 1 1 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +91 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 13 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 5 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 1 3 5 6 -1 -1 1 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 5 3 3 5 6 -1 -1 3 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 294 cpu_est_1_ 3 -1 6 2 5 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 2 5 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 5 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 5 6 -1 -1 3 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 + 313 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 309 inst_CLK_000_DDDD 3 -1 6 1 1 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 inst_CLK_000_DDD 3 -1 0 1 6 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 5 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 5 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 6 29 -1 1 0 21 + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 321 3 0 31 -1 13 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 0 6 0 1 2 3 5 6 -1 -1 4 0 21 + 298 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 4 0 3 5 6 -1 -1 4 0 21 + 301 cpu_est_2_ 3 -1 6 4 0 3 5 6 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 5 4 0 3 5 6 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 3 4 0 3 5 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 4 0 3 5 6 -1 -1 3 0 20 + 299 SM_AMIGA_1_ 3 -1 6 4 0 1 6 7 -1 -1 2 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 0 2 3 -1 -1 3 0 21 + 313 SM_AMIGA_2_ 3 -1 6 3 0 2 6 -1 -1 2 0 20 + 311 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 inst_CLK_000_DDDDD 3 -1 1 1 6 -1 -1 1 0 20 + 309 inst_CLK_000_DDDD 3 -1 1 1 1 -1 -1 1 0 20 + 306 SM_AMIGA_7_ 3 -1 0 1 3 -1 -1 1 0 21 + 304 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 6 1 1 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 5 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 + 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +90 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 13 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 314 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 304 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 313 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 308 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 299 inst_CLK_000_DDD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +91 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 13 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 313 SM_AMIGA_2_ 3 -1 1 3 1 2 6 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 inst_CLK_000_DDD 3 -1 0 1 1 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 + 299 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 + 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 4 3 5 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 5 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 321 3 0 31 -1 13 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 5 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 + 314 SM_AMIGA_2_ 3 -1 0 4 0 1 2 5 -1 -1 2 0 21 + 312 SM_AMIGA_5_ 3 -1 3 4 1 3 5 6 -1 -1 2 0 21 + 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 2 3 5 -1 -1 3 0 21 + 309 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 inst_CLK_000_DDDD 3 -1 6 1 1 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 5 1 3 -1 -1 1 0 21 + 304 inst_CLK_000_DDD 3 -1 0 1 6 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 + 299 inst_CLK_000_DDDDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 321 3 0 31 -1 13 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 5 1 2 3 5 6 -1 -1 4 0 20 + 314 SM_AMIGA_2_ 3 -1 0 4 0 1 2 5 -1 -1 2 0 21 + 312 SM_AMIGA_5_ 3 -1 3 4 1 3 5 6 -1 -1 2 0 21 + 313 SM_AMIGA_3_ 3 -1 6 3 0 5 6 -1 -1 3 0 20 + 306 SM_AMIGA_6_ 3 -1 3 3 2 3 5 -1 -1 3 0 21 + 300 SM_AMIGA_1_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 311 inst_CLK_000_DDDD 3 -1 6 1 1 -1 -1 1 0 21 + 307 SM_AMIGA_7_ 3 -1 5 1 3 -1 -1 1 0 21 + 305 inst_CLK_000_DDD 3 -1 0 1 6 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 301 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 + 299 inst_CLK_000_DDDDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 + 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 311 SM_AMIGA_0_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 1 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 295 cpu_est_3_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 + 296 inst_AS_030_INT 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 13 CPU_SPACE 1 -1 -1 3 1 3 7 13 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 316 3 0 31 -1 13 0 21 + 30 LDS_000 5 317 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 315 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 + 300 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 + 307 SM_AMIGA_1_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 310 SM_AMIGA_3_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 304 inst_AS_000_START 3 -1 6 2 3 6 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 297 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_2_ 3 -1 7 2 2 7 -1 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 298 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 315 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 311 SM_AMIGA_0_ 3 -1 3 3 1 2 3 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 3 1 2 3 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 3 3 1 3 7 -1 -1 2 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 2 3 -1 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 315 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 303 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 1 6 -1 -1 3 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 inst_AS_000_START 3 -1 3 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 316 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 315 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 + 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 316 3 0 31 -1 13 0 21 + 30 LDS_000 5 317 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 315 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 + 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 316 3 0 31 -1 13 0 21 + 30 LDS_000 5 317 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 315 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 + 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 316 3 0 31 -1 13 0 21 + 30 LDS_000 5 317 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 315 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 317 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 + 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +89 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 314 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 316 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 315 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 313 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 2 3 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 7 3 2 3 7 -1 -1 4 0 21 + 295 cpu_est_3_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 311 SM_AMIGA_0_ 3 -1 7 3 1 2 7 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 2 3 7 -1 -1 4 0 20 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 7 2 3 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 2 3 7 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 316 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 309 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 3 0 21 + 305 inst_AS_000_START 3 -1 7 1 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 314 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 302 SM_AMIGA_6_ 3 -1 1 4 1 2 3 6 -1 -1 3 0 21 + 310 SM_AMIGA_2_ 3 -1 1 3 1 2 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 306 SM_AMIGA_1_ 3 -1 1 3 1 6 7 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 295 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 303 SM_AMIGA_7_ 3 -1 6 2 1 3 -1 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 2 3 6 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 13 CPU_SPACE 1 -1 -1 4 1 3 6 7 13 -1 + 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 + 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 302 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 20 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +88 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 13 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 313 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 312 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 65 E 0 6 0 65 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 306 SM_AMIGA_4_ 3 -1 3 4 1 2 3 6 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 3 2 3 6 -1 -1 3 0 21 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 309 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 295 cpu_est_3_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 2 6 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 297 inst_VMA_INT 3 -1 3 1 3 -1 -1 3 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 312 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 313 SM_AMIGA_2_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 300 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 308 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 2 1 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 323 RN_E 3 65 6 1 6 65 -1 4 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 6 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 13 0 21 + 65 E 5 327 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 314 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 316 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 322 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 327 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 299 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 13 0 21 + 65 E 5 327 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 314 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 311 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 322 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 327 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 299 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 13 0 21 + 65 E 5 324 6 0 65 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 + 311 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 3 0 21 + 302 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 299 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 + 324 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 309 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 + 306 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 321 7 1 3 80 -1 4 0 21 + 31 UDS_000 5 323 3 0 31 -1 13 0 21 + 65 E 5 328 6 0 65 -1 4 0 21 + 30 LDS_000 5 324 3 0 30 -1 4 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 322 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 310 SM_AMIGA_4_ 3 -1 0 6 0 1 2 3 5 6 -1 -1 4 0 21 + 298 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 + 297 inst_VMA_INT 3 -1 6 4 0 3 5 6 -1 -1 3 0 20 + 307 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 315 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 313 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 311 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 5 6 -1 -1 1 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 314 SM_AMIGA_3_ 3 -1 5 2 1 5 -1 -1 3 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 317 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 323 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 328 RN_E 3 65 6 1 6 65 -1 4 0 21 + 324 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 308 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 306 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 299 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 + 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 + 10 CLK_000 9 -1 1 6 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 13 0 21 + 65 E 5 324 6 0 65 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 + 310 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 304 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 309 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 + 324 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 300 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 312 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 319 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 + 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 323 RN_E 3 65 6 1 6 65 -1 4 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 6 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 304 SM_AMIGA_6_ 3 -1 3 4 1 2 3 7 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 7 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 313 SM_AMIGA_2_ 3 -1 6 3 1 2 6 -1 -1 2 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 300 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 308 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 2 3 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 2 1 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 299 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 323 RN_E 3 65 6 1 6 65 -1 4 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 6 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 321 3 0 31 -1 13 0 21 + 65 E 5 326 6 0 65 -1 4 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 315 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 2 1 6 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 326 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 323 RN_E 3 65 6 1 6 65 -1 4 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 6 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 5 1 2 3 6 7 -1 -1 4 0 20 + 311 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 304 SM_AMIGA_6_ 3 -1 3 3 2 3 7 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 3 3 1 3 6 -1 -1 3 0 20 + 312 SM_AMIGA_2_ 3 -1 6 3 2 6 7 -1 -1 2 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 300 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 299 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 309 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 + 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 323 RN_E 3 65 6 1 6 65 -1 4 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 6 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 13 0 21 + 65 E 5 327 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 5 0 1 2 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 306 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 313 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 314 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 316 SM_AMIGA_0_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 327 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 299 inst_CLK_000_DDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 13 0 21 + 65 E 5 323 6 0 65 -1 4 0 21 + 30 LDS_000 5 319 3 0 30 -1 4 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 2 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 2 3 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 13 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 308 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 6 0 21 + 65 E 5 325 6 0 65 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 5 324 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 325 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 301 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 302 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 324 RN_FPU_CS 3 77 7 2 2 3 77 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 6 0 21 + 65 E 5 325 6 0 65 -1 4 0 21 + 30 LDS_000 5 320 3 0 30 -1 4 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 5 324 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 325 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 301 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 302 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 324 RN_FPU_CS 3 77 7 2 2 3 77 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 6 0 21 + 65 E 5 326 6 0 65 -1 4 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 5 325 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 + 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 2 1 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 326 RN_E 3 65 6 1 6 65 -1 4 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 1 3 77 -1 1 0 21 + 315 AVEC_EXP_0 3 -1 3 1 2 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 6 0 21 + 65 E 5 326 6 0 65 -1 4 0 21 + 30 LDS_000 5 321 3 0 30 -1 4 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 77 FPU_CS 5 325 7 0 77 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 + 297 inst_VMA_INT 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 301 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 309 cpu_est_d_2_ 3 -1 6 2 1 6 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 6 0 21 + 326 RN_E 3 65 6 1 6 65 -1 4 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 296 inst_AS_030_INT 3 -1 3 1 3 -1 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 1 3 77 -1 1 0 21 + 315 AVEC_EXP_0 3 -1 3 1 2 -1 -1 1 0 21 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 inst_VMA_INT_D 3 -1 1 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 3 63 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 327 6 0 65 -1 4 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 311 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 7 -1 -1 3 0 21 + 296 inst_AS_030_INT 3 -1 7 2 3 7 -1 -1 4 0 21 + 312 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_FPU_CS 3 77 7 2 6 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 307 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 7 1 3 -1 -1 3 1 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 315 AVEC_EXP_0 3 -1 6 1 2 -1 -1 1 0 21 + 310 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 + 306 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 323 3 0 31 -1 11 0 21 + 30 LDS_000 5 324 3 0 30 -1 9 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 322 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 296 inst_AS_030_INT 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 314 SM_AMIGA_5_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 1 3 1 3 6 -1 -1 1 0 20 + 330 RN_E 3 65 6 2 3 6 65 -1 4 0 21 + 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 301 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 299 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 306 SM_AMIGA_7_ 3 -1 6 2 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 318 N_32 3 -1 3 1 3 -1 -1 4 1 21 + 313 CLK_WATCH_3_ 3 -1 1 1 1 -1 -1 4 0 20 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 312 CLK_WATCH_2_ 3 -1 1 1 1 -1 -1 3 0 20 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 311 CLK_WATCH_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 AVEC_EXP_0 3 -1 7 1 2 -1 -1 1 0 21 + 310 CLK_WATCH_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 309 cpu_est_d_2_ 3 -1 3 1 6 -1 -1 1 0 20 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 321 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 323 3 0 31 -1 11 0 21 + 30 LDS_000 5 324 3 0 30 -1 9 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 322 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 + 314 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 329 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 313 CLK_WATCH_3_ 3 -1 7 2 1 7 -1 -1 4 0 20 + 300 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_INT 3 -1 7 2 3 7 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 CLK_WATCH_2_ 3 -1 7 2 1 7 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 311 CLK_WATCH_1_ 3 -1 7 2 1 7 -1 -1 2 0 20 + 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 310 CLK_WATCH_0_ 3 -1 7 2 1 7 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 299 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 328 RN_FPU_CS 3 77 7 1 7 77 -1 2 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 N_63_i 3 -1 3 1 3 -1 -1 2 0 21 + 319 AVEC_EXP_0 3 -1 7 1 2 -1 -1 1 0 21 + 309 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 305 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 7 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 7 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 2 3 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +100 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 325 3 0 31 -1 11 0 21 + 30 LDS_000 5 326 3 0 30 -1 9 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 327 3 0 28 -1 3 0 21 + 82 BGACK_030 5 328 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 324 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 322 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 + 310 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 + 319 SM_AMIGA_1_ 3 -1 1 3 0 1 7 -1 -1 2 0 21 + 318 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 2 0 20 + 316 SM_AMIGA_5_ 3 -1 3 3 0 3 6 -1 -1 2 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_INT 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 3 0 20 + 307 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 330 RN_FPU_CS 3 77 7 2 1 7 77 -1 2 0 21 + 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 324 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 306 inst_CLK_000_DDD 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 325 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 326 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 315 CLK_WATCH_3_ 3 -1 1 1 1 -1 -1 4 0 20 + 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 CLK_WATCH_2_ 3 -1 1 1 1 -1 -1 3 0 20 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 329 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 320 N_62_i 3 -1 3 1 3 -1 -1 2 0 21 + 313 CLK_WATCH_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 299 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 321 AVEC_EXP_0 3 -1 1 1 2 -1 -1 1 0 21 + 312 CLK_WATCH_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 311 cpu_est_d_2_ 3 -1 1 1 6 -1 -1 1 0 20 + 308 SM_AMIGA_7_ 3 -1 0 1 3 -1 -1 1 0 21 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 6 1 1 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 1 1 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 1 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 328 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 327 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 315 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 311 cpu_est_d_2_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 inst_CLK_000_DDD 3 -1 1 2 1 6 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 328 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 301 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 5 6 29 -1 1 0 21 + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 31 UDS_000 5 323 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 30 LDS_000 5 324 3 0 30 -1 4 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 322 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 310 SM_AMIGA_4_ 3 -1 6 5 0 1 3 5 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 311 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_3_ 3 -1 5 2 1 5 -1 -1 3 0 21 + 307 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 322 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 313 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 324 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 308 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 306 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 299 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 328 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 327 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 328 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 313 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 316 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 314 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 299 inst_CLK_000_DDD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 310 SM_AMIGA_4_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 + 298 inst_CLK_000_D 3 -1 1 4 0 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 312 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 307 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 313 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 311 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 308 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 306 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 299 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 1 4 0 1 3 6 -1 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 + 315 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 313 SM_AMIGA_5_ 3 -1 3 3 0 3 6 -1 -1 2 0 21 + 303 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 304 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_6_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 305 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 312 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 0 1 3 -1 -1 1 0 21 + 307 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 301 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 6 7 -1 -1 4 0 20 + 300 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 + 313 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_6_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 309 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 + 303 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 7 1 3 -1 -1 3 1 21 + 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 inst_CLK_000_DDD 3 -1 6 1 6 -1 -1 1 0 21 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 21 + 300 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 3 0 21 + 313 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 308 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 299 CLK_CNT_1_ 3 -1 1 2 1 6 -1 -1 2 0 20 + 312 cpu_est_d_2_ 3 -1 6 2 0 1 -1 -1 1 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 303 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 1 7 -1 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 1 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 0 1 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 2 0 1 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 315 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 317 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 307 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 1 3 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 1 4 0 1 3 6 -1 -1 4 0 21 + 300 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 0 3 0 1 3 -1 -1 3 0 21 + 313 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 308 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 299 CLK_CNT_1_ 3 -1 1 2 1 6 -1 -1 2 0 20 + 312 cpu_est_d_2_ 3 -1 6 2 0 1 -1 -1 1 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 303 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 1 7 -1 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 1 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 0 1 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 2 0 1 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 315 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 317 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 307 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 1 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 1 3 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 6 7 -1 -1 4 0 20 + 300 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 + 313 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_6_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 309 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 + 303 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 7 1 3 -1 -1 3 1 21 + 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 inst_CLK_000_DDD 3 -1 6 1 6 -1 -1 1 0 21 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 6 7 -1 -1 4 0 20 + 300 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 + 313 SM_AMIGA_5_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_6_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 312 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 309 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 + 303 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 6 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 7 1 3 -1 -1 3 1 21 + 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 307 inst_CLK_000_DDD 3 -1 6 1 6 -1 -1 1 0 21 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 3 6 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 5 6 29 -1 1 0 21 + 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 + 31 UDS_000 5 323 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 325 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 6 5 0 1 3 5 6 -1 -1 4 0 20 + 300 inst_CLK_000_D 3 -1 3 5 0 1 3 5 6 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 0 4 0 3 5 6 -1 -1 3 0 21 + 314 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 313 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 330 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 5 2 1 5 -1 -1 3 0 21 + 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 307 inst_CLK_000_DDD 3 -1 1 1 6 -1 -1 1 0 20 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 303 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 301 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 5 6 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 323 3 0 30 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 3 5 0 3 5 6 7 -1 -1 1 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 4 0 3 6 7 -1 -1 4 0 21 + 311 SM_AMIGA_4_ 3 -1 6 3 3 5 6 -1 -1 4 0 20 + 308 SM_AMIGA_6_ 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 5 3 3 5 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 305 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 3 5 32 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 7 2 6 7 -1 -1 2 0 21 + 313 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 299 CLK_CNT_1_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 312 cpu_est_d_2_ 3 -1 1 2 5 6 -1 -1 1 0 20 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 309 SM_AMIGA_7_ 3 -1 6 2 0 3 -1 -1 1 0 20 + 303 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 298 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 7 2 5 6 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 330 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 307 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 302 inst_CLK_000_DD 3 -1 3 1 1 -1 -1 1 0 20 + 301 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 5 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 5 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 29 DTACK 5 -1 3 2 0 5 29 -1 1 0 21 + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 31 UDS_000 5 323 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 30 LDS_000 5 324 3 0 30 -1 4 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 310 SM_AMIGA_4_ 3 -1 0 5 0 3 5 6 7 -1 -1 4 0 21 + 298 inst_CLK_000_D 3 -1 3 5 0 3 5 6 7 -1 -1 1 0 20 + 297 inst_VMA_INT 3 -1 6 4 0 3 5 6 -1 -1 3 0 20 + 313 SM_AMIGA_5_ 3 -1 3 3 0 3 7 -1 -1 2 0 21 + 312 cpu_est_d_2_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 3 0 5 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 3 0 5 6 -1 -1 1 0 21 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 307 SM_AMIGA_6_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 324 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 7 1 3 -1 -1 3 1 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_1_ 3 -1 7 1 7 -1 -1 2 0 21 + 316 SM_AMIGA_2_ 3 -1 7 1 7 -1 -1 2 0 21 + 314 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 308 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 + 306 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 304 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 7 1 1 -1 -1 1 0 20 + 299 inst_CLK_000_DDDD 3 -1 1 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 5 6 40 162 + 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 + 10 CLK_000 9 -1 2 1 3 10 -1 + 85 RST 1 -1 -1 6 0 1 3 5 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 327 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 6 7 65 -1 3 0 21 + 308 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 2 5 6 -1 -1 3 1 21 + 302 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 316 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 312 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_d_2_ 3 -1 5 2 0 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 7 2 0 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 7 2 0 6 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 313 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 309 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 307 inst_CLK_000_DDD 3 -1 3 1 6 -1 -1 1 0 20 + 306 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 305 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 7 1 3 -1 -1 1 0 20 + 299 inst_CLK_000_DDDD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 5 1 3 5 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 4 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 3 4 0 1 3 6 -1 -1 1 0 20 + 311 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 297 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 313 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 312 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 309 SM_AMIGA_7_ 3 -1 6 2 1 3 -1 -1 1 0 20 + 302 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 299 inst_CLK_000_DDD 3 -1 7 2 3 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 1 6 65 -1 3 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 314 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 305 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 303 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 315 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 306 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 301 inst_CLK_000_DD 3 -1 3 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DDDD 3 -1 3 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 + 296 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 297 inst_CLK_000_D 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 325 RN_E 3 65 6 2 0 6 65 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 298 inst_CLK_000_DDD 3 -1 1 2 6 7 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 6 1 1 -1 -1 1 0 21 + 299 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 297 inst_CLK_000_D 3 -1 6 4 0 1 3 6 -1 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 4 0 20 + 296 inst_VMA_INT 3 -1 0 3 0 3 6 -1 -1 3 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 325 RN_E 3 65 6 2 0 6 65 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 1 0 20 + 298 inst_CLK_000_DDD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 306 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 + 296 inst_VMA_INT 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 2 1 6 65 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 299 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 308 inst_CLK_000_DDD 3 -1 7 1 7 -1 -1 2 0 21 + 307 inst_CLK_000_DD 3 -1 7 1 7 -1 -1 2 0 21 + 297 inst_CLK_000_DDDD 3 -1 7 1 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 1 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +90 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 313 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 315 3 0 31 -1 11 0 21 + 30 LDS_000 5 316 3 0 30 -1 4 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 318 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 314 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 312 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 306 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 + 296 inst_VMA_INT 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 2 1 6 65 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 314 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 315 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 316 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 318 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 311 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 312 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 299 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 297 inst_CLK_000_DD 3 -1 7 1 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 1 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 + 296 inst_VMA_INT 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 300 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 297 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 2 1 6 65 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 inst_CLK_000_DDDD 3 -1 7 2 1 7 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_EXP 3 9 1 1 1 9 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 299 inst_CLK_000_DDD 3 -1 7 1 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 297 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 300 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 299 inst_CLK_000_DDDD 3 -1 1 2 1 7 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 1 2 1 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 310 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 3 1 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_EXP 3 9 1 1 1 9 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 308 inst_CLK_000_DDD 3 -1 1 1 1 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 319 3 0 31 -1 11 0 21 + 30 LDS_000 5 320 3 0 30 -1 9 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 9 CLK_EXP 5 324 1 0 9 -1 2 1 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 313 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_CLK_EXP 3 9 1 2 1 7 9 -1 2 1 21 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 6 2 3 6 -1 -1 1 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 N_61_i 3 -1 3 1 3 -1 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 9 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 313 N_28_0 3 -1 3 1 3 -1 -1 3 1 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 297 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 + 309 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 + 308 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 304 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 + 309 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 4 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 309 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 + 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 + 308 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 297 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 304 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 3 1 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 9 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 309 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 3 1 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 306 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 N_62_i 3 -1 3 1 3 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 30 LDS_000 5 318 3 0 30 -1 9 0 21 + 9 CLK_EXP 5 322 1 0 9 -1 4 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 20 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 20 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 20 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 21 + 322 RN_CLK_EXP 3 9 1 2 1 7 9 -1 4 0 21 + 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 2 1 6 65 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 1 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 312 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 + 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 310 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 20 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 20 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 20 + 313 N_72_i 3 -1 3 1 3 -1 -1 2 0 21 + 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 + 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 314 N_36 3 -1 3 1 3 -1 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 + 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 N_73_i 3 -1 3 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 + 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 314 N_36 3 -1 3 1 3 -1 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 6 3 1 3 6 -1 -1 1 0 21 + 299 CLK_WATCH_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 + 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 300 CLK_WATCH_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 298 CLK_WATCH_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 297 CLK_WATCH_0_ 3 -1 1 2 1 7 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 314 N_36 3 -1 3 1 3 -1 -1 4 1 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 2 1 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 308 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 298 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 N_69_i 3 -1 3 1 3 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 307 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 298 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 309 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 N_178_i 3 -1 3 1 3 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 N_66_i 3 -1 3 1 3 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 N_69_i 3 -1 3 1 3 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 30 LDS_000 5 319 3 0 30 -1 9 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 N_66_i 3 -1 3 1 3 -1 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 13 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 297 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 326 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 309 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_E 3 65 6 1 6 65 -1 3 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 314 N_70_i 3 -1 3 1 3 -1 -1 1 0 21 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +92 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 318 3 0 30 -1 8 0 21 + 31 UDS_000 5 317 3 0 31 -1 5 0 21 + 65 E 5 322 6 0 65 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 321 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 4 0 21 + 307 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 325 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 321 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 300 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 2 6 7 -1 -1 1 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 317 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 310 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 311 SM_AMIGA_4_ 3 -1 6 1 6 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 322 RN_E 3 65 6 1 6 65 -1 3 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 323 3 0 30 -1 8 0 21 + 31 UDS_000 5 322 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 + 314 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 316 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 323 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 315 N_41_0 3 -1 6 1 3 -1 -1 7 1 21 + 310 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 1 6 65 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 308 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 68 A_0_ 1 -1 -1 1 6 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 323 3 0 30 -1 10 0 21 + 31 UDS_000 5 322 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 N_188 3 -1 3 1 3 -1 -1 4 0 21 + 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 1 6 65 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 323 3 0 30 -1 10 0 21 + 31 UDS_000 5 322 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 307 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 N_37 3 -1 3 1 3 -1 -1 4 0 21 + 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 1 6 65 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 306 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 303 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 323 3 0 30 -1 10 0 21 + 31 UDS_000 5 322 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 307 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 293 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 323 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 N_37 3 -1 3 1 3 -1 -1 4 0 21 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 1 6 65 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 312 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 10 0 21 + 31 UDS_000 5 320 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 N_192 3 -1 3 1 3 -1 -1 4 0 21 + 307 SM_AMIGA_4_ 3 -1 3 1 3 -1 -1 4 0 21 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 1 6 65 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_2_ 3 -1 3 1 3 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 306 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 30 LDS_000 5 323 3 0 30 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 330 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 + 306 SM_AMIGA_5_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 + 300 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 + 323 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 315 N_37_1_i 3 -1 3 2 3 6 -1 -1 3 0 21 + 314 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 330 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 316 N_141 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 318 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 317 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 1 6 65 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 68 A_0_ 1 -1 -1 1 6 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 322 3 0 31 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 30 LDS_000 5 323 3 0 30 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 330 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_4_ 3 -1 3 3 3 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 6 3 3 6 7 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 3 3 6 7 -1 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 + 306 SM_AMIGA_5_ 3 -1 3 3 3 6 7 -1 -1 2 0 21 + 300 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 322 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 + 323 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 315 N_37_1_i 3 -1 3 2 3 6 -1 -1 3 0 21 + 314 SM_AMIGA_1_ 3 -1 3 2 3 7 -1 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 330 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 316 N_141 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 318 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 317 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 310 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 1 6 65 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 7 1 3 -1 -1 1 0 21 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 302 inst_VMA_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 68 A_0_ 1 -1 -1 1 6 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 10 0 21 + 31 UDS_000 5 320 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 3 0 20 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 N_23 3 -1 3 1 3 -1 -1 4 0 21 + 310 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 306 SM_AMIGA_4_ 3 -1 3 1 3 -1 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 1 6 65 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_2_ 3 -1 3 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 10 0 21 + 31 UDS_000 5 320 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 34 VMA 0 3 0 34 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_1_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_3_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 296 inst_VMA_INT 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 N_203_0 3 -1 3 1 3 -1 -1 4 0 21 + 310 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 306 SM_AMIGA_4_ 3 -1 3 1 3 -1 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 313 SM_AMIGA_2_ 3 -1 3 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 1 0 21 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 301 inst_VMA_INT_D 3 -1 6 1 3 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 10 0 21 + 31 UDS_000 5 318 3 0 31 -1 5 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 326 3 0 34 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 304 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 303 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 314 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 N_203_0 3 -1 3 1 3 -1 -1 4 0 21 + 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 + 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 10 0 21 + 31 UDS_000 5 318 3 0 31 -1 5 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 326 3 0 34 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_VMA 3 34 3 2 3 6 34 -1 2 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 10 0 21 + 314 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 N_203_0 3 -1 3 1 3 -1 -1 4 0 21 + 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 + 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 + 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 320 RN_UDS_000 3 31 3 2 3 6 31 -1 5 0 21 + 305 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 313 N_48_1_i 3 -1 6 2 3 6 -1 -1 3 0 21 + 312 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 310 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 314 N_112 3 -1 3 2 3 6 -1 -1 2 0 21 + 308 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 316 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 3 0 20 + 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 68 A_0_ 1 -1 -1 1 6 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 5 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 328 RN_VMA 3 34 3 3 1 3 7 34 -1 2 0 21 + 304 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 320 RN_UDS_000 3 31 3 2 1 3 31 -1 5 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 313 N_48_1_i 3 -1 3 2 3 6 -1 -1 3 0 21 + 312 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 314 N_112 3 -1 3 2 3 6 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 303 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 316 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 315 UDS_000_0 3 -1 1 1 3 -1 -1 10 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 + 310 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 3 0 21 + 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 2 0 21 + 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 1 3 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 7 60 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 4 1 3 4 6 70 -1 + 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 + 97 DS_030 1 -1 -1 3 1 3 6 97 -1 + 85 RST 1 -1 -1 3 1 3 7 85 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 1 6 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 8 0 21 + 31 UDS_000 5 320 3 0 31 -1 4 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 326 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 326 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 320 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 + 307 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 314 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 313 LDS_000_INT_0_sqmuxa_1_0 3 -1 3 1 3 -1 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 311 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 308 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 321 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 + 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 297 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 314 N_36 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 321 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 + 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 296 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 + 308 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 314 N_36 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 321 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 327 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 314 N_162 3 -1 6 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 321 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 4 29 -1 1 0 21 + 30 LDS_000 5 324 3 0 30 -1 5 1 21 + 31 UDS_000 5 323 3 0 31 -1 4 0 21 + 65 E 5 328 6 0 65 -1 3 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 327 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 330 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 329 3 0 34 -1 2 0 21 + 32 AS_000 5 322 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 4 3 4 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 328 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 + 329 RN_VMA 3 34 3 3 3 4 7 34 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 6 3 4 6 7 -1 -1 2 0 21 + 300 inst_CLK_000_DD 3 -1 3 3 4 6 7 -1 -1 1 0 20 + 324 RN_LDS_000 3 30 3 2 3 6 30 -1 5 1 21 + 297 CLK_000_CNT_2_ 3 -1 4 2 4 7 -1 -1 5 0 21 + 323 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 + 308 CLK_000_CNT_1_ 3 -1 4 2 4 7 -1 -1 4 0 21 + 314 N_66_0 3 -1 3 2 3 6 -1 -1 3 0 21 + 311 inst_DTACK_SYNC 3 -1 4 2 4 6 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 330 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 327 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 315 N_99 3 -1 3 2 3 6 -1 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 2 4 6 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 4 2 1 7 -1 -1 1 0 21 + 317 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 316 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 322 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 4 7 40 162 + 60 CLK_OSZI 9 -1 5 1 3 4 6 7 60 -1 + 85 RST 1 -1 -1 5 1 3 4 6 7 85 -1 + 81 AS_030 1 -1 -1 4 3 4 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 4 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 68 A_0_ 1 -1 -1 1 6 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 321 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 314 N_42_0 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 0 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 321 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 297 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 314 N_36 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 0 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 321 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 300 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 327 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 308 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 297 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 310 inst_DTACK_SYNC 3 -1 0 2 0 6 -1 -1 3 0 21 + 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 6 2 0 6 -1 -1 2 0 20 + 307 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 314 N_165 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 320 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 1 3 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 0 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 8 0 21 + 31 UDS_000 5 320 3 0 31 -1 4 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 325 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 3 3 6 7 -1 -1 3 0 21 + 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 308 CLK_000_CNT_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 21 + 304 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 296 CLK_000_CNT_2_ 3 -1 1 2 1 7 -1 -1 5 0 20 + 309 CLK_000_CNT_1_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 306 inst_DSACK_INT_SET 3 -1 7 2 6 7 -1 -1 4 0 21 + 297 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 312 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 311 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 313 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 299 inst_CLK_000_DD 3 -1 3 2 1 6 -1 -1 1 0 20 + 316 UDS_000_0 3 -1 3 1 3 -1 -1 10 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 315 N_24_0 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 325 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 1 3 6 10 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 8 0 21 + 31 UDS_000 5 320 3 0 31 -1 4 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 298 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 + 312 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 4 0 20 + 306 inst_DSACK_INT_SET 3 -1 7 2 6 7 -1 -1 4 0 21 + 311 inst_DTACK_SYNC 3 -1 3 2 3 6 -1 -1 3 0 21 + 305 SM_AMIGA_4_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 303 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 328 RN_VMA 3 34 3 2 3 7 34 -1 2 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_3_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 299 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 316 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 315 N_194_0 3 -1 6 1 3 -1 -1 7 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 309 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 314 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 313 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 308 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 2 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 68 A_0_ 1 -1 -1 1 6 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 321 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 319 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 318 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 327 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 320 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 299 inst_CLK_000_DD 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 321 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 + 311 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 307 CLK_000_CNT_0_ 3 -1 3 2 3 7 -1 -1 2 0 20 + 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 314 N_47_0 3 -1 6 1 3 -1 -1 7 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 310 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 319 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 318 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 68 A_0_ 1 -1 -1 1 6 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 8 0 21 + 31 UDS_000 5 320 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_DD 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 296 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 + 308 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 300 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 327 RN_VMA 3 34 3 3 1 3 7 34 -1 2 0 21 + 307 CLK_000_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 2 0 20 + 320 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 + 297 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 311 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 3 0 21 + 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 305 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 304 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 314 N_43_0 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 1 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 30 LDS_000 5 320 3 0 30 -1 8 0 21 + 31 UDS_000 5 319 3 0 31 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 308 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 + 297 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 326 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 327 RN_VMA 3 34 3 3 1 3 7 34 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 7 3 1 6 7 -1 -1 2 0 20 + 319 RN_UDS_000 3 31 3 2 3 6 31 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 311 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 3 0 21 + 310 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 318 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 305 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 315 UDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 314 N_42_0 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 1 3 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 1 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 30 LDS_000 5 319 3 0 30 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 324 3 0 34 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 323 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 324 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 307 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 297 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 327 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_3_i 3 -1 3 1 3 -1 -1 4 0 21 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 1 3 -1 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +96 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 329 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 326 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 6 7 -1 -1 4 0 20 + 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 301 cpu_est_2_ 3 -1 3 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 3 0 20 + 326 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 319 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 314 state_machine_uds_000_int_8_1_i_n 3 -1 3 2 3 6 -1 -1 4 0 21 + 321 RN_LDS_000 3 30 3 2 3 6 30 -1 3 0 21 + 310 SM_AMIGA_0_ 3 -1 6 2 6 7 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 3 0 20 + 303 SM_AMIGA_6_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 329 RN_CLK_DIV_OUT 3 64 6 2 1 6 64 -1 2 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 315 N_122 3 -1 6 2 3 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 316 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 307 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 311 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 3 0 20 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_1_ 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_2_ 3 -1 6 1 6 -1 -1 2 0 20 + 309 SM_AMIGA_3_ 3 -1 6 1 6 -1 -1 2 0 20 + 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 6 1 7 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 3 6 10 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 293 cpu_est_0_ 3 -1 1 4 1 3 6 7 -1 -1 3 0 20 + 298 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 302 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 303 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 296 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 297 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 306 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 324 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 329 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 300 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 330 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 296 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 297 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 + 305 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 299 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 324 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 328 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 30 LDS_000 5 322 3 0 30 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 329 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 330 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 + 299 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 297 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 + 307 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_3_0 3 -1 3 1 3 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 3 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 9 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 317 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 307 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 302 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 316 LDS_000_INT_0_sqmuxa 3 -1 3 1 3 -1 -1 5 0 21 + 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 3 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 9 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 328 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 327 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 317 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 307 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 302 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 9 0 21 + 316 LDS_000_INT_0_sqmuxa 3 -1 3 1 3 -1 -1 5 0 21 + 299 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 300 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 3 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 3 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 3 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 317 N_186_0 3 -1 3 1 3 -1 -1 4 0 21 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 3 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 329 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 330 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 328 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 3 6 7 -1 -1 4 0 21 + 327 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 6 3 3 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 328 RN_VMA 3 34 3 3 0 3 7 34 -1 2 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 296 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 323 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 330 RN_VMA 3 34 3 3 3 6 7 34 -1 2 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_0_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 301 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 297 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 307 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 298 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 323 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 296 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 3 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 20 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 295 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 + 300 inst_CLK_000_DD 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 298 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 2 0 3 34 -1 2 0 20 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 326 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 20 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 301 inst_CLK_000_D 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 3 0 3 6 65 -1 3 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 295 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 + 300 inst_CLK_000_DD 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 298 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 307 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 inst_DTACK_SYNC 3 -1 0 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 2 0 3 34 -1 2 0 20 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 297 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_1_i 3 -1 3 1 3 -1 -1 3 0 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 0 3 7 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 30 LDS_000 5 322 3 0 30 -1 5 0 21 + 34 VMA 5 330 3 0 34 -1 4 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 327 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 330 RN_VMA 3 34 3 3 3 6 7 34 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 307 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_0_ 3 -1 1 3 1 3 7 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 309 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 301 inst_CLK_000_DD 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 1 3 32 -1 2 0 21 + 310 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_LDS_000 3 30 3 1 3 30 -1 5 0 21 + 298 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 317 N_189_0 3 -1 3 1 3 -1 -1 4 0 21 + 308 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 299 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 327 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 312 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 2 0 21 + 311 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 297 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 34 VMA 5 324 3 0 34 -1 4 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 30 LDS_000 5 318 3 0 30 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 297 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 324 RN_VMA 3 34 3 3 3 6 7 34 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 300 SM_AMIGA_6_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 306 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 305 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 301 SM_AMIGA_7_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 298 inst_CLK_000_DD 3 -1 1 2 3 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_3_0 3 -1 3 1 3 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 311 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 307 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +93 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 315 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 317 3 0 31 -1 11 0 21 + 34 VMA 5 324 3 0 34 -1 4 0 21 + 65 E 5 323 6 0 65 -1 3 0 21 + 30 LDS_000 5 318 3 0 30 -1 3 0 21 + 28 BG_000 5 319 3 0 28 -1 3 0 21 + 82 BGACK_030 5 320 7 0 82 -1 2 0 21 + 77 FPU_CS 5 322 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 321 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 316 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 314 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 326 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 325 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 296 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 324 RN_VMA 3 34 3 3 3 6 7 34 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 300 SM_AMIGA_6_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 294 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 20 + 323 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 312 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_4_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 322 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 320 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 316 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_1_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 306 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 305 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 301 SM_AMIGA_7_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 297 inst_CLK_000_DD 3 -1 1 2 3 6 -1 -1 1 0 20 + 317 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 313 un1_UDS_000_INT_0_sqmuxa_3_i 3 -1 3 1 3 -1 -1 4 0 21 + 319 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 315 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 326 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 325 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 314 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 311 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 307 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_SYNC 8 40 4 3 3 6 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 6 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 + 327 RN_VMA 3 34 3 2 3 7 34 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 305 SM_AMIGA_4_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_3_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 295 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 305 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 297 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 309 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 296 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 295 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 305 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 297 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 312 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 311 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 296 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 295 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 305 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 297 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 312 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 311 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 296 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 310 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 296 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 295 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 307 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 296 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 295 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 307 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 8 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 298 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 295 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 297 inst_CLK_000_DD 3 -1 6 2 6 7 -1 -1 1 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 8 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 8 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 307 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 298 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 295 inst_VPA_SYNC 3 -1 3 2 3 6 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 8 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 320 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 319 3 0 31 -1 11 0 21 + 34 VMA 5 326 3 0 34 -1 5 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 322 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 323 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 318 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 315 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 296 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 307 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 325 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 298 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 324 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 322 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 320 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 319 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 326 RN_VMA 3 34 3 1 3 34 -1 5 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 297 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 5 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 297 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 307 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 5 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 297 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 307 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 1 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 297 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 307 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 1 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 298 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 4 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 1 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 1 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 321 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 305 SM_AMIGA_4_ 3 -1 6 4 1 3 6 7 -1 -1 3 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_3_ 3 -1 7 3 1 6 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 321 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 30 LDS_000 5 320 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 298 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 6 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 299 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 1 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 316 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 297 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 305 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 295 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 307 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 296 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 307 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 5 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 303 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 302 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 1 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 327 3 0 34 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 5 1 20 + 304 SM_AMIGA_2_ 3 -1 3 4 1 3 6 7 -1 -1 3 0 21 + 296 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 7 3 1 3 7 -1 -1 3 0 21 + 298 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 3 0 20 + 308 SM_AMIGA_5_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 + 305 SM_AMIGA_4_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 + 297 inst_CLK_000_DD 3 -1 7 3 1 6 7 -1 -1 1 0 20 + 313 inst_DTACK_SYNC 3 -1 6 2 3 6 -1 -1 5 0 20 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 5 0 20 + 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 5 0 20 + 293 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 + 326 RN_E 3 65 6 2 1 6 65 -1 3 0 21 + 299 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 1 20 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 312 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_D_0_ 3 -1 7 2 1 7 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 2 3 7 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 308 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 7 0 20 + 327 RN_VMA 3 34 3 2 3 7 34 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 317 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 6 0 20 + 307 SM_AMIGA_1_ 3 -1 6 3 1 3 6 -1 -1 5 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 327 RN_VMA 3 34 3 2 3 7 34 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 309 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 2 3 7 34 -1 3 0 21 + 307 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 inst_VPA_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 297 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 296 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 297 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 296 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 294 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 34 VMA 5 327 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 316 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 315 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 302 SM_AMIGA_2_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 305 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 297 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 315 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 296 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 319 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 34 VMA 5 325 3 0 34 -1 3 1 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 304 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 297 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 319 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 296 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 316 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 318 3 0 31 -1 11 0 21 + 65 E 5 324 6 0 65 -1 3 0 21 + 34 VMA 5 325 3 0 34 -1 3 1 21 + 30 LDS_000 5 319 3 0 30 -1 3 0 21 + 28 BG_000 5 320 3 0 28 -1 3 0 21 + 82 BGACK_030 5 321 7 0 82 -1 2 0 21 + 77 FPU_CS 5 323 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 322 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 317 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 327 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 326 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 302 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 304 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 295 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 308 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 324 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 307 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 300 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 298 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 297 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 323 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 321 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 303 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 299 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 318 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 325 RN_VMA 3 34 3 1 3 34 -1 3 1 21 + 320 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 327 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 326 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 322 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 316 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 309 inst_VPA_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 301 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 296 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 3 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +94 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 34 VMA 5 327 3 0 34 -1 4 0 21 + 65 E 5 326 6 0 65 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 325 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 324 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 315 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 317 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 316 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 303 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 305 SM_AMIGA_3_ 3 -1 6 3 1 3 6 -1 -1 3 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_CLK_000_D 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 309 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 295 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 304 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 300 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 327 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_E 3 65 6 1 6 65 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 314 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 299 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 298 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 324 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 316 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 312 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 297 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 34 VMA 5 331 3 0 34 -1 4 0 21 + 65 E 5 330 6 0 65 -1 3 0 21 + 30 LDS_000 5 325 3 0 30 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 322 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 323 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 307 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 297 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 309 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 322 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 317 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 331 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 330 RN_E 3 65 6 1 6 65 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 323 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 300 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 cpu_est_d_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 294 cpu_est_d_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 293 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 319 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 322 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 321 3 0 31 -1 11 0 21 + 65 E 5 327 6 0 65 -1 4 0 21 + 34 VMA 5 328 3 0 34 -1 4 0 21 + 28 BG_000 5 323 3 0 28 -1 3 0 21 + 82 BGACK_030 5 324 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 64 CLK_DIV_OUT 5 325 6 0 64 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 320 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 318 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 304 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 297 inst_CLK_000_D 3 -1 1 4 1 3 6 7 -1 -1 1 0 20 + 306 SM_AMIGA_3_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 296 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 327 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 312 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 311 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 302 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 300 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 326 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 324 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 320 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 316 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 298 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 322 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 321 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 328 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 299 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 323 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 317 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 2 0 21 + 319 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 318 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 7 1 6 -1 -1 1 0 20 + 307 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 + 301 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_3_ 3 -1 7 1 6 -1 -1 1 0 20 + 293 cpu_est_d_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 1 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 34 VMA 5 331 3 0 34 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 305 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 307 SM_AMIGA_3_ 3 -1 1 4 1 3 6 7 -1 -1 3 0 21 + 299 inst_CLK_000_D 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 323 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 317 inst_DTACK_SYNC 3 -1 7 3 1 6 7 -1 -1 2 0 21 + 296 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 301 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 312 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 303 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 300 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 331 RN_VMA 3 34 3 1 3 34 -1 4 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 316 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 298 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 309 cpu_est_d_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 308 cpu_est_d_0_ 3 -1 1 1 6 -1 -1 1 0 20 + 297 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 294 cpu_est_d_3_ 3 -1 7 1 6 -1 -1 1 0 20 + 293 cpu_est_d_1_ 3 -1 3 1 6 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 6 7 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 2 1 6 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 64 CLK_DIV_OUT 5 328 6 0 64 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 331 3 0 34 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 303 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 308 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 313 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 312 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 311 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 cpu_est_d_2_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 328 RN_CLK_DIV_OUT 3 64 6 1 6 64 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 331 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 inst_DTACK_SYNC 3 -1 6 1 6 -1 -1 2 0 20 + 316 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 325 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 30 LDS_000 5 326 3 0 30 -1 3 0 21 + 28 BG_000 5 327 3 0 28 -1 3 0 21 + 82 BGACK_030 5 328 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 331 3 0 34 -1 2 0 21 + 32 AS_000 5 324 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 322 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 321 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 310 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 297 inst_VPA_SYNC 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 302 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 324 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 318 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 20 + 317 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 325 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 319 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 326 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 331 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 322 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 321 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 306 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 299 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 298 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 321 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 326 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 325 3 0 31 -1 11 0 21 + 65 E 5 330 6 0 65 -1 4 0 21 + 28 BG_000 5 327 3 0 28 -1 3 0 21 + 82 BGACK_030 5 328 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 331 3 0 34 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 324 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 322 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 312 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 301 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 315 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 7 3 3 6 7 -1 -1 1 0 20 + 330 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 304 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 313 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 305 cpu_est_2_ 3 -1 7 2 6 7 -1 -1 3 0 20 + 302 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 318 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 303 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 326 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 325 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 319 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 331 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 322 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 316 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 307 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 300 CLK_CNT_1_ 3 -1 6 1 6 -1 -1 2 0 21 + 299 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 320 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 321 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 321 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +97 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 7 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 4 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 320 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 319 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 309 SM_AMIGA_4_ 3 -1 3 4 1 3 6 7 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 7 3 1 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 323 RN_AS_000 3 32 3 3 3 6 7 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 314 SM_AMIGA_1_ 3 -1 7 2 1 7 -1 -1 4 0 21 + 313 SM_AMIGA_2_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 7 2 3 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 3 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 329 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 320 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 319 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 316 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 315 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 7 1 7 -1 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 7 40 162 + 60 CLK_OSZI 9 -1 3 1 3 6 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 333 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 312 CLK_000_CNT_0_ 3 -1 0 4 0 1 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 + 318 SM_AMIGA_1_ 3 -1 7 3 0 1 7 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 + 317 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 316 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 + 305 inst_CLK_000_DD 3 -1 3 3 0 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 + 315 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 332 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 335 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 312 CLK_000_CNT_0_ 3 -1 0 4 0 1 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 6 3 1 6 7 -1 -1 5 0 21 + 318 SM_AMIGA_1_ 3 -1 7 3 0 1 7 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 + 317 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 316 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 + 305 inst_CLK_000_DD 3 -1 3 3 0 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 0 3 0 3 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 + 315 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 333 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 333 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 299 inst_CLK_000_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 318 SM_AMIGA_1_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 316 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 315 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 332 RN_E 3 65 6 1 6 65 -1 4 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 312 CLK_000_CNT_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 305 inst_CLK_000_DD 3 -1 3 1 0 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 1 3 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +100 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 323 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 30 LDS_000 5 326 3 0 30 -1 11 0 21 + 31 UDS_000 5 325 3 0 31 -1 7 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 327 3 0 28 -1 3 0 21 + 82 BGACK_030 5 328 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 333 3 0 34 -1 2 0 21 + 32 AS_000 5 324 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 322 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 3 3 1 3 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 6 3 0 6 7 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 0 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 3 2 1 3 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 0 2 0 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 329 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 308 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 309 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 0 2 0 6 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 326 RN_LDS_000 3 30 3 1 3 30 -1 11 0 21 + 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 325 RN_UDS_000 3 31 3 1 3 31 -1 7 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 327 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 306 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 10 CLK_000 9 -1 4 0 3 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 70 RW 1 -1 -1 1 4 70 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 31 UDS_000 5 326 3 0 31 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 4 1 3 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 331 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 6 2 1 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 3 2 3 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 4 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 4 0 21 + 322 un1_LDS_000_INT_0_sqmuxa_4_i 3 -1 1 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 309 SM_AMIGA_4_ 3 -1 1 1 1 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 1 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 1 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 3 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 4 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 333 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 3 5 0 1 3 6 7 -1 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 1 3 7 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 0 3 0 1 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 326 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 328 RN_LDS_000 3 30 3 2 3 6 30 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 2 3 6 -1 -1 3 0 21 + 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 20 + 303 cpu_est_2_ 3 -1 6 2 0 6 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 0 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 323 LDS_000_0 3 -1 6 1 3 -1 -1 10 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 332 RN_E 3 65 6 1 6 65 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 2 0 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 4 0 3 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 6 70 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 68 A_0_ 1 -1 -1 2 3 6 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 6 78 -1 + 69 SIZE_0_ 1 -1 -1 1 6 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 8 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 8 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 1 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 1 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 1 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 1 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 12 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 12 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 12 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 12 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 8 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 10 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 3 6 7 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 10 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 331 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 333 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 21 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 303 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 2 0 3 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 332 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 21 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 + 299 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 303 cpu_est_2_ 3 -1 1 3 1 3 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 7 2 6 7 -1 -1 4 0 20 + 308 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 1 2 1 6 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 309 cpu_est_d_2_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 7 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 333 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 312 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 309 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 314 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 308 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 7 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 6 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 4 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 308 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 3 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 7 4 0 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 0 3 0 1 7 -1 -1 10 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 + 325 RN_AS_000 3 32 3 3 0 1 3 32 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 3 0 6 7 -1 -1 2 0 20 + 298 inst_VPA_SYNC 3 -1 3 3 0 3 7 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 3 3 0 3 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 7 3 0 3 7 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 3 0 3 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 6 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 2 0 6 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 333 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 3 40 162 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 4 0 1 3 7 85 -1 + 81 AS_030 1 -1 -1 2 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 330 3 0 28 -1 3 0 21 + 82 BGACK_030 5 331 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 329 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 328 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 4 0 3 6 7 -1 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 1 4 0 3 6 7 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 4 0 3 6 7 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 3 4 0 3 6 7 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 0 3 0 1 7 -1 -1 5 0 20 + 313 CLK_000_CNT_2_ 3 -1 6 3 0 6 7 -1 -1 5 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 3 0 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 + 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 310 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 0 2 0 1 -1 -1 6 0 20 + 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 20 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 1 2 1 6 -1 -1 3 0 20 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 320 SM_AMIGA_D_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 329 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 310 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 315 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 299 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 297 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 310 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 298 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 315 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 296 cpu_est_d_3_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 295 cpu_est_d_2_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 333 RN_E 3 65 6 1 6 65 -1 4 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 305 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 1 1 3 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 1 1 3 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 5 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 327 3 0 30 -1 3 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 331 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 318 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 298 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 0 3 0 1 6 -1 -1 3 0 20 + 325 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 20 + 313 CLK_000_CNT_2_ 3 -1 6 2 6 7 -1 -1 5 0 21 + 333 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 302 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 CLK_000_CNT_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 307 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 0 3 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 2 0 3 -1 -1 1 0 20 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 5 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 1 1 3 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 327 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 331 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 1 6 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 3 1 3 4 70 -1 + 97 DS_030 1 -1 -1 2 1 3 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 325 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 301 cpu_est_0_ 3 -1 3 3 1 3 6 -1 -1 1 0 20 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 315 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 305 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 308 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 304 CLK_CNT_0_ 3 -1 6 2 6 7 -1 -1 1 0 21 + 295 cpu_est_d_3_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 313 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 333 RN_E 3 65 6 1 6 65 -1 4 0 21 + 314 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 312 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 311 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 6 40 162 + 60 CLK_OSZI 9 -1 3 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +101 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 324 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 327 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 326 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 4 0 21 + 28 BG_000 5 328 3 0 28 -1 3 0 21 + 82 BGACK_030 5 329 7 0 82 -1 2 0 21 + 77 FPU_CS 5 330 7 0 77 -1 2 0 21 + 34 VMA 5 332 3 0 34 -1 2 0 21 + 32 AS_000 5 325 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 323 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 318 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 6 7 -1 -1 4 0 21 + 305 SM_AMIGA_6_ 3 -1 3 3 1 3 6 -1 -1 3 0 21 + 311 CLK_000_CNT_0_ 3 -1 6 3 0 6 7 -1 -1 2 0 21 + 310 SM_AMIGA_5_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 308 cpu_est_d_2_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 3 3 0 3 6 -1 -1 1 0 20 + 313 CLK_000_CNT_2_ 3 -1 0 2 0 7 -1 -1 5 0 21 + 331 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 317 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_3_ 3 -1 0 2 0 7 -1 -1 4 0 21 + 312 CLK_000_CNT_1_ 3 -1 7 2 0 7 -1 -1 4 0 20 + 302 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 316 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 315 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 303 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_FPU_CS 3 77 7 2 2 7 77 -1 2 0 21 + 329 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 325 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 306 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 307 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 327 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 326 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 328 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 322 un1_UDS_000_INT_0_sqmuxa_i 3 -1 6 1 3 -1 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 323 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 319 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 304 CLK_CNT_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 40 VPA 1 -1 4 0 40 -1 + 261 inst_VPA_D 8 40 4 1 0 40 162 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 3 0 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 70 RW 1 -1 -1 4 3 4 6 7 70 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 97 DS_030 1 -1 -1 2 3 6 97 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +103 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 326 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 328 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 329 3 0 30 -1 4 0 21 + 28 BG_000 5 330 3 0 28 -1 3 0 21 + 82 BGACK_030 5 331 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 327 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 325 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 336 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 335 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 + 299 inst_VPA_SYNC 3 -1 0 4 0 3 6 7 -1 -1 2 0 21 + 319 SM_AMIGA_0_ 3 -1 0 3 0 1 7 -1 -1 4 0 21 + 313 CLK_000_CNT_1_ 3 -1 1 3 1 6 7 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 4 0 21 + 316 SM_AMIGA_3_ 3 -1 6 3 0 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_6_ 3 -1 3 3 1 3 5 -1 -1 3 0 21 + 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 1 3 1 6 7 -1 -1 2 0 20 + 311 SM_AMIGA_5_ 3 -1 3 3 1 3 5 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 314 CLK_000_CNT_2_ 3 -1 7 2 6 7 -1 -1 5 0 20 + 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 329 RN_LDS_000 3 30 3 2 3 5 30 -1 4 0 21 + 318 SM_AMIGA_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 315 CLK_000_CNT_3_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 2 3 5 -1 -1 3 0 21 + 317 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 3 0 21 + 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 327 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 309 cpu_est_d_2_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 1 2 1 7 -1 -1 1 0 20 + 302 cpu_est_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 0 3 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 6 2 0 3 -1 -1 1 0 21 + 328 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 326 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 324 LDS_000_0 3 -1 5 1 3 -1 -1 10 0 21 + 303 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 325 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 305 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 298 inst_VPA_D 3 -1 3 1 0 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 10 CLK_000 9 -1 4 0 1 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 0 3 5 7 81 -1 + 70 RW 1 -1 -1 4 3 4 5 7 70 -1 + 97 DS_030 1 -1 -1 2 3 5 97 -1 + 68 A_0_ 1 -1 -1 2 3 5 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 5 78 -1 + 69 SIZE_0_ 1 -1 -1 1 5 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 328 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 334 6 0 65 -1 4 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 34 VMA 5 335 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 + 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 317 SM_AMIGA_2_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 + 316 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 334 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 328 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 34 VMA 5 335 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 + 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 317 SM_AMIGA_2_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 + 316 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 332 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 + 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 334 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 34 VMA 5 335 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 + 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 300 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 317 SM_AMIGA_2_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 + 316 SM_AMIGA_3_ 3 -1 1 3 0 1 6 -1 -1 3 0 21 + 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 334 RN_E 3 65 6 2 6 7 65 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 326 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 334 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 335 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 + 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 + 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 + 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 334 RN_E 3 65 6 2 0 6 65 -1 4 0 21 + 315 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 316 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 + 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 34 VMA 5 333 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 + 300 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 + 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 332 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 318 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 316 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 + 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 34 VMA 5 333 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 319 SM_AMIGA_0_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 + 300 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 + 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 299 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 332 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 318 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 303 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 316 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 0 2 0 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 2 0 20 + 297 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 302 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 6 2 3 6 -1 -1 1 0 21 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 333 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 312 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 305 CLK_CNT_0_ 3 -1 1 1 1 -1 -1 1 0 20 + 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +103 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 326 7 1 3 80 -1 6 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 328 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 4 0 21 + 30 LDS_000 5 329 3 0 30 -1 4 0 21 + 28 BG_000 5 330 3 0 28 -1 3 0 21 + 82 BGACK_030 5 331 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 34 VMA 5 335 3 0 34 -1 2 0 21 + 32 AS_000 5 327 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 325 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 336 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 334 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 + 299 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 319 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 303 cpu_est_1_ 3 -1 1 3 1 3 6 -1 -1 4 0 20 + 296 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 + 306 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 1 3 1 6 7 -1 -1 3 0 20 + 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 297 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 302 cpu_est_0_ 3 -1 7 3 1 6 7 -1 -1 1 0 20 + 333 RN_E 3 65 6 2 1 6 65 -1 4 0 21 + 329 RN_LDS_000 3 30 3 2 0 3 30 -1 4 0 21 + 318 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 2 0 3 -1 -1 3 0 21 + 317 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 316 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 327 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 1 2 3 6 -1 -1 1 0 20 + 294 cpu_est_d_1_ 3 -1 3 2 3 6 -1 -1 1 0 20 + 293 cpu_est_d_0_ 3 -1 7 2 3 6 -1 -1 1 0 20 + 328 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 324 LDS_000_0 3 -1 0 1 3 -1 -1 10 0 21 + 326 RN_DSACK_1_ 3 80 7 1 7 80 -1 6 0 21 + 314 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 315 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 334 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 298 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 4 1 3 6 7 60 -1 + 10 CLK_000 9 -1 2 6 7 10 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 4 0 3 6 7 81 -1 + 70 RW 1 -1 -1 3 0 3 4 70 -1 + 97 DS_030 1 -1 -1 2 0 3 97 -1 + 68 A_0_ 1 -1 -1 2 0 3 68 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 0 78 -1 + 69 SIZE_0_ 1 -1 -1 1 0 69 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 332 6 0 65 -1 4 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 34 VMA 5 334 3 0 34 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 335 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 + 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 314 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 + 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 313 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 + 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 312 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 332 RN_E 3 65 6 2 0 6 65 -1 4 0 21 + 315 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 316 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 311 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 335 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 334 RN_VMA 3 34 3 1 3 34 -1 2 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 + 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 8 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 30 LDS_000 5 328 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 334 6 0 65 -1 4 0 21 + 34 VMA 5 335 3 0 34 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 331 7 0 77 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 + 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 315 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 + 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 + 331 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 313 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 334 RN_E 3 65 6 2 0 6 65 -1 4 0 21 + 316 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 312 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 328 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 8 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 + 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +102 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 325 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 0 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 327 3 0 31 -1 11 0 21 + 65 E 5 334 6 0 65 -1 4 0 21 + 34 VMA 5 335 3 0 34 -1 3 0 21 + 30 LDS_000 5 328 3 0 30 -1 3 0 21 + 28 BG_000 5 329 3 0 28 -1 3 0 21 + 82 BGACK_030 5 330 7 0 82 -1 2 0 21 + 77 FPU_CS 5 333 7 0 77 -1 2 0 21 + 32 AS_000 5 326 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 324 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 300 inst_CLK_000_D 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 20 + 299 inst_VPA_SYNC 3 -1 0 5 0 1 3 6 7 -1 -1 2 0 20 + 319 SM_AMIGA_0_ 3 -1 0 4 0 1 6 7 -1 -1 4 0 20 + 297 inst_DTACK_SYNC 3 -1 0 4 0 1 6 7 -1 -1 2 0 20 + 315 CLK_000_CNT_2_ 3 -1 6 3 5 6 7 -1 -1 5 0 21 + 318 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 314 CLK_000_CNT_1_ 3 -1 7 3 5 6 7 -1 -1 4 0 20 + 333 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 326 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 313 CLK_000_CNT_0_ 3 -1 7 3 5 6 7 -1 -1 2 0 20 + 309 cpu_est_d_2_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 302 cpu_est_0_ 3 -1 1 3 0 1 6 -1 -1 1 0 20 + 295 cpu_est_d_3_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 294 cpu_est_d_1_ 3 -1 6 3 0 3 6 -1 -1 1 0 21 + 293 cpu_est_d_0_ 3 -1 1 3 0 3 6 -1 -1 1 0 20 + 334 RN_E 3 65 6 2 0 6 65 -1 4 0 21 + 316 CLK_000_CNT_3_ 3 -1 5 2 5 7 -1 -1 4 0 21 + 303 cpu_est_1_ 3 -1 6 2 0 6 -1 -1 4 0 21 + 296 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 317 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 311 SM_AMIGA_3_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 306 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_2_ 3 -1 0 2 0 6 -1 -1 3 0 21 + 330 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 312 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 308 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 305 CLK_CNT_0_ 3 -1 1 2 1 6 -1 -1 1 0 20 + 327 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 325 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 323 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 335 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 329 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 328 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 324 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 322 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 320 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 298 inst_VPA_D 3 -1 6 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 6 0 1 3 5 6 7 60 -1 + 10 CLK_000 9 -1 5 0 3 5 6 7 10 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 0 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +103 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 326 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 328 3 0 31 -1 11 0 21 + 65 E 5 333 6 0 65 -1 3 0 21 + 34 VMA 5 334 3 0 34 -1 3 0 21 + 30 LDS_000 5 329 3 0 30 -1 3 0 21 + 28 BG_000 5 330 3 0 28 -1 3 0 21 + 82 BGACK_030 5 331 7 0 82 -1 2 0 21 + 77 FPU_CS 5 332 7 0 77 -1 2 0 21 + 32 AS_000 5 327 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 325 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 336 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 335 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 298 inst_VPA_SYNC 3 -1 6 5 0 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 5 0 1 3 6 7 -1 -1 1 0 20 + 320 SM_AMIGA_0_ 3 -1 0 4 0 1 3 7 -1 -1 4 0 21 + 296 inst_DTACK_SYNC 3 -1 6 4 0 1 6 7 -1 -1 2 0 20 + 319 SM_AMIGA_1_ 3 -1 6 3 0 1 6 -1 -1 4 0 20 + 332 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 305 cpu_est_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 318 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 312 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 308 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 304 cpu_est_0_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 331 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 327 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 313 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 311 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 310 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 303 cpu_est_d_2_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 302 cpu_est_d_0_ 3 -1 1 2 0 6 -1 -1 1 0 20 + 294 cpu_est_d_3_ 3 -1 6 2 0 6 -1 -1 1 0 21 + 293 cpu_est_d_1_ 3 -1 7 2 0 6 -1 -1 1 0 20 + 328 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 326 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 316 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 324 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 317 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 315 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 334 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 333 RN_E 3 65 6 1 6 65 -1 3 0 21 + 330 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 329 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 306 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 336 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 335 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 325 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 323 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 322 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 321 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 309 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 307 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 7 1 6 -1 -1 1 0 20 + 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 4 0 1 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 3 0 21 + 34 VMA 5 332 3 0 34 -1 3 0 21 + 30 LDS_000 5 325 3 0 30 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 + 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 320 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 331 RN_E 3 65 6 1 6 65 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 3 0 21 + 34 VMA 5 332 3 0 34 -1 3 0 21 + 30 LDS_000 5 325 3 0 30 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 + 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 320 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 331 RN_E 3 65 6 1 6 65 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 3 0 21 + 34 VMA 5 332 3 0 34 -1 3 0 21 + 30 LDS_000 5 325 3 0 30 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 + 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 320 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 331 RN_E 3 65 6 1 6 65 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 34 VMA 5 326 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 312 SM_AMIGA_0_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 + 311 SM_AMIGA_1_ 3 -1 7 4 0 1 6 7 -1 -1 4 0 21 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 310 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 314 SM_AMIGA_D_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 326 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 316 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 320 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 323 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 322 3 0 31 -1 11 0 21 + 65 E 5 327 6 0 65 -1 3 0 21 + 34 VMA 5 328 3 0 34 -1 3 0 21 + 28 BG_000 5 324 3 0 28 -1 3 0 21 + 82 BGACK_030 5 325 7 0 82 -1 2 0 21 + 77 FPU_CS 5 326 7 0 77 -1 2 0 21 + 32 AS_000 5 321 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 319 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 318 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 317 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_1_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 7 4 0 3 6 7 -1 -1 4 0 20 + 327 RN_E 3 65 6 4 0 3 6 7 65 -1 3 0 21 + 302 cpu_est_2_ 3 -1 7 4 0 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 4 0 3 6 7 -1 -1 3 0 20 + 298 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 3 4 0 3 6 7 -1 -1 1 0 20 + 312 SM_AMIGA_0_ 3 -1 0 3 0 1 6 -1 -1 4 0 21 + 326 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 321 RN_AS_000 3 32 3 3 0 3 6 32 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 325 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 6 7 -1 -1 1 0 20 + 323 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 322 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 328 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 324 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 316 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 319 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 317 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 297 inst_VPA_D 3 -1 7 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 0 3 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 7 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 34 VMA 5 330 3 0 34 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 5 1 3 5 6 7 -1 -1 2 0 20 + 315 SM_AMIGA_1_ 3 -1 6 4 1 5 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 4 3 5 6 7 -1 -1 4 0 21 + 329 RN_E 3 65 6 4 3 5 6 7 65 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 + 296 inst_DTACK_SYNC 3 -1 6 4 1 5 6 7 -1 -1 2 0 20 + 316 SM_AMIGA_0_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 323 RN_AS_000 3 32 3 3 1 3 5 32 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 330 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 + 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 0 5 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 6 7 96 -1 + 95 A_16_ 1 -1 -1 2 6 7 95 -1 + 94 A_18_ 1 -1 -1 2 6 7 94 -1 + 58 A_17_ 1 -1 -1 2 6 7 58 -1 + 57 FC_1_ 1 -1 -1 2 6 7 57 -1 + 56 FC_0_ 1 -1 -1 2 6 7 56 -1 + 27 BGACK_000 1 -1 -1 2 6 7 27 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 34 VMA 5 331 3 0 34 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 311 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 321 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 323 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 34 VMA 5 331 3 0 34 -1 3 0 21 + 30 LDS_000 5 324 3 0 30 -1 3 0 21 + 28 BG_000 5 325 3 0 28 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 327 7 0 77 -1 2 0 21 + 32 AS_000 5 322 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 320 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 328 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 4 1 3 6 7 -1 -1 4 0 21 + 299 inst_CLK_000_D 3 -1 3 4 1 3 6 7 -1 -1 1 0 20 + 315 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 303 SM_AMIGA_6_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 309 CLK_000_CNT_0_ 3 -1 6 3 1 6 7 -1 -1 2 0 21 + 308 SM_AMIGA_5_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 306 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 304 SM_AMIGA_7_ 3 -1 6 3 1 3 6 -1 -1 2 0 20 + 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 311 CLK_000_CNT_2_ 3 -1 7 2 1 7 -1 -1 5 0 20 + 314 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 312 CLK_000_CNT_3_ 3 -1 1 2 1 7 -1 -1 4 0 20 + 310 CLK_000_CNT_1_ 3 -1 7 2 1 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 313 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 307 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 301 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 322 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 318 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 316 SM_AMIGA_D_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 305 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 323 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 321 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 319 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 325 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 324 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 328 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 320 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 317 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 302 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 297 inst_VPA_D 3 -1 0 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 1 3 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 0 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 34 VMA 5 331 3 0 34 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 311 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 34 VMA 5 330 3 0 34 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 5 1 3 5 6 7 -1 -1 2 0 20 + 315 SM_AMIGA_1_ 3 -1 6 4 1 5 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 4 3 5 6 7 -1 -1 4 0 21 + 329 RN_E 3 65 6 4 3 5 6 7 65 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 + 296 inst_DTACK_SYNC 3 -1 6 4 1 5 6 7 -1 -1 2 0 20 + 316 SM_AMIGA_0_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 323 RN_AS_000 3 32 3 3 1 3 5 32 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 330 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 + 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 0 5 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 6 7 96 -1 + 95 A_16_ 1 -1 -1 2 6 7 95 -1 + 94 A_18_ 1 -1 -1 2 6 7 94 -1 + 58 A_17_ 1 -1 -1 2 6 7 58 -1 + 57 FC_1_ 1 -1 -1 2 6 7 57 -1 + 56 FC_0_ 1 -1 -1 2 6 7 56 -1 + 27 BGACK_000 1 -1 -1 2 6 7 27 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 321 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 34 VMA 5 326 3 0 34 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 312 SM_AMIGA_0_ 3 -1 6 4 0 1 3 6 -1 -1 4 0 20 + 311 SM_AMIGA_1_ 3 -1 7 4 0 1 6 7 -1 -1 4 0 21 + 298 inst_VPA_SYNC 3 -1 6 4 1 3 6 7 -1 -1 2 0 20 + 299 inst_CLK_000_D 3 -1 7 4 1 3 6 7 -1 -1 1 0 20 + 294 cpu_est_1_ 3 -1 7 3 3 6 7 -1 -1 4 0 20 + 325 RN_E 3 65 6 3 3 6 7 65 -1 3 0 21 + 310 SM_AMIGA_2_ 3 -1 6 3 1 6 7 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 7 3 3 6 7 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 20 + 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 0 1 3 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 3 1 6 7 -1 -1 2 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 314 SM_AMIGA_D_1_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 303 CLK_CNT_0_ 3 -1 7 2 6 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 321 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 326 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 316 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 6 1 6 -1 -1 2 0 21 + 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 10 CLK_000 1 -1 -1 2 6 7 10 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 34 VMA 5 331 3 0 34 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 330 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 5 0 1 3 6 7 -1 -1 1 0 21 + 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 295 inst_AS_030_000_SYNC 3 -1 7 3 0 3 7 -1 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 3 3 0 1 3 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 0 3 0 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 1 3 1 3 6 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 302 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 20 + 293 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 20 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 3 2 1 7 -1 -1 1 0 20 + 300 inst_CLK_000_DD 3 -1 3 2 3 6 -1 -1 1 0 20 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 311 CLK_000_CNT_1_ 3 -1 7 1 7 -1 -1 4 0 20 + 331 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 330 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 310 CLK_000_CNT_0_ 3 -1 7 1 7 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 3 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +95 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 318 7 1 3 80 -1 3 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 320 3 0 31 -1 11 0 21 + 65 E 5 325 6 0 65 -1 3 0 21 + 34 VMA 5 326 3 0 34 -1 3 0 21 + 30 LDS_000 5 321 3 0 30 -1 3 0 21 + 28 BG_000 5 322 3 0 28 -1 3 0 21 + 82 BGACK_030 5 323 7 0 82 -1 2 0 21 + 77 FPU_CS 5 324 7 0 77 -1 2 0 21 + 32 AS_000 5 319 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 317 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 328 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 327 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 311 SM_AMIGA_1_ 3 -1 6 4 0 1 6 7 -1 -1 4 0 20 + 297 inst_VPA_SYNC 3 -1 6 4 0 3 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 7 4 0 3 6 7 -1 -1 1 0 20 + 312 SM_AMIGA_0_ 3 -1 0 3 0 1 3 -1 -1 4 0 21 + 293 cpu_est_1_ 3 -1 7 3 0 6 7 -1 -1 4 0 20 + 325 RN_E 3 65 6 3 0 6 7 65 -1 3 0 21 + 302 cpu_est_2_ 3 -1 7 3 0 6 7 -1 -1 3 1 20 + 301 cpu_est_0_ 3 -1 7 3 0 6 7 -1 -1 3 0 20 + 324 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 295 inst_DTACK_SYNC 3 -1 6 3 0 6 7 -1 -1 2 0 20 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 310 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 323 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 319 RN_AS_000 3 32 3 2 0 3 32 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 300 inst_CLK_OUT_PRE 3 -1 7 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 299 inst_CLK_000_DD 3 -1 7 2 6 7 -1 -1 1 0 20 + 320 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 316 un1_UDS_000_INT_0_sqmuxa_2_i 3 -1 3 1 3 -1 -1 4 0 21 + 326 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 322 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 321 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 318 RN_DSACK_1_ 3 80 7 1 7 80 -1 3 0 21 + 328 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 327 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 317 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 315 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 314 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 313 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 305 SM_AMIGA_7_ 3 -1 3 1 3 -1 -1 2 0 21 + 303 CLK_CNT_0_ 3 -1 7 1 7 -1 -1 1 0 20 + 296 inst_VPA_D 3 -1 6 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 5 0 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 11 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 13 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 329 6 0 65 -1 3 0 21 + 34 VMA 5 330 3 0 34 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 299 inst_CLK_000_D 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 + 298 inst_VPA_SYNC 3 -1 6 5 1 3 5 6 7 -1 -1 2 0 20 + 315 SM_AMIGA_1_ 3 -1 6 4 1 5 6 7 -1 -1 4 0 20 + 294 cpu_est_1_ 3 -1 6 4 3 5 6 7 -1 -1 4 0 21 + 329 RN_E 3 65 6 4 3 5 6 7 65 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 4 3 5 6 7 -1 -1 3 1 21 + 293 cpu_est_0_ 3 -1 6 4 3 5 6 7 -1 -1 3 0 21 + 296 inst_DTACK_SYNC 3 -1 6 4 1 5 6 7 -1 -1 2 0 20 + 316 SM_AMIGA_0_ 3 -1 5 3 1 5 7 -1 -1 4 0 21 + 295 inst_AS_030_000_SYNC 3 -1 6 3 3 6 7 -1 -1 4 0 20 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 323 RN_AS_000 3 32 3 3 1 3 5 32 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 0 3 0 6 7 -1 -1 2 0 21 + 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 1 2 1 3 -1 -1 2 0 21 + 301 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 306 inst_RISING_CLK_AMIGA 3 -1 6 2 1 7 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 13 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 11 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 330 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 320 un1_UDS_000_INT_0_sqmuxa_i 3 -1 3 1 3 -1 -1 3 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 1 1 1 -1 -1 2 0 20 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 300 inst_CLK_000_DD 3 -1 6 1 6 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 5 6 7 60 -1 + 85 RST 1 -1 -1 5 1 3 5 6 7 85 -1 + 10 CLK_000 1 -1 -1 4 0 5 6 7 10 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 3 3 4 7 70 -1 + 63 CLK_030 1 -1 -1 3 3 6 7 63 -1 + 13 CPU_SPACE 1 -1 -1 3 3 6 7 13 -1 + 96 A_19_ 1 -1 -1 2 6 7 96 -1 + 95 A_16_ 1 -1 -1 2 6 7 95 -1 + 94 A_18_ 1 -1 -1 2 6 7 94 -1 + 58 A_17_ 1 -1 -1 2 6 7 58 -1 + 57 FC_1_ 1 -1 -1 2 6 7 57 -1 + 56 FC_0_ 1 -1 -1 2 6 7 56 -1 + 27 BGACK_000 1 -1 -1 2 6 7 27 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 9 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 13 1 21 + 31 UDS_000 5 324 3 0 31 -1 11 0 21 + 65 E 5 331 6 0 65 -1 3 0 21 + 34 VMA 5 332 3 0 34 -1 3 0 21 + 30 LDS_000 5 325 3 0 30 -1 3 0 21 + 28 BG_000 5 326 3 0 28 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 8 IPL_030_2_ 5 321 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 330 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 329 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 0 3 0 33 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 20 + 316 SM_AMIGA_0_ 3 -1 6 3 1 6 7 -1 -1 4 0 20 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 3 3 1 3 6 -1 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 6 3 3 6 7 -1 -1 2 0 20 + 298 inst_CLK_000_D 3 -1 0 3 3 6 7 -1 -1 1 0 21 + 315 SM_AMIGA_1_ 3 -1 6 2 1 6 -1 -1 4 0 20 + 311 CLK_000_CNT_1_ 3 -1 6 2 6 7 -1 -1 4 0 21 + 294 inst_AS_030_000_SYNC 3 -1 7 2 3 7 -1 -1 4 0 21 + 314 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 20 + 304 SM_AMIGA_6_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 319 SM_AMIGA_D_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 310 CLK_000_CNT_0_ 3 -1 7 2 6 7 -1 -1 2 0 20 + 309 SM_AMIGA_5_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 6 2 3 6 -1 -1 2 0 20 + 300 inst_CLK_OUT_PRE 3 -1 6 2 6 7 -1 -1 2 0 21 + 295 inst_DTACK_SYNC 3 -1 6 2 6 7 -1 -1 2 0 20 + 306 inst_RISING_CLK_AMIGA 3 -1 7 2 1 7 -1 -1 1 0 20 + 324 RN_UDS_000 3 31 3 1 3 31 -1 11 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 9 0 21 + 312 CLK_000_CNT_2_ 3 -1 7 1 7 -1 -1 5 0 20 + 320 un1_UDS_000_INT_0_sqmuxa_2_0 3 -1 3 1 3 -1 -1 4 0 21 + 313 CLK_000_CNT_3_ 3 -1 7 1 7 -1 -1 4 0 20 + 293 cpu_est_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 332 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 331 RN_E 3 65 6 1 6 65 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 3 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 3 0 21 + 302 cpu_est_2_ 3 -1 6 1 6 -1 -1 3 1 21 + 301 cpu_est_0_ 3 -1 6 1 6 -1 -1 3 0 21 + 330 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 329 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 318 SM_AMIGA_D_1_ 3 -1 1 1 1 -1 -1 2 0 20 + 317 SM_AMIGA_D_0_ 3 -1 1 1 1 -1 -1 2 0 20 + 303 CLK_CNT_0_ 3 -1 6 1 6 -1 -1 1 0 21 + 299 inst_CLK_000_DD 3 -1 3 1 6 -1 -1 1 0 20 + 296 inst_VPA_D 3 -1 1 1 6 -1 -1 1 0 20 + 60 CLK_OSZI 9 -1 5 0 1 3 6 7 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 10 CLK_000 1 -1 -1 3 0 6 7 10 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 63 CLK_030 1 -1 -1 2 3 7 63 -1 + 13 CPU_SPACE 1 -1 -1 2 3 7 13 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc new file mode 100644 index 0000000..dd6b838 --- /dev/null +++ b/Logic/68030_tk.plc @@ -0,0 +1,115 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 1.7.00.05.28.13 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + +; Source file 68030_tk.tt4 +; FITTER-generated Placements. +; DEVICE mach447a +; DATE Thu May 15 19:20:57 2014 + + +Pin 5 A_30_ +Pin 6 A_29_ +Pin 79 SIZE_1_ +Pin 15 A_28_ +Pin 16 A_27_ +Pin 4 A_31_ +Pin 17 A_26_ +Pin 18 A_25_ +Pin 19 A_24_ +Pin 84 A_23_ +Pin 68 IPL_2_ +Pin 85 A_22_ +Pin 94 A_21_ +Pin 93 A_20_ +Pin 97 A_19_ +Pin 58 FC_1_ +Pin 95 A_18_ +Pin 82 AS_030 +Pin 59 A_17_ +Pin 96 A_16_ +Pin 98 DS_030 +Pin 14 CPU_SPACE +Pin 41 BERR Comb ; S6=1 S9=1 Pair 203 +Pin 21 BG_030 +Pin 28 BGACK_000 +Pin 64 CLK_030 +Pin 11 CLK_000 +Pin 61 CLK_OSZI +Pin 10 CLK_EXP Comb ; S6=1 S9=1 Pair 125 +Pin 69 A_0_ +Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 +Pin 22 AVEC_EXP Comb ; S6=1 S9=1 Pair 149 +Pin 56 IPL_1_ +Pin 36 VPA +Pin 67 IPL_0_ +Pin 80 DSACK_0_ Comb ; S6=1 S9=1 Pair 287 +Pin 86 RST +Pin 57 FC_0_ +Pin 71 RW +Pin 34 AMIGA_BUS_ENABLE Comb ; S6=1 S9=1 Pair 187 +Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 197 +Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 167 +Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 +Pin 70 SIZE_0_ +Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131 +Pin 81 DSACK_1_ Reg ; S6=1 S9=1 Pair 281 +Pin 33 AS_000 Reg ; S6=1 S9=1 Pair 181 +Pin 32 UDS_000 Reg ; S6=1 S9=1 Pair 185 +Pin 31 LDS_000 Reg ; S6=1 S9=1 Pair 191 +Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175 +Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 +Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 245 +Pin 78 FPU_CS Reg ; S6=1 S9=1 Pair 269 +Pin 30 DTACK Reg ; S6=1 S9=1 Pair 173 +Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143 +Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137 +Pin 66 E Reg ; S6=1 S9=1 Pair 251 +Pin 35 VMA Reg ; S6=1 S9=1 Pair 179 +Pin 3 RESET Reg ; S6=1 S9=0 Pair 133 +Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1 +Node 281 RN_DSACK_1_ Reg ; S6=1 S9=1 +Node 181 RN_AS_000 Reg ; S6=1 S9=1 +Node 185 RN_UDS_000 Reg ; S6=1 S9=1 +Node 191 RN_LDS_000 Reg ; S6=1 S9=1 +Node 175 RN_BG_000 Reg ; S6=1 S9=1 +Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 +Node 269 RN_FPU_CS Reg ; S6=1 S9=1 +Node 173 RN_DTACK Reg ; S6=1 S9=1 +Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 +Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 +Node 251 RN_E Reg ; S6=1 S9=1 +Node 179 RN_VMA Reg ; S6=1 S9=1 +Node 250 cpu_est_1_ Reg ; S6=1 S9=1 +Node 271 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 +Node 266 inst_DTACK_SYNC Reg ; S6=0 S9=0 +Node 134 inst_VPA_D Reg ; S6=1 S9=0 +Node 263 inst_VPA_SYNC Reg ; S6=0 S9=0 +Node 101 inst_CLK_000_D Reg ; S6=1 S9=1 +Node 194 inst_CLK_000_DD Reg ; S6=1 S9=0 +Node 260 inst_CLK_OUT_PRE Reg ; S6=1 S9=1 +Node 262 cpu_est_0_ Reg ; S6=1 S9=1 +Node 256 cpu_est_2_ Reg ; S6=1 S9=1 +Node 268 CLK_CNT_0_ Reg ; S6=1 S9=1 +Node 176 SM_AMIGA_6_ Reg ; S6=0 S9=1 +Node 254 SM_AMIGA_7_ Reg ; S6=0 S9=0 +Node 283 inst_RISING_CLK_AMIGA Reg ; S6=1 S9=0 +Node 193 SM_AMIGA_4_ Reg ; S6=0 S9=1 +Node 265 SM_AMIGA_3_ Reg ; S6=1 S9=0 +Node 182 SM_AMIGA_5_ Reg ; S6=0 S9=1 +Node 277 CLK_000_CNT_0_ Reg ; S6=1 S9=0 +Node 253 CLK_000_CNT_1_ Reg ; S6=1 S9=1 +Node 289 CLK_000_CNT_2_ Reg ; S6=1 S9=0 +Node 272 CLK_000_CNT_3_ Reg ; S6=1 S9=0 +Node 259 SM_AMIGA_2_ Reg ; S6=1 S9=0 +Node 247 SM_AMIGA_1_ Reg ; S6=1 S9=0 +Node 257 SM_AMIGA_0_ Reg ; S6=1 S9=0 +Node 145 SM_AMIGA_D_0_ Reg ; S6=1 S9=0 +Node 139 SM_AMIGA_D_1_ Reg ; S6=1 S9=0 +Node 248 SM_AMIGA_D_2_ Reg ; S6=1 S9=1 +Node 188 un1_UDS_000_INT_0_sqmuxa_2_0 Comb ; S6=1 S9=1 +; Unused Pins & Nodes +; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd new file mode 100644 index 0000000..1a4470f --- /dev/null +++ b/Logic/68030_tk.prd @@ -0,0 +1,1816 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 1.7.00.05.28.13 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + +Start: Thu May 15 19:20:57 2014 +End : Thu May 15 19:20:57 2014 $$$ Elapsed time: 00:00:00 +=========================================================================== +Part [C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] + +* Place/Route options (keycode = 540674) + = Spread Placement: ON + = No. Routing Attempts/Placement 2 + +* Placement Completion + + +- Block +------- IO Pins Available + | +- Macrocells Available | +-- IO Pins Used + | | +- Signals to Place | | +----- Logic Array Inputs + | | | +- Placed | | | +- Array Inputs Used +_|____|____|____|_______________|____|_____________|___|________________ + 0 | 16 | 2 | 2 => 100% | 8 | 7 => 87% | 33 | 2 => 6% + 1 | 16 | 8 | 8 => 100% | 8 | 8 => 100% | 33 | 20 => 60% + 2 | 16 | 2 | 2 => 100% | 8 | 8 => 100% | 33 | 1 => 3% + 3 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 26 => 78% + 4 | 16 | 3 | 3 => 100% | 8 | 3 => 37% | 33 | 14 => 42% + 5 | 16 | 0 | 0 => n/a | 8 | 4 => 50% | 33 | 0 => 0% + 6 | 16 | 16 | 16 => 100% | 8 | 7 => 87% | 33 | 26 => 78% + 7 | 16 | 9 | 9 => 100% | 8 | 8 => 100% | 33 | 27 => 81% +---|----|----|------------|-------|------------|-----|------------------ + | Avg number of array inputs in used blocks : 16.57 => 50% + +* Input/Clock Signal count: 35 -> placed: 35 = 100% + + Resources Available Used +----------------------------------------------------------------- + Input Pins : 2 2 => 100% + I/O Pins : 64 53 => 82% + Clock Only Pins : 0 0 => 0% + Clock/Input Pins : 4 4 => 100% + Logic Blocks : 8 7 => 87% + Macrocells : 128 52 => 40% + PT Clusters : 128 45 => 35% + - Single PT Clusters : 128 16 => 12% + Input Registers : 0 + +* Routing Completion: 100% +* Attempts: Place [ 99] Route [ 0] +=========================================================================== + Signal Fanout Table +=========================================================================== + +- Signal Number + | +- Block Location ('+' for dedicated inputs) + | | +- Sig Type + | | | +- Signal-to-Pin Assignment + | | | | Fanout to Logic Blocks Signal Name +___|__|__|____|____________________________________________________________ + 1| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR + 2| 3|OUT| 34|=> ....|....| AMIGA_BUS_ENABLE + 3| 2|OUT| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW + 4| 3| IO| 33|=> ....|....| AS_000 + |=> Paired w/: RN_AS_000 + 5| 7|INP| 82|=> ...3|..67| AS_030 + 6| 0|OUT| 92|=> ....|....| AVEC + 7| 2|OUT| 22|=> ....|....| AVEC_EXP + 8| 6|INP| 69|=> ...3|....| A_0_ + 9| 0|INP| 96|=> ....|...7| A_16_ + 10| 5|INP| 59|=> ....|...7| A_17_ + 11| 0|INP| 95|=> ....|...7| A_18_ + 12| 0|INP| 97|=> ....|...7| A_19_ + 13| 0|INP| 93|=> ....|4...| A_20_ + 14| 0|INP| 94|=> ....|4...| A_21_ + 15| 7|INP| 85|=> ....|4...| A_22_ + 16| 7|INP| 84|=> ....|4...| A_23_ + 17| 2|INP| 19|=> ....|4...| A_24_ + 18| 2|INP| 18|=> ....|4...| A_25_ + 19| 2|INP| 17|=> ....|4...| A_26_ + 20| 2|INP| 16|=> ....|4...| A_27_ + 21| 2|INP| 15|=> ....|4...| A_28_ + 22| 1|INP| 6|=> ....|4...| A_29_ + 23| 1|INP| 5|=> ....|4...| A_30_ + 24| 1|INP| 4|=> ....|4...| A_31_ + 25| 4|OUT| 41|=> ....|....| BERR + 26| 3|INP| 28|=> ....|...7| BGACK_000 + 27| 7| IO| 83|=> ....|....| BGACK_030 + |=> Paired w/: RN_BGACK_030 + 28| 3| IO| 29|=> ....|....| BG_000 + |=> Paired w/: RN_BG_000 + 29| 2|INP| 21|=> ...3|....| BG_030 + 30| 4|OUT| 47|=> ....|....| CIIN + 31| +|INP| 11|=> 0...|..67| CLK_000 + 32| 7|NOD| . |=> ....|..67| CLK_000_CNT_0_ + 33| 6|NOD| . |=> ....|..67| CLK_000_CNT_1_ + 34| 7|NOD| . |=> ....|...7| CLK_000_CNT_2_ + 35| 7|NOD| . |=> ....|...7| CLK_000_CNT_3_ + 36| +|INP| 64|=> ...3|...7| CLK_030 + 37| 6|NOD| . |=> ....|..6.| CLK_CNT_0_ + 38| 6|OUT| 65|=> ....|....| CLK_DIV_OUT + 39| 1|OUT| 10|=> ....|....| CLK_EXP + 40| +|Cin| 61|=> 01.3|..67| CLK_OSZI + 41| +|INP| 14|=> ...3|...7| CPU_SPACE + 42| 7|OUT| 80|=> ....|....| DSACK_0_ + 43| 7| IO| 81|=> ...3|....| DSACK_1_ + |=> Paired w/: RN_DSACK_1_ + 44| 0|INP| 98|=> ...3|....| DS_030 + 45| 3| IO| 30|=> ....|..6.| DTACK + 46| 6| IO| 66|=> ....|....| E + |=> Paired w/: RN_E + 47| 5|INP| 57|=> ....|...7| FC_0_ + 48| 5|INP| 58|=> ....|...7| FC_1_ + 49| 7| IO| 78|=> ....|....| FPU_CS + |=> Paired w/: RN_FPU_CS + 50| 1| IO| 8|=> ....|....| IPL_030_0_ + |=> Paired w/: RN_IPL_030_0_ + 51| 1| IO| 7|=> ....|....| IPL_030_1_ + |=> Paired w/: RN_IPL_030_1_ + 52| 1| IO| 9|=> ....|....| IPL_030_2_ + |=> Paired w/: RN_IPL_030_2_ + 53| 6|INP| 67|=> .1..|....| IPL_0_ + 54| 5|INP| 56|=> .1..|....| IPL_1_ + 55| 6|INP| 68|=> .1..|....| IPL_2_ + 56| 3| IO| 31|=> ....|....| LDS_000 + |=> Paired w/: RN_LDS_000 + 57| 1|OUT| 3|=> ....|....| RESET + 58| 3|NOD| . |=> ...3|..6.| RN_AS_000 + |=> Paired w/: AS_000 + 59| 7|NOD| . |=> ...3|...7| RN_BGACK_030 + |=> Paired w/: BGACK_030 + 60| 3|NOD| . |=> ...3|....| RN_BG_000 + |=> Paired w/: BG_000 + 61| 7|NOD| . |=> ....|...7| RN_DSACK_1_ + |=> Paired w/: DSACK_1_ + 62| 6|NOD| . |=> ....|..6.| RN_E + |=> Paired w/: E + 63| 7|NOD| . |=> ..2.|4..7| RN_FPU_CS + |=> Paired w/: FPU_CS + 64| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ + |=> Paired w/: IPL_030_0_ + 65| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ + |=> Paired w/: IPL_030_1_ + 66| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ + |=> Paired w/: IPL_030_2_ + 67| 3|NOD| . |=> ...3|....| RN_LDS_000 + |=> Paired w/: LDS_000 + 68| 3|NOD| . |=> ...3|....| RN_UDS_000 + |=> Paired w/: UDS_000 + 69| 3|NOD| . |=> ...3|....| RN_VMA + |=> Paired w/: VMA + 70| +|INP| 86|=> .1.3|..67| RST + 71| 6|INP| 71|=> ...3|4...| RW + 72| 6|INP| 70|=> ...3|....| SIZE_0_ + 73| 7|INP| 79|=> ...3|....| SIZE_1_ + 74| 6|NOD| . |=> .1..|..67| SM_AMIGA_0_ + 75| 6|NOD| . |=> .1..|..6.| SM_AMIGA_1_ + 76| 6|NOD| . |=> .1..|..6.| SM_AMIGA_2_ + 77| 6|NOD| . |=> .1..|..6.| SM_AMIGA_3_ + 78| 3|NOD| . |=> .1.3|..6.| SM_AMIGA_4_ + 79| 3|NOD| . |=> .1.3|....| SM_AMIGA_5_ + 80| 3|NOD| . |=> .1.3|....| SM_AMIGA_6_ + 81| 6|NOD| . |=> ...3|..6.| SM_AMIGA_7_ + 82| 1|NOD| . |=> .1..|....| SM_AMIGA_D_0_ + 83| 1|NOD| . |=> .1..|....| SM_AMIGA_D_1_ + 84| 6|NOD| . |=> .1..|..6.| SM_AMIGA_D_2_ + 85| 3| IO| 32|=> ....|....| UDS_000 + |=> Paired w/: RN_UDS_000 + 86| 3| IO| 35|=> ....|....| VMA + |=> Paired w/: RN_VMA + 87| +|INP| 36|=> .1..|....| VPA + 88| 6|NOD| . |=> ....|..6.| cpu_est_0_ + 89| 6|NOD| . |=> ....|..6.| cpu_est_1_ + 90| 6|NOD| . |=> ....|..6.| cpu_est_2_ + 91| 7|NOD| . |=> ...3|...7| inst_AS_030_000_SYNC + 92| 0|NOD| . |=> ...3|..67| inst_CLK_000_D + 93| 3|NOD| . |=> ....|..6.| inst_CLK_000_DD + 94| 6|NOD| . |=> ....|..67| inst_CLK_OUT_PRE + 95| 6|NOD| . |=> ....|..67| inst_DTACK_SYNC + 96| 7|NOD| . |=> .1..|...7| inst_RISING_CLK_AMIGA + 97| 1|NOD| . |=> ....|..6.| inst_VPA_D + 98| 6|NOD| . |=> ...3|..67| inst_VPA_SYNC + 99| 3|NOD| . |=> ...3|....| un1_UDS_000_INT_0_sqmuxa_2_0 +--------------------------------------------------------------------------- +=========================================================================== + < C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > +=========================================================================== + +- Device Pin No + | Pin Type +- Signal Fixed (*) + | | | Signal Name +____|_____|_________|______________________________________________________ + 1 | GND | | | (pwr/test) + 2 | JTAG | | | (pwr/test) + 3 | I_O | 1_07|*| RESET + 4 | I_O | 1_06|*| A_31_ + 5 | I_O | 1_05|*| A_30_ + 6 | I_O | 1_04|*| A_29_ + 7 | I_O | 1_03|*| IPL_030_1_ + 8 | I_O | 1_02|*| IPL_030_0_ + 9 | I_O | 1_01|*| IPL_030_2_ + 10 | I_O | 1_00|*| CLK_EXP + 11 | CkIn | |*| CLK_000 + 12 | Vcc | | | (pwr/test) + 13 | GND | | | (pwr/test) + 14 | CkIn | |*| CPU_SPACE + 15 | I_O | 2_00|*| A_28_ + 16 | I_O | 2_01|*| A_27_ + 17 | I_O | 2_02|*| A_26_ + 18 | I_O | 2_03|*| A_25_ + 19 | I_O | 2_04|*| A_24_ + 20 | I_O | 2_05|*| AMIGA_BUS_ENABLE_LOW + 21 | I_O | 2_06|*| BG_030 + 22 | I_O | 2_07|*| AVEC_EXP + 23 | JTAG | | | (pwr/test) + 24 | JTAG | | | (pwr/test) + 25 | GND | | | (pwr/test) + 26 | GND | | | (pwr/test) + 27 | GND | | | (pwr/test) + 28 | I_O | 3_07|*| BGACK_000 + 29 | I_O | 3_06|*| BG_000 + 30 | I_O | 3_05|*| DTACK + 31 | I_O | 3_04|*| LDS_000 + 32 | I_O | 3_03|*| UDS_000 + 33 | I_O | 3_02|*| AS_000 + 34 | I_O | 3_01|*| AMIGA_BUS_ENABLE + 35 | I_O | 3_00|*| VMA + 36 | Inp | |*| VPA + 37 | Vcc | | | (pwr/test) + 38 | GND | | | (pwr/test) + 39 | GND | | | (pwr/test) + 40 | Vcc | | | (pwr/test) + 41 | I_O | 4_00|*| BERR + 42 | I_O | 4_01| | - + 43 | I_O | 4_02| | - + 44 | I_O | 4_03| | - + 45 | I_O | 4_04| | - + 46 | I_O | 4_05| | - + 47 | I_O | 4_06|*| CIIN + 48 | I_O | 4_07|*| AMIGA_BUS_DATA_DIR + 49 | GND | | | (pwr/test) + 50 | GND | | | (pwr/test) + 51 | GND | | | (pwr/test) + 52 | JTAG | | | (pwr/test) + 53 | I_O | 5_07| | - + 54 | I_O | 5_06| | - + 55 | I_O | 5_05| | - + 56 | I_O | 5_04|*| IPL_1_ + 57 | I_O | 5_03|*| FC_0_ + 58 | I_O | 5_02|*| FC_1_ + 59 | I_O | 5_01|*| A_17_ + 60 | I_O | 5_00| | - + 61 | CkIn | |*| CLK_OSZI + 62 | Vcc | | | (pwr/test) + 63 | GND | | | (pwr/test) + 64 | CkIn | |*| CLK_030 + 65 | I_O | 6_00|*| CLK_DIV_OUT + 66 | I_O | 6_01|*| E + 67 | I_O | 6_02|*| IPL_0_ + 68 | I_O | 6_03|*| IPL_2_ + 69 | I_O | 6_04|*| A_0_ + 70 | I_O | 6_05|*| SIZE_0_ + 71 | I_O | 6_06|*| RW + 72 | I_O | 6_07| | - + 73 | JTAG | | | (pwr/test) + 74 | JTAG | | | (pwr/test) + 75 | GND | | | (pwr/test) + 76 | GND | | | (pwr/test) + 77 | GND | | | (pwr/test) + 78 | I_O | 7_07|*| FPU_CS + 79 | I_O | 7_06|*| SIZE_1_ + 80 | I_O | 7_05|*| DSACK_0_ + 81 | I_O | 7_04|*| DSACK_1_ + 82 | I_O | 7_03|*| AS_030 + 83 | I_O | 7_02|*| BGACK_030 + 84 | I_O | 7_01|*| A_23_ + 85 | I_O | 7_00|*| A_22_ + 86 | Inp | |*| RST + 87 | Vcc | | | (pwr/test) + 88 | GND | | | (pwr/test) + 89 | GND | | | (pwr/test) + 90 | Vcc | | | (pwr/test) + 91 | I_O | 0_00| | - + 92 | I_O | 0_01|*| AVEC + 93 | I_O | 0_02|*| A_20_ + 94 | I_O | 0_03|*| A_21_ + 95 | I_O | 0_04|*| A_18_ + 96 | I_O | 0_05|*| A_16_ + 97 | I_O | 0_06|*| A_19_ + 98 | I_O | 0_07|*| DS_030 + 99 | GND | | | (pwr/test) + 100 | GND | | | (pwr/test) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 0] > Macrocell (MCell) Cluster Assignments +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size + | Sync/Async-------+ | | | Cluster to Mcell Assignment + | Node Fixed(*)----+ | | | | | +- XOR PT Size + | Sig Type-+ | | | | | | | XOR to Mcell Assignment + | Signal Name | | | | | | | | | +_|_________________|__|__|___|_____|__|______|___|__________|______________ + 0|inst_CLK_000_D|NOD| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig + 1| | ? | | S | | 4 free | 1 XOR free + 2| | ? | | S | | 4 free | 1 XOR free + 3| | ? | | S | | 4 free | 1 XOR free + 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig + 5| | ? | | S | | 4 free | 1 XOR free + 6| | ? | | S | | 4 free | 1 XOR free + 7| | ? | | S | | 4 free | 1 XOR free + 8| | ? | | S | | 4 free | 1 XOR free + 9| | ? | | S | | 4 free | 1 XOR free +10| | ? | | S | | 4 free | 1 XOR free +11| | ? | | S | | 4 free | 1 XOR free +12| | ? | | S | | 4 free | 1 XOR free +13| | ? | | S | | 4 free | 1 XOR free +14| | ? | | S | | 4 free | 1 XOR free +15| | ? | | S | | 4 free | 1 XOR free +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 0] > Maximum PT Capacity +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ + | Sync/Async-------+ | | + | Node Fixed(*)----+ | | | + | Sig Type-+ | | | | + | Signal Name | | | | | Maximum PT Capacity +_|_________________|__|__|___|_____|_______________________________________ + 0|inst_CLK_000_D|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) + 1| | ? | | S | |=> can support up to [ 19] logic PT(s) + 2| | ? | | S | |=> can support up to [ 19] logic PT(s) + 3| | ? | | S | |=> can support up to [ 19] logic PT(s) + 4| AVEC|OUT| | S | 1 |=> can support up to [ 20] logic PT(s) + 5| | ? | | S | |=> can support up to [ 19] logic PT(s) + 6| | ? | | S | |=> can support up to [ 20] logic PT(s) + 7| | ? | | S | |=> can support up to [ 20] logic PT(s) + 8| | ? | | S | |=> can support up to [ 20] logic PT(s) + 9| | ? | | S | |=> can support up to [ 20] logic PT(s) +10| | ? | | S | |=> can support up to [ 20] logic PT(s) +11| | ? | | S | |=> can support up to [ 20] logic PT(s) +12| | ? | | S | |=> can support up to [ 20] logic PT(s) +13| | ? | | S | |=> can support up to [ 20] logic PT(s) +14| | ? | | S | |=> can support up to [ 15] logic PT(s) +15| | ? | | S | |=> can support up to [ 10] logic PT(s) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 0] > Node-Pin Assignments +=========================================================================== + + Macrocell Number + | Node Fixed(*)------+ + | Sig Type---+ | to | Block [ 0] IO Pin | Device Pin + | Signal Name | | pin | Numbers | Numbers +_|_________________|__|_____|____________________|________________________ + 0|inst_CLK_000_D|NOD| | => | 5 6 7 0 | 96 97 98 91 + 1| | | | => | 5 6 7 0 | 96 97 98 91 + 2| | | | => | 6 7 0 1 | 97 98 91 92 + 3| | | | => | 6 7 0 1 | 97 98 91 92 + 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 + 5| | | | => | 7 0 1 2 | 98 91 92 93 + 6| | | | => | 0 1 2 3 | 91 92 93 94 + 7| | | | => | 0 1 2 3 | 91 92 93 94 + 8| | | | => | 1 2 3 4 | 92 93 94 95 + 9| | | | => | 1 2 3 4 | 92 93 94 95 +10| | | | => | 2 3 4 5 | 93 94 95 96 +11| | | | => | 2 3 4 5 | 93 94 95 96 +12| | | | => | 3 4 5 6 | 94 95 96 97 +13| | | | => | 3 4 5 6 | 94 95 96 97 +14| | | | => | 4 5 6 7 | 95 96 97 98 +15| | | | => | 4 5 6 7 | 95 96 97 98 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 0] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| | | | 91| => | 0 1 2 3 4 5 6 7 + 1| AVEC|OUT|*| 92| => | 2 3 ( 4) 5 6 7 8 9 + 2| A_20_|INP|*| 93| => | 4 5 6 7 8 9 10 11 + 3| A_21_|INP|*| 94| => | 6 7 8 9 10 11 12 13 + 4| A_18_|INP|*| 95| => | 8 9 10 11 12 13 14 15 + 5| A_16_|INP|*| 96| => | 10 11 12 13 14 15 0 1 + 6| A_19_|INP|*| 97| => | 12 13 14 15 0 1 2 3 + 7| DS_030|INP|*| 98| => | 14 15 0 1 2 3 4 5 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 0] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| | | | 91| => | Input macrocell [ -] + 1| AVEC|OUT|*| 92| => | Input macrocell [ -] + 2| A_20_|INP|*| 93| => | Input macrocell [ -] + 3| A_21_|INP|*| 94| => | Input macrocell [ -] + 4| A_18_|INP|*| 95| => | Input macrocell [ -] + 5| A_16_|INP|*| 96| => | Input macrocell [ -] + 6| A_19_|INP|*| 97| => | Input macrocell [ -] + 7| DS_030|INP|*| 98| => | Input macrocell [ -] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 0] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 91| -| | ] + [RegIn 0 |102| -| | ] + [MCell 0 |101|NOD inst_CLK_000_D| |*] + [MCell 1 |103| -| | ] + + 1 [IOpin 1 | 92|OUT AVEC|*| ] + [RegIn 1 |105| -| | ] + [MCell 2 |104| -| | ] + [MCell 3 |106| -| | ] + + 2 [IOpin 2 | 93|INP A_20_|*|*] + [RegIn 2 |108| -| | ] + [MCell 4 |107|OUT AVEC| | ] + [MCell 5 |109| -| | ] + + 3 [IOpin 3 | 94|INP A_21_|*|*] + [RegIn 3 |111| -| | ] + [MCell 6 |110| -| | ] + [MCell 7 |112| -| | ] + + 4 [IOpin 4 | 95|INP A_18_|*|*] + [RegIn 4 |114| -| | ] + [MCell 8 |113| -| | ] + [MCell 9 |115| -| | ] + + 5 [IOpin 5 | 96|INP A_16_|*|*] + [RegIn 5 |117| -| | ] + [MCell 10 |116| -| | ] + [MCell 11 |118| -| | ] + + 6 [IOpin 6 | 97|INP A_19_|*|*] + [RegIn 6 |120| -| | ] + [MCell 12 |119| -| | ] + [MCell 13 |121| -| | ] + + 7 [IOpin 7 | 98|INP DS_030|*|*] + [RegIn 7 |123| -| | ] + [MCell 14 |122| -| | ] + [MCell 15 |124| -| | ] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 0] > Logic Array Fan-in +=========================================================================== + +- Central Switch Matrix No. + | Src (ABEL Node/Pin#) Signal +--|--|--------------------|--------------------------------------------------- +Mux00| ... | ... +Mux01| ... | ... +Mux02| ... | ... +Mux03| Input Pin ( 11)| CLK_000 +Mux04| Input Pin ( 61)| CLK_OSZI +Mux05| ... | ... +Mux06| ... | ... +Mux07| ... | ... +Mux08| ... | ... +Mux09| ... | ... +Mux10| ... | ... +Mux11| ... | ... +Mux12| ... | ... +Mux13| ... | ... +Mux14| ... | ... +Mux15| ... | ... +Mux16| ... | ... +Mux17| ... | ... +Mux18| ... | ... +Mux19| ... | ... +Mux20| ... | ... +Mux21| ... | ... +Mux22| ... | ... +Mux23| ... | ... +Mux24| ... | ... +Mux25| ... | ... +Mux26| ... | ... +Mux27| ... | ... +Mux28| ... | ... +Mux29| ... | ... +Mux30| ... | ... +Mux31| ... | ... +Mux32| ... | ... +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 1] > Macrocell (MCell) Cluster Assignments +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size + | Sync/Async-------+ | | | Cluster to Mcell Assignment + | Node Fixed(*)----+ | | | | | +- XOR PT Size + | Sig Type-+ | | | | | | | XOR to Mcell Assignment + | Signal Name | | | | | | | | | +_|_________________|__|__|___|_____|__|______|___|__________|______________ + 0| CLK_EXP|OUT| | S |13 :+: 1| 4 to [ 0]| 1 XOR to [ 0] + 1| | ? | | S | | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 2| | ? | | S | | 4 to [ 0]| 1 XOR free + 3| | ? | | S | | 4 free | 1 XOR free + 4| IPL_030_2_| IO| | S | 2 | 4 to [ 4]| 1 XOR free + 5| RESET|OUT| | A | 1 | 2 free | 1 XOR to [ 5] for 1 PT sig + 6| inst_VPA_D|NOD| | A | 1 | 2 free | 1 XOR to [ 6] for 1 PT sig + 7| | ? | | S | | 4 free | 1 XOR free + 8| IPL_030_0_| IO| | S | 2 | 4 to [ 8]| 1 XOR free + 9| SM_AMIGA_D_1_|NOD| | A | 2 | 2 to [ 9]| 1 XOR free +10| | ? | | S | | 4 free | 1 XOR free +11| | ? | | S | | 4 free | 1 XOR free +12| IPL_030_1_| IO| | S | 2 | 4 to [12]| 1 XOR free +13| SM_AMIGA_D_0_|NOD| | A | 2 | 2 to [13]| 1 XOR free +14| | ? | | S | | 4 free | 1 XOR free +15| | ? | | S | | 4 free | 1 XOR free +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 1] > Maximum PT Capacity +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ + | Sync/Async-------+ | | + | Node Fixed(*)----+ | | | + | Sig Type-+ | | | | + | Signal Name | | | | | Maximum PT Capacity +_|_________________|__|__|___|_____|_______________________________________ + 0| CLK_EXP|OUT| | S |13 :+: 1|=> can support up to [ 14] logic PT(s) + 1| | ? | | S | |=> can support up to [ 5] logic PT(s) + 2| | ? | | S | |=> can support up to [ 6] logic PT(s) + 3| | ? | | S | |=> can support up to [ 7] logic PT(s) + 4| IPL_030_2_| IO| | S | 2 |=> can support up to [ 14] logic PT(s) + 5| RESET|OUT| | A | 1 |=> can support up to [ 10] logic PT(s) + 6| inst_VPA_D|NOD| | A | 1 |=> can support up to [ 10] logic PT(s) + 7| | ? | | S | |=> can support up to [ 7] logic PT(s) + 8| IPL_030_0_| IO| | S | 2 |=> can support up to [ 15] logic PT(s) + 9| SM_AMIGA_D_1_|NOD| | A | 2 |=> can support up to [ 13] logic PT(s) +10| | ? | | S | |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 10] logic PT(s) +12| IPL_030_1_| IO| | S | 2 |=> can support up to [ 15] logic PT(s) +13| SM_AMIGA_D_0_|NOD| | A | 2 |=> can support up to [ 13] logic PT(s) +14| | ? | | S | |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 10] logic PT(s) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 1] > Node-Pin Assignments +=========================================================================== + + Macrocell Number + | Node Fixed(*)------+ + | Sig Type---+ | to | Block [ 1] IO Pin | Device Pin + | Signal Name | | pin | Numbers | Numbers +_|_________________|__|_____|____________________|________________________ + 0| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) + 1| | | | => | 5 6 7 0 | 5 4 3 10 + 2| | | | => | 6 7 0 1 | 4 3 10 9 + 3| | | | => | 6 7 0 1 | 4 3 10 9 + 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 + 5| RESET|OUT| | => |( 7) 0 1 2 |( 3) 10 9 8 + 6| inst_VPA_D|NOD| | => | 0 1 2 3 | 10 9 8 7 + 7| | | | => | 0 1 2 3 | 10 9 8 7 + 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 + 9| SM_AMIGA_D_1_|NOD| | => | 1 2 3 4 | 9 8 7 6 +10| | | | => | 2 3 4 5 | 8 7 6 5 +11| | | | => | 2 3 4 5 | 8 7 6 5 +12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 +13| SM_AMIGA_D_0_|NOD| | => | 3 4 5 6 | 7 6 5 4 +14| | | | => | 4 5 6 7 | 6 5 4 3 +15| | | | => | 4 5 6 7 | 6 5 4 3 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 1] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| CLK_EXP|OUT|*| 10| => | ( 0) 1 2 3 4 5 6 7 + 1| IPL_030_2_| IO|*| 9| => | 2 3 ( 4) 5 6 7 8 9 + 2| IPL_030_0_| IO|*| 8| => | 4 5 6 7 ( 8) 9 10 11 + 3| IPL_030_1_| IO|*| 7| => | 6 7 8 9 10 11 (12) 13 + 4| A_29_|INP|*| 6| => | 8 9 10 11 12 13 14 15 + 5| A_30_|INP|*| 5| => | 10 11 12 13 14 15 0 1 + 6| A_31_|INP|*| 4| => | 12 13 14 15 0 1 2 3 + 7| RESET|OUT|*| 3| => | 14 15 0 1 2 3 4 ( 5) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 1] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| CLK_EXP|OUT|*| 10| => | Input macrocell [ -] + 1| IPL_030_2_| IO|*| 9| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_IPL_030_2_] + 2| IPL_030_0_| IO|*| 8| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_IPL_030_0_] + 3| IPL_030_1_| IO|*| 7| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_IPL_030_1_] + 4| A_29_|INP|*| 6| => | Input macrocell [ -] + 5| A_30_|INP|*| 5| => | Input macrocell [ -] + 6| A_31_|INP|*| 4| => | Input macrocell [ -] + 7| RESET|OUT|*| 3| => | Input macrocell [ -] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 1] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 10|OUT CLK_EXP|*| ] + [RegIn 0 |126| -| | ] + [MCell 0 |125|OUT CLK_EXP| | ] + [MCell 1 |127| -| | ] + + 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] + [RegIn 1 |129| -| | ] + [MCell 2 |128| -| | ] + [MCell 3 |130| -| | ] + + 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] + [RegIn 2 |132| -| | ] + [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] + [MCell 5 |133|OUT RESET| | ] + + 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] + [RegIn 3 |135| -| | ] + [MCell 6 |134|NOD inst_VPA_D| |*] + [MCell 7 |136| -| | ] + + 4 [IOpin 4 | 6|INP A_29_|*|*] + [RegIn 4 |138| -| | ] + [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] + [MCell 9 |139|NOD SM_AMIGA_D_1_| |*] + + 5 [IOpin 5 | 5|INP A_30_|*|*] + [RegIn 5 |141| -| | ] + [MCell 10 |140| -| | ] + [MCell 11 |142| -| | ] + + 6 [IOpin 6 | 4|INP A_31_|*|*] + [RegIn 6 |144| -| | ] + [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] + [MCell 13 |145|NOD SM_AMIGA_D_0_| |*] + + 7 [IOpin 7 | 3|OUT RESET|*| ] + [RegIn 7 |147| -| | ] + [MCell 14 |146| -| | ] + [MCell 15 |148| -| | ] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 1] > Logic Array Fan-in +=========================================================================== + +- Central Switch Matrix No. + | Src (ABEL Node/Pin#) Signal +--|--|--------------------|--------------------------------------------------- +Mux00| IOPin 6 2 ( 67)| IPL_0_ +Mux01| Mcel 3 13 ( 193)| SM_AMIGA_4_ +Mux02| ... | ... +Mux03| IOPin 5 4 ( 56)| IPL_1_ +Mux04| Mcel 6 1 ( 247)| SM_AMIGA_1_ +Mux05| Mcel 7 9 ( 283)| inst_RISING_CLK_AMIGA +Mux06| Mcel 1 9 ( 139)| SM_AMIGA_D_1_ +Mux07| ... | ... +Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_ +Mux09| Mcel 6 13 ( 265)| SM_AMIGA_3_ +Mux10| Mcel 6 9 ( 259)| SM_AMIGA_2_ +Mux11| ... | ... +Mux12| Mcel 1 12 ( 143)| RN_IPL_030_1_ +Mux13| Input Pin ( 36)| VPA +Mux14| ... | ... +Mux15| ... | ... +Mux16| Mcel 3 2 ( 176)| SM_AMIGA_6_ +Mux17| ... | ... +Mux18| ... | ... +Mux19| ... | ... +Mux20| ... | ... +Mux21| Mcel 1 13 ( 145)| SM_AMIGA_D_0_ +Mux22| IOPin 6 3 ( 68)| IPL_2_ +Mux23| Mcel 6 2 ( 248)| SM_AMIGA_D_2_ +Mux24| Input Pin ( 86)| RST +Mux25| ... | ... +Mux26| ... | ... +Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ +Mux28| Mcel 6 8 ( 257)| SM_AMIGA_0_ +Mux29| Input Pin ( 61)| CLK_OSZI +Mux30| Mcel 3 6 ( 182)| SM_AMIGA_5_ +Mux31| ... | ... +Mux32| ... | ... +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 2] > Macrocell (MCell) Cluster Assignments +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size + | Sync/Async-------+ | | | Cluster to Mcell Assignment + | Node Fixed(*)----+ | | | | | +- XOR PT Size + | Sig Type-+ | | | | | | | XOR to Mcell Assignment + | Signal Name | | | | | | | | | +_|_________________|__|__|___|_____|__|______|___|__________|______________ + 0| AVEC_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig + 1| | ? | | S | | 4 free | 1 XOR free + 2| | ? | | S | | 4 free | 1 XOR free + 3| | ? | | S | | 4 free | 1 XOR free + 4| | ? | | S | | 4 free | 1 XOR free + 5| | ? | | S | | 4 free | 1 XOR free + 6| | ? | | S | | 4 free | 1 XOR free + 7| | ? | | S | | 4 free | 1 XOR free + 8| | ? | | S | | 4 free | 1 XOR free + 9| | ? | | S | | 4 free | 1 XOR free +10| | ? | | S | | 4 free | 1 XOR free +11| | ? | | S | | 4 free | 1 XOR free +12|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig +13| | ? | | S | | 4 free | 1 XOR free +14| | ? | | S | | 4 free | 1 XOR free +15| | ? | | S | | 4 free | 1 XOR free +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 2] > Maximum PT Capacity +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ + | Sync/Async-------+ | | + | Node Fixed(*)----+ | | | + | Sig Type-+ | | | | + | Signal Name | | | | | Maximum PT Capacity +_|_________________|__|__|___|_____|_______________________________________ + 0| AVEC_EXP|OUT| | S | 1 |=> can support up to [ 15] logic PT(s) + 1| | ? | | S | |=> can support up to [ 19] logic PT(s) + 2| | ? | | S | |=> can support up to [ 20] logic PT(s) + 3| | ? | | S | |=> can support up to [ 20] logic PT(s) + 4| | ? | | S | |=> can support up to [ 20] logic PT(s) + 5| | ? | | S | |=> can support up to [ 20] logic PT(s) + 6| | ? | | S | |=> can support up to [ 20] logic PT(s) + 7| | ? | | S | |=> can support up to [ 20] logic PT(s) + 8| | ? | | S | |=> can support up to [ 20] logic PT(s) + 9| | ? | | S | |=> can support up to [ 20] logic PT(s) +10| | ? | | S | |=> can support up to [ 19] logic PT(s) +11| | ? | | S | |=> can support up to [ 19] logic PT(s) +12|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 20] logic PT(s) +13| | ? | | S | |=> can support up to [ 19] logic PT(s) +14| | ? | | S | |=> can support up to [ 15] logic PT(s) +15| | ? | | S | |=> can support up to [ 10] logic PT(s) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 2] > Node-Pin Assignments +=========================================================================== + + Macrocell Number + | Node Fixed(*)------+ + | Sig Type---+ | to | Block [ 2] IO Pin | Device Pin + | Signal Name | | pin | Numbers | Numbers +_|_________________|__|_____|____________________|________________________ + 0| AVEC_EXP|OUT| | => | 5 6 ( 7) 0 | 20 21 ( 22) 15 + 1| | | | => | 5 6 7 0 | 20 21 22 15 + 2| | | | => | 6 7 0 1 | 21 22 15 16 + 3| | | | => | 6 7 0 1 | 21 22 15 16 + 4| | | | => | 7 0 1 2 | 22 15 16 17 + 5| | | | => | 7 0 1 2 | 22 15 16 17 + 6| | | | => | 0 1 2 3 | 15 16 17 18 + 7| | | | => | 0 1 2 3 | 15 16 17 18 + 8| | | | => | 1 2 3 4 | 16 17 18 19 + 9| | | | => | 1 2 3 4 | 16 17 18 19 +10| | | | => | 2 3 4 5 | 17 18 19 20 +11| | | | => | 2 3 4 5 | 17 18 19 20 +12|AMIGA_BUS_ENABLE_LOW|OUT| | => | 3 4 ( 5) 6 | 18 19 ( 20) 21 +13| | | | => | 3 4 5 6 | 18 19 20 21 +14| | | | => | 4 5 6 7 | 19 20 21 22 +15| | | | => | 4 5 6 7 | 19 20 21 22 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 2] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| A_28_|INP|*| 15| => | 0 1 2 3 4 5 6 7 + 1| A_27_|INP|*| 16| => | 2 3 4 5 6 7 8 9 + 2| A_26_|INP|*| 17| => | 4 5 6 7 8 9 10 11 + 3| A_25_|INP|*| 18| => | 6 7 8 9 10 11 12 13 + 4| A_24_|INP|*| 19| => | 8 9 10 11 12 13 14 15 + 5|AMIGA_BUS_ENABLE_LOW|OUT|*| 20| => | 10 11 (12) 13 14 15 0 1 + 6| BG_030|INP|*| 21| => | 12 13 14 15 0 1 2 3 + 7| AVEC_EXP|OUT|*| 22| => | 14 15 ( 0) 1 2 3 4 5 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 2] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| A_28_|INP|*| 15| => | Input macrocell [ -] + 1| A_27_|INP|*| 16| => | Input macrocell [ -] + 2| A_26_|INP|*| 17| => | Input macrocell [ -] + 3| A_25_|INP|*| 18| => | Input macrocell [ -] + 4| A_24_|INP|*| 19| => | Input macrocell [ -] + 5|AMIGA_BUS_ENABLE_LOW|OUT|*| 20| => | Input macrocell [ -] + 6| BG_030|INP|*| 21| => | Input macrocell [ -] + 7| AVEC_EXP|OUT|*| 22| => | Input macrocell [ -] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 2] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 15|INP A_28_|*|*] + [RegIn 0 |150| -| | ] + [MCell 0 |149|OUT AVEC_EXP| | ] + [MCell 1 |151| -| | ] + + 1 [IOpin 1 | 16|INP A_27_|*|*] + [RegIn 1 |153| -| | ] + [MCell 2 |152| -| | ] + [MCell 3 |154| -| | ] + + 2 [IOpin 2 | 17|INP A_26_|*|*] + [RegIn 2 |156| -| | ] + [MCell 4 |155| -| | ] + [MCell 5 |157| -| | ] + + 3 [IOpin 3 | 18|INP A_25_|*|*] + [RegIn 3 |159| -| | ] + [MCell 6 |158| -| | ] + [MCell 7 |160| -| | ] + + 4 [IOpin 4 | 19|INP A_24_|*|*] + [RegIn 4 |162| -| | ] + [MCell 8 |161| -| | ] + [MCell 9 |163| -| | ] + + 5 [IOpin 5 | 20|OUT AMIGA_BUS_ENABLE_LOW|*| ] + [RegIn 5 |165| -| | ] + [MCell 10 |164| -| | ] + [MCell 11 |166| -| | ] + + 6 [IOpin 6 | 21|INP BG_030|*|*] + [RegIn 6 |168| -| | ] + [MCell 12 |167|OUT AMIGA_BUS_ENABLE_LOW| | ] + [MCell 13 |169| -| | ] + + 7 [IOpin 7 | 22|OUT AVEC_EXP|*| ] + [RegIn 7 |171| -| | ] + [MCell 14 |170| -| | ] + [MCell 15 |172| -| | ] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 2] > Logic Array Fan-in +=========================================================================== + +- Central Switch Matrix No. + | Src (ABEL Node/Pin#) Signal +--|--|--------------------|--------------------------------------------------- +Mux00| ... | ... +Mux01| ... | ... +Mux02| ... | ... +Mux03| ... | ... +Mux04| ... | ... +Mux05| ... | ... +Mux06| Mcel 7 0 ( 269)| RN_FPU_CS +Mux07| ... | ... +Mux08| ... | ... +Mux09| ... | ... +Mux10| ... | ... +Mux11| ... | ... +Mux12| ... | ... +Mux13| ... | ... +Mux14| ... | ... +Mux15| ... | ... +Mux16| ... | ... +Mux17| ... | ... +Mux18| ... | ... +Mux19| ... | ... +Mux20| ... | ... +Mux21| ... | ... +Mux22| ... | ... +Mux23| ... | ... +Mux24| ... | ... +Mux25| ... | ... +Mux26| ... | ... +Mux27| ... | ... +Mux28| ... | ... +Mux29| ... | ... +Mux30| ... | ... +Mux31| ... | ... +Mux32| ... | ... +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 3] > Macrocell (MCell) Cluster Assignments +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size + | Sync/Async-------+ | | | Cluster to Mcell Assignment + | Node Fixed(*)----+ | | | | | +- XOR PT Size + | Sig Type-+ | | | | | | | XOR to Mcell Assignment + | Signal Name | | | | | | | | | +_|_________________|__|__|___|_____|__|______|___|__________|______________ + 0| DTACK| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig + 1| BG_000| IO| | S | 3 | 4 to [ 1]| 1 XOR free + 2| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 3| | ? | | S | | 4 free | 1 XOR free + 4| VMA| IO| | S | 3 | 4 to [ 4]| 1 XOR free + 5| AS_000| IO| | S | 2 | 4 to [ 5]| 1 XOR free + 6| SM_AMIGA_5_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 7| | ? | | S | | 4 to [ 8]| 1 XOR free + 8| UDS_000| IO| | S |11 | 4 to [ 8]| 1 XOR to [ 8] as logic PT + 9|AMIGA_BUS_ENABLE|OUT| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig +10|un1_UDS_000_INT_0_sqmuxa_2_0|NOD| | S | 4 | 4 to [10]| 1 XOR free +11| | ? | | S | | 4 free | 1 XOR free +12| LDS_000| IO| | S | 3 | 4 to [12]| 1 XOR free +13| SM_AMIGA_4_|NOD| | S | 2 | 4 to [13]| 1 XOR free +14|inst_CLK_000_DD|NOD| | A | 1 | 2 free | 1 XOR to [14] for 1 PT sig +15| | ? | | S | | 4 free | 1 XOR free +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 3] > Maximum PT Capacity +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ + | Sync/Async-------+ | | + | Node Fixed(*)----+ | | | + | Sig Type-+ | | | | + | Signal Name | | | | | Maximum PT Capacity +_|_________________|__|__|___|_____|_______________________________________ + 0| DTACK| IO| | S | 1 |=> can support up to [ 5] logic PT(s) + 1| BG_000| IO| | S | 3 |=> can support up to [ 14] logic PT(s) + 2| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) + 3| | ? | | S | |=> can support up to [ 5] logic PT(s) + 4| VMA| IO| | S | 3 |=> can support up to [ 10] logic PT(s) + 5| AS_000| IO| | S | 2 |=> can support up to [ 5] logic PT(s) + 6| SM_AMIGA_5_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) + 7| | ? | | S | |=> can support up to [ 1] logic PT(s) + 8| UDS_000| IO| | S |11 |=> can support up to [ 14] logic PT(s) + 9|AMIGA_BUS_ENABLE|OUT| | S | 1 |=> can support up to [ 6] logic PT(s) +10|un1_UDS_000_INT_0_sqmuxa_2_0|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 5] logic PT(s) +12| LDS_000| IO| | S | 3 |=> can support up to [ 12] logic PT(s) +13| SM_AMIGA_4_|NOD| | S | 2 |=> can support up to [ 12] logic PT(s) +14|inst_CLK_000_DD|NOD| | A | 1 |=> can support up to [ 8] logic PT(s) +15| | ? | | S | |=> can support up to [ 7] logic PT(s) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 3] > Node-Pin Assignments +=========================================================================== + + Macrocell Number + | Node Fixed(*)------+ + | Sig Type---+ | to | Block [ 3] IO Pin | Device Pin + | Signal Name | | pin | Numbers | Numbers +_|_________________|__|_____|____________________|________________________ + 0| DTACK| IO| | => |( 5) 6 7 0 |( 30) 29 28 35 + 1| BG_000| IO| | => | 5 ( 6) 7 0 | 30 ( 29) 28 35 + 2| SM_AMIGA_6_|NOD| | => | 6 7 0 1 | 29 28 35 34 + 3| | | | => | 6 7 0 1 | 29 28 35 34 + 4| VMA| IO| | => | 7 ( 0) 1 2 | 28 ( 35) 34 33 + 5| AS_000| IO| | => | 7 0 1 ( 2)| 28 35 34 ( 33) + 6| SM_AMIGA_5_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 7| | | | => | 0 1 2 3 | 35 34 33 32 + 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 + 9|AMIGA_BUS_ENABLE|OUT| | => |( 1) 2 3 4 |( 34) 33 32 31 +10|un1_UDS_000_INT_0_sqmuxa_2_0|NOD| | => | 2 3 4 5 | 33 32 31 30 +11| | | | => | 2 3 4 5 | 33 32 31 30 +12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 +13| SM_AMIGA_4_|NOD| | => | 3 4 5 6 | 32 31 30 29 +14|inst_CLK_000_DD|NOD| | => | 4 5 6 7 | 31 30 29 28 +15| | | | => | 4 5 6 7 | 31 30 29 28 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 3] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| VMA| IO|*| 35| => | 0 1 2 3 ( 4) 5 6 7 + 1|AMIGA_BUS_ENABLE|OUT|*| 34| => | 2 3 4 5 6 7 8 ( 9) + 2| AS_000| IO|*| 33| => | 4 ( 5) 6 7 8 9 10 11 + 3| UDS_000| IO|*| 32| => | 6 7 ( 8) 9 10 11 12 13 + 4| LDS_000| IO|*| 31| => | 8 9 10 11 (12) 13 14 15 + 5| DTACK| IO|*| 30| => | 10 11 12 13 14 15 ( 0) 1 + 6| BG_000| IO|*| 29| => | 12 13 14 15 0 ( 1) 2 3 + 7| BGACK_000|INP|*| 28| => | 14 15 0 1 2 3 4 5 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 3] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| VMA| IO|*| 35| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_VMA] + 1|AMIGA_BUS_ENABLE|OUT|*| 34| => | Input macrocell [ -] + 2| AS_000| IO|*| 33| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_AS_000] + 3| UDS_000| IO|*| 32| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_UDS_000] + 4| LDS_000| IO|*| 31| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_LDS_000] + 5| DTACK| IO|*| 30| => | Input macrocell [ -] + 6| BG_000| IO|*| 29| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_BG_000] + 7| BGACK_000|INP|*| 28| => | Input macrocell [ -] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 3] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 35| IO VMA|*| ] paired w/[ RN_VMA] + [RegIn 0 |174| -| | ] + [MCell 0 |173| IO DTACK| | ] + [MCell 1 |175|NOD RN_BG_000| |*] paired w/[ BG_000] + + 1 [IOpin 1 | 34|OUT AMIGA_BUS_ENABLE|*| ] + [RegIn 1 |177| -| | ] + [MCell 2 |176|NOD SM_AMIGA_6_| |*] + [MCell 3 |178| -| | ] + + 2 [IOpin 2 | 33| IO AS_000|*| ] paired w/[ RN_AS_000] + [RegIn 2 |180| -| | ] + [MCell 4 |179|NOD RN_VMA| |*] paired w/[ VMA] + [MCell 5 |181|NOD RN_AS_000| |*] paired w/[ AS_000] + + 3 [IOpin 3 | 32| IO UDS_000|*| ] paired w/[ RN_UDS_000] + [RegIn 3 |183| -| | ] + [MCell 6 |182|NOD SM_AMIGA_5_| |*] + [MCell 7 |184| -| | ] + + 4 [IOpin 4 | 31| IO LDS_000|*| ] paired w/[ RN_LDS_000] + [RegIn 4 |186| -| | ] + [MCell 8 |185|NOD RN_UDS_000| |*] paired w/[ UDS_000] + [MCell 9 |187|OUT AMIGA_BUS_ENABLE| | ] + + 5 [IOpin 5 | 30| IO DTACK|*|*] + [RegIn 5 |189| -| | ] + [MCell 10 |188|NOD un1_UDS_000_INT_0_sqmuxa_2_0| |*] + [MCell 11 |190| -| | ] + + 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] + [RegIn 6 |192| -| | ] + [MCell 12 |191|NOD RN_LDS_000| |*] paired w/[ LDS_000] + [MCell 13 |193|NOD SM_AMIGA_4_| |*] + + 7 [IOpin 7 | 28|INP BGACK_000|*|*] + [RegIn 7 |195| -| | ] + [MCell 14 |194|NOD inst_CLK_000_DD| |*] + [MCell 15 |196| -| | ] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 3] > Logic Array Fan-in +=========================================================================== + +- Central Switch Matrix No. + | Src (ABEL Node/Pin#) Signal +--|--|--------------------|--------------------------------------------------- +Mux00| IOPin 6 4 ( 69)| A_0_ +Mux01| Mcel 3 13 ( 193)| SM_AMIGA_4_ +Mux02| Mcel 3 1 ( 175)| RN_BG_000 +Mux03| Mcel 3 2 ( 176)| SM_AMIGA_6_ +Mux04| Mcel 3 6 ( 182)| SM_AMIGA_5_ +Mux05| Mcel 3 12 ( 191)| RN_LDS_000 +Mux06| IOPin 7 6 ( 79)| SIZE_1_ +Mux07| Mcel 6 12 ( 263)| inst_VPA_SYNC +Mux08| IOPin 6 6 ( 71)| RW +Mux09| IOPin 7 3 ( 82)| AS_030 +Mux10| Mcel 3 4 ( 179)| RN_VMA +Mux11| Mcel 3 5 ( 181)| RN_AS_000 +Mux12| Mcel 7 1 ( 271)| inst_AS_030_000_SYNC +Mux13| ... | ... +Mux14| IOPin 6 5 ( 70)| SIZE_0_ +Mux15| Mcel 0 0 ( 101)| inst_CLK_000_D +Mux16| Mcel 3 8 ( 185)| RN_UDS_000 +Mux17| IOPin 7 4 ( 81)| DSACK_1_ +Mux18| IOPin 0 7 ( 98)| DS_030 +Mux19| ... | ... +Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux21| Input Pin ( 14)| CPU_SPACE +Mux22| IOPin 2 6 ( 21)| BG_030 +Mux23| Mcel 6 6 ( 254)| SM_AMIGA_7_ +Mux24| Input Pin ( 86)| RST +Mux25| ... | ... +Mux26| ... | ... +Mux27| ... | ... +Mux28| Input Pin ( 64)| CLK_030 +Mux29| Input Pin ( 61)| CLK_OSZI +Mux30| Mcel 3 10 ( 188)| un1_UDS_000_INT_0_sqmuxa_2_0 +Mux31| ... | ... +Mux32| ... | ... +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 4] > Macrocell (MCell) Cluster Assignments +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size + | Sync/Async-------+ | | | Cluster to Mcell Assignment + | Node Fixed(*)----+ | | | | | +- XOR PT Size + | Sig Type-+ | | | | | | | XOR to Mcell Assignment + | Signal Name | | | | | | | | | +_|_________________|__|__|___|_____|__|______|___|__________|______________ + 0|AMIGA_BUS_DATA_DIR|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig + 1| | ? | | S | | 4 free | 1 XOR free + 2| | ? | | S | | 4 free | 1 XOR free + 3| | ? | | S | | 4 free | 1 XOR free + 4| BERR|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig + 5| | ? | | S | | 4 free | 1 XOR free + 6| | ? | | S | | 4 free | 1 XOR free + 7| | ? | | S | | 4 free | 1 XOR free + 8| | ? | | S | | 4 free | 1 XOR free + 9| | ? | | S | | 4 free | 1 XOR free +10| | ? | | S | | 4 free | 1 XOR free +11| | ? | | S | | 4 free | 1 XOR free +12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig +13| | ? | | S | | 4 free | 1 XOR free +14| | ? | | S | | 4 free | 1 XOR free +15| | ? | | S | | 4 free | 1 XOR free +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 4] > Maximum PT Capacity +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ + | Sync/Async-------+ | | + | Node Fixed(*)----+ | | | + | Sig Type-+ | | | | + | Signal Name | | | | | Maximum PT Capacity +_|_________________|__|__|___|_____|_______________________________________ + 0|AMIGA_BUS_DATA_DIR|OUT| | S | 1 |=> can support up to [ 15] logic PT(s) + 1| | ? | | S | |=> can support up to [ 19] logic PT(s) + 2| | ? | | S | |=> can support up to [ 19] logic PT(s) + 3| | ? | | S | |=> can support up to [ 19] logic PT(s) + 4| BERR|OUT| | S | 1 |=> can support up to [ 20] logic PT(s) + 5| | ? | | S | |=> can support up to [ 19] logic PT(s) + 6| | ? | | S | |=> can support up to [ 20] logic PT(s) + 7| | ? | | S | |=> can support up to [ 20] logic PT(s) + 8| | ? | | S | |=> can support up to [ 20] logic PT(s) + 9| | ? | | S | |=> can support up to [ 20] logic PT(s) +10| | ? | | S | |=> can support up to [ 19] logic PT(s) +11| | ? | | S | |=> can support up to [ 19] logic PT(s) +12| CIIN|OUT| | S | 1 |=> can support up to [ 20] logic PT(s) +13| | ? | | S | |=> can support up to [ 19] logic PT(s) +14| | ? | | S | |=> can support up to [ 15] logic PT(s) +15| | ? | | S | |=> can support up to [ 10] logic PT(s) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 4] > Node-Pin Assignments +=========================================================================== + + Macrocell Number + | Node Fixed(*)------+ + | Sig Type---+ | to | Block [ 4] IO Pin | Device Pin + | Signal Name | | pin | Numbers | Numbers +_|_________________|__|_____|____________________|________________________ + 0|AMIGA_BUS_DATA_DIR|OUT| | => | 5 6 ( 7) 0 | 46 47 ( 48) 41 + 1| | | | => | 5 6 7 0 | 46 47 48 41 + 2| | | | => | 6 7 0 1 | 47 48 41 42 + 3| | | | => | 6 7 0 1 | 47 48 41 42 + 4| BERR|OUT| | => | 7 ( 0) 1 2 | 48 ( 41) 42 43 + 5| | | | => | 7 0 1 2 | 48 41 42 43 + 6| | | | => | 0 1 2 3 | 41 42 43 44 + 7| | | | => | 0 1 2 3 | 41 42 43 44 + 8| | | | => | 1 2 3 4 | 42 43 44 45 + 9| | | | => | 1 2 3 4 | 42 43 44 45 +10| | | | => | 2 3 4 5 | 43 44 45 46 +11| | | | => | 2 3 4 5 | 43 44 45 46 +12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) +13| | | | => | 3 4 5 6 | 44 45 46 47 +14| | | | => | 4 5 6 7 | 45 46 47 48 +15| | | | => | 4 5 6 7 | 45 46 47 48 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 4] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| BERR|OUT|*| 41| => | 0 1 2 3 ( 4) 5 6 7 + 1| | | | 42| => | 2 3 4 5 6 7 8 9 + 2| | | | 43| => | 4 5 6 7 8 9 10 11 + 3| | | | 44| => | 6 7 8 9 10 11 12 13 + 4| | | | 45| => | 8 9 10 11 12 13 14 15 + 5| | | | 46| => | 10 11 12 13 14 15 0 1 + 6| CIIN|OUT|*| 47| => | (12) 13 14 15 0 1 2 3 + 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | 14 15 ( 0) 1 2 3 4 5 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 4] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| BERR|OUT|*| 41| => | Input macrocell [ -] + 1| | | | 42| => | Input macrocell [ -] + 2| | | | 43| => | Input macrocell [ -] + 3| | | | 44| => | Input macrocell [ -] + 4| | | | 45| => | Input macrocell [ -] + 5| | | | 46| => | Input macrocell [ -] + 6| CIIN|OUT|*| 47| => | Input macrocell [ -] + 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | Input macrocell [ -] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 4] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 41|OUT BERR|*| ] + [RegIn 0 |198| -| | ] + [MCell 0 |197|OUT AMIGA_BUS_DATA_DIR| | ] + [MCell 1 |199| -| | ] + + 1 [IOpin 1 | 42| -| | ] + [RegIn 1 |201| -| | ] + [MCell 2 |200| -| | ] + [MCell 3 |202| -| | ] + + 2 [IOpin 2 | 43| -| | ] + [RegIn 2 |204| -| | ] + [MCell 4 |203|OUT BERR| | ] + [MCell 5 |205| -| | ] + + 3 [IOpin 3 | 44| -| | ] + [RegIn 3 |207| -| | ] + [MCell 6 |206| -| | ] + [MCell 7 |208| -| | ] + + 4 [IOpin 4 | 45| -| | ] + [RegIn 4 |210| -| | ] + [MCell 8 |209| -| | ] + [MCell 9 |211| -| | ] + + 5 [IOpin 5 | 46| -| | ] + [RegIn 5 |213| -| | ] + [MCell 10 |212| -| | ] + [MCell 11 |214| -| | ] + + 6 [IOpin 6 | 47|OUT CIIN|*| ] + [RegIn 6 |216| -| | ] + [MCell 12 |215|OUT CIIN| | ] + [MCell 13 |217| -| | ] + + 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] + [RegIn 7 |219| -| | ] + [MCell 14 |218| -| | ] + [MCell 15 |220| -| | ] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 4] > Logic Array Fan-in +=========================================================================== + +- Central Switch Matrix No. + | Src (ABEL Node/Pin#) Signal +--|--|--------------------|--------------------------------------------------- +Mux00| ... | ... +Mux01| IOPin 1 6 ( 4)| A_31_ +Mux02| ... | ... +Mux03| IOPin 2 1 ( 16)| A_27_ +Mux04| IOPin 1 4 ( 6)| A_29_ +Mux05| IOPin 2 4 ( 19)| A_24_ +Mux06| Mcel 7 0 ( 269)| RN_FPU_CS +Mux07| IOPin 2 0 ( 15)| A_28_ +Mux08| IOPin 7 0 ( 85)| A_22_ +Mux09| IOPin 1 5 ( 5)| A_30_ +Mux10| ... | ... +Mux11| IOPin 7 1 ( 84)| A_23_ +Mux12| IOPin 2 3 ( 18)| A_25_ +Mux13| ... | ... +Mux14| ... | ... +Mux15| IOPin 0 3 ( 94)| A_21_ +Mux16| ... | ... +Mux17| IOPin 2 2 ( 17)| A_26_ +Mux18| ... | ... +Mux19| ... | ... +Mux20| ... | ... +Mux21| ... | ... +Mux22| ... | ... +Mux23| ... | ... +Mux24| ... | ... +Mux25| IOPin 6 6 ( 71)| RW +Mux26| ... | ... +Mux27| ... | ... +Mux28| ... | ... +Mux29| IOPin 0 2 ( 93)| A_20_ +Mux30| ... | ... +Mux31| ... | ... +Mux32| ... | ... +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 5] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| | | | 60| => | 0 1 2 3 4 5 6 7 + 1| A_17_|INP|*| 59| => | 2 3 4 5 6 7 8 9 + 2| FC_1_|INP|*| 58| => | 4 5 6 7 8 9 10 11 + 3| FC_0_|INP|*| 57| => | 6 7 8 9 10 11 12 13 + 4| IPL_1_|INP|*| 56| => | 8 9 10 11 12 13 14 15 + 5| | | | 55| => | 10 11 12 13 14 15 0 1 + 6| | | | 54| => | 12 13 14 15 0 1 2 3 + 7| | | | 53| => | 14 15 0 1 2 3 4 5 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 5] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| | | | 60| => | Input macrocell [ -] + 1| A_17_|INP|*| 59| => | Input macrocell [ -] + 2| FC_1_|INP|*| 58| => | Input macrocell [ -] + 3| FC_0_|INP|*| 57| => | Input macrocell [ -] + 4| IPL_1_|INP|*| 56| => | Input macrocell [ -] + 5| | | | 55| => | Input macrocell [ -] + 6| | | | 54| => | Input macrocell [ -] + 7| | | | 53| => | Input macrocell [ -] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 5] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 60| -| | ] + [RegIn 0 |222| -| | ] + [MCell 0 |221| -| | ] + [MCell 1 |223| -| | ] + + 1 [IOpin 1 | 59|INP A_17_|*|*] + [RegIn 1 |225| -| | ] + [MCell 2 |224| -| | ] + [MCell 3 |226| -| | ] + + 2 [IOpin 2 | 58|INP FC_1_|*|*] + [RegIn 2 |228| -| | ] + [MCell 4 |227| -| | ] + [MCell 5 |229| -| | ] + + 3 [IOpin 3 | 57|INP FC_0_|*|*] + [RegIn 3 |231| -| | ] + [MCell 6 |230| -| | ] + [MCell 7 |232| -| | ] + + 4 [IOpin 4 | 56|INP IPL_1_|*|*] + [RegIn 4 |234| -| | ] + [MCell 8 |233| -| | ] + [MCell 9 |235| -| | ] + + 5 [IOpin 5 | 55| -| | ] + [RegIn 5 |237| -| | ] + [MCell 10 |236| -| | ] + [MCell 11 |238| -| | ] + + 6 [IOpin 6 | 54| -| | ] + [RegIn 6 |240| -| | ] + [MCell 12 |239| -| | ] + [MCell 13 |241| -| | ] + + 7 [IOpin 7 | 53| -| | ] + [RegIn 7 |243| -| | ] + [MCell 14 |242| -| | ] + [MCell 15 |244| -| | ] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 6] > Macrocell (MCell) Cluster Assignments +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size + | Sync/Async-------+ | | | Cluster to Mcell Assignment + | Node Fixed(*)----+ | | | | | +- XOR PT Size + | Sig Type-+ | | | | | | | XOR to Mcell Assignment + | Signal Name | | | | | | | | | +_|_________________|__|__|___|_____|__|______|___|__________|______________ + 0| CLK_DIV_OUT|OUT| | S | 1 | 4 to [ 1]| 1 XOR to [ 0] for 1 PT sig + 1| SM_AMIGA_1_|NOD| | A | 4 | 2 to [ 1]| 1 XOR to [ 1] as logic PT + 2| SM_AMIGA_D_2_|NOD| | S | 2 | 4 to [ 2]| 1 XOR free + 3| cpu_est_1_|NOD| | S | 4 | 4 to [ 3]| 1 XOR free + 4| E| IO| | S | 3 | 4 to [ 4]| 1 XOR free + 5|CLK_000_CNT_1_|NOD| | S | 4 | 4 to [ 5]| 1 XOR free + 6| SM_AMIGA_7_|NOD| | A | 2 | 2 to [ 6]| 1 XOR free + 7| cpu_est_2_|NOD| | S | 3 :+: 1| 4 to [ 7]| 1 XOR to [ 7] + 8| SM_AMIGA_0_|NOD| | A | 4 | 2 to [ 9]| 1 XOR to [ 9] as logic PT + 9| SM_AMIGA_2_|NOD| | A | 3 | 2 to [10]| 1 XOR free +10|inst_CLK_OUT_PRE|NOD| | S | 2 | 4 to [ 8]| 1 XOR free +11| cpu_est_0_|NOD| | S | 3 | 4 to [11]| 1 XOR free +12| inst_VPA_SYNC|NOD| | A | 2 | 2 to [12]| 1 XOR free +13| SM_AMIGA_3_|NOD| | A | 3 | 2 to [13]| 1 XOR to [13] as logic PT +14|inst_DTACK_SYNC|NOD| | A | 2 | 2 to [14]| 1 XOR free +15| CLK_CNT_0_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 6] > Maximum PT Capacity +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ + | Sync/Async-------+ | | + | Node Fixed(*)----+ | | | + | Sig Type-+ | | | | + | Signal Name | | | | | Maximum PT Capacity +_|_________________|__|__|___|_____|_______________________________________ + 0| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 1] logic PT(s) + 1| SM_AMIGA_1_|NOD| | A | 4 |=> can support up to [ 7] logic PT(s) + 2| SM_AMIGA_D_2_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) + 3| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) + 4| E| IO| | S | 3 |=> can support up to [ 5] logic PT(s) + 5|CLK_000_CNT_1_|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) + 6| SM_AMIGA_7_|NOD| | A | 2 |=> can support up to [ 3] logic PT(s) + 7| cpu_est_2_|NOD| | S | 3 :+: 1|=> can support up to [ 4] logic PT(s) + 8| SM_AMIGA_0_|NOD| | A | 4 |=> can support up to [ 5] logic PT(s) + 9| SM_AMIGA_2_|NOD| | A | 3 |=> can support up to [ 3] logic PT(s) +10|inst_CLK_OUT_PRE|NOD| | S | 2 |=> can support up to [ 3] logic PT(s) +11| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) +12| inst_VPA_SYNC|NOD| | A | 2 |=> can support up to [ 3] logic PT(s) +13| SM_AMIGA_3_|NOD| | A | 3 |=> can support up to [ 7] logic PT(s) +14|inst_DTACK_SYNC|NOD| | A | 2 |=> can support up to [ 7] logic PT(s) +15| CLK_CNT_0_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 6] > Node-Pin Assignments +=========================================================================== + + Macrocell Number + | Node Fixed(*)------+ + | Sig Type---+ | to | Block [ 6] IO Pin | Device Pin + | Signal Name | | pin | Numbers | Numbers +_|_________________|__|_____|____________________|________________________ + 0| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) + 1| SM_AMIGA_1_|NOD| | => | 5 6 7 0 | 70 71 72 65 + 2| SM_AMIGA_D_2_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 3| cpu_est_1_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 + 5|CLK_000_CNT_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 + 6| SM_AMIGA_7_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 7| cpu_est_2_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 8| SM_AMIGA_0_|NOD| | => | 1 2 3 4 | 66 67 68 69 + 9| SM_AMIGA_2_|NOD| | => | 1 2 3 4 | 66 67 68 69 +10|inst_CLK_OUT_PRE|NOD| | => | 2 3 4 5 | 67 68 69 70 +11| cpu_est_0_|NOD| | => | 2 3 4 5 | 67 68 69 70 +12| inst_VPA_SYNC|NOD| | => | 3 4 5 6 | 68 69 70 71 +13| SM_AMIGA_3_|NOD| | => | 3 4 5 6 | 68 69 70 71 +14|inst_DTACK_SYNC|NOD| | => | 4 5 6 7 | 69 70 71 72 +15| CLK_CNT_0_|NOD| | => | 4 5 6 7 | 69 70 71 72 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 6] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| CLK_DIV_OUT|OUT|*| 65| => | ( 0) 1 2 3 4 5 6 7 + 1| E| IO|*| 66| => | 2 3 ( 4) 5 6 7 8 9 + 2| IPL_0_|INP|*| 67| => | 4 5 6 7 8 9 10 11 + 3| IPL_2_|INP|*| 68| => | 6 7 8 9 10 11 12 13 + 4| A_0_|INP|*| 69| => | 8 9 10 11 12 13 14 15 + 5| SIZE_0_|INP|*| 70| => | 10 11 12 13 14 15 0 1 + 6| RW|INP|*| 71| => | 12 13 14 15 0 1 2 3 + 7| | | | 72| => | 14 15 0 1 2 3 4 5 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 6] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| CLK_DIV_OUT|OUT|*| 65| => | Input macrocell [ -] + 1| E| IO|*| 66| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_E] + 2| IPL_0_|INP|*| 67| => | Input macrocell [ -] + 3| IPL_2_|INP|*| 68| => | Input macrocell [ -] + 4| A_0_|INP|*| 69| => | Input macrocell [ -] + 5| SIZE_0_|INP|*| 70| => | Input macrocell [ -] + 6| RW|INP|*| 71| => | Input macrocell [ -] + 7| | | | 72| => | Input macrocell [ -] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 6] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 65|OUT CLK_DIV_OUT|*| ] + [RegIn 0 |246| -| | ] + [MCell 0 |245|OUT CLK_DIV_OUT| | ] + [MCell 1 |247|NOD SM_AMIGA_1_| |*] + + 1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E] + [RegIn 1 |249| -| | ] + [MCell 2 |248|NOD SM_AMIGA_D_2_| |*] + [MCell 3 |250|NOD cpu_est_1_| |*] + + 2 [IOpin 2 | 67|INP IPL_0_|*|*] + [RegIn 2 |252| -| | ] + [MCell 4 |251|NOD RN_E| |*] paired w/[ E] + [MCell 5 |253|NOD CLK_000_CNT_1_| |*] + + 3 [IOpin 3 | 68|INP IPL_2_|*|*] + [RegIn 3 |255| -| | ] + [MCell 6 |254|NOD SM_AMIGA_7_| |*] + [MCell 7 |256|NOD cpu_est_2_| |*] + + 4 [IOpin 4 | 69|INP A_0_|*|*] + [RegIn 4 |258| -| | ] + [MCell 8 |257|NOD SM_AMIGA_0_| |*] + [MCell 9 |259|NOD SM_AMIGA_2_| |*] + + 5 [IOpin 5 | 70|INP SIZE_0_|*|*] + [RegIn 5 |261| -| | ] + [MCell 10 |260|NOD inst_CLK_OUT_PRE| |*] + [MCell 11 |262|NOD cpu_est_0_| |*] + + 6 [IOpin 6 | 71|INP RW|*|*] + [RegIn 6 |264| -| | ] + [MCell 12 |263|NOD inst_VPA_SYNC| |*] + [MCell 13 |265|NOD SM_AMIGA_3_| |*] + + 7 [IOpin 7 | 72| -| | ] + [RegIn 7 |267| -| | ] + [MCell 14 |266|NOD inst_DTACK_SYNC| |*] + [MCell 15 |268|NOD CLK_CNT_0_| |*] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 6] > Logic Array Fan-in +=========================================================================== + +- Central Switch Matrix No. + | Src (ABEL Node/Pin#) Signal +--|--|--------------------|--------------------------------------------------- +Mux00| Input Pin ( 86)| RST +Mux01| Mcel 3 13 ( 193)| SM_AMIGA_4_ +Mux02| Mcel 1 6 ( 134)| inst_VPA_D +Mux03| Input Pin ( 11)| CLK_000 +Mux04| Input Pin ( 61)| CLK_OSZI +Mux05| Mcel 6 3 ( 250)| cpu_est_1_ +Mux06| ... | ... +Mux07| Mcel 3 5 ( 181)| RN_AS_000 +Mux08| Mcel 6 7 ( 256)| cpu_est_2_ +Mux09| IOPin 3 5 ( 30)| DTACK +Mux10| Mcel 6 9 ( 259)| SM_AMIGA_2_ +Mux11| Mcel 6 4 ( 251)| RN_E +Mux12| Mcel 6 13 ( 265)| SM_AMIGA_3_ +Mux13| Mcel 6 11 ( 262)| cpu_est_0_ +Mux14| Mcel 6 12 ( 263)| inst_VPA_SYNC +Mux15| Mcel 0 0 ( 101)| inst_CLK_000_D +Mux16| ... | ... +Mux17| Mcel 3 14 ( 194)| inst_CLK_000_DD +Mux18| Mcel 6 15 ( 268)| CLK_CNT_0_ +Mux19| IOPin 7 3 ( 82)| AS_030 +Mux20| ... | ... +Mux21| ... | ... +Mux22| Mcel 6 10 ( 260)| inst_CLK_OUT_PRE +Mux23| Mcel 6 6 ( 254)| SM_AMIGA_7_ +Mux24| Mcel 6 1 ( 247)| SM_AMIGA_1_ +Mux25| ... | ... +Mux26| Mcel 6 14 ( 266)| inst_DTACK_SYNC +Mux27| Mcel 7 5 ( 277)| CLK_000_CNT_0_ +Mux28| Mcel 6 8 ( 257)| SM_AMIGA_0_ +Mux29| ... | ... +Mux30| ... | ... +Mux31| Mcel 6 2 ( 248)| SM_AMIGA_D_2_ +Mux32| Mcel 6 5 ( 253)| CLK_000_CNT_1_ +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 7] > Macrocell (MCell) Cluster Assignments +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size + | Sync/Async-------+ | | | Cluster to Mcell Assignment + | Node Fixed(*)----+ | | | | | +- XOR PT Size + | Sig Type-+ | | | | | | | XOR to Mcell Assignment + | Signal Name | | | | | | | | | +_|_________________|__|__|___|_____|__|______|___|__________|______________ + 0| FPU_CS| IO| | S | 2 | 4 to [ 0]| 1 XOR free + 1|inst_AS_030_000_SYNC|NOD| | S | 4 | 4 to [ 1]| 1 XOR free + 2|CLK_000_CNT_3_|NOD| | A | 4 | 2 to [ 2]| 1 XOR to [ 2] as logic PT + 3| | ? | | S | | 4 to [ 2]| 1 XOR free + 4| BGACK_030| IO| | S | 2 | 4 free | 1 XOR free + 5|CLK_000_CNT_0_|NOD| | A | 2 | 2 to [ 4]| 1 XOR free + 6| | ? | | S | | 4 to [ 5]| 1 XOR free + 7| | ? | | S | | 4 to [ 8]| 1 XOR free + 8| DSACK_1_| IO| | S | 9 | 4 free | 1 XOR free + 9|inst_RISING_CLK_AMIGA|NOD| | A | 1 | 2 to [ 8]| 1 XOR to [ 9] for 1 PT sig +10| | ? | | S | | 4 to [ 8]| 1 XOR to [ 8] as logic PT +11| | ? | | S | | 4 free | 1 XOR free +12| DSACK_0_|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig +13|CLK_000_CNT_2_|NOD| | A | 5 | 2 to [13]| 1 XOR to [13] as logic PT +14| | ? | | S | | 4 free | 1 XOR free +15| | ? | | S | | 4 to [13]| 1 XOR free +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 7] > Maximum PT Capacity +=========================================================================== + + Macrocell Number + | PT Requirements------ Logic XOR+ + | Sync/Async-------+ | | + | Node Fixed(*)----+ | | | + | Sig Type-+ | | | | + | Signal Name | | | | | Maximum PT Capacity +_|_________________|__|__|___|_____|_______________________________________ + 0| FPU_CS| IO| | S | 2 |=> can support up to [ 5] logic PT(s) + 1|inst_AS_030_000_SYNC|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) + 2|CLK_000_CNT_3_|NOD| | A | 4 |=> can support up to [ 13] logic PT(s) + 3| | ? | | S | |=> can support up to [ 6] logic PT(s) + 4| BGACK_030| IO| | S | 2 |=> can support up to [ 8] logic PT(s) + 5|CLK_000_CNT_0_|NOD| | A | 2 |=> can support up to [ 10] logic PT(s) + 6| | ? | | S | |=> can support up to [ 6] logic PT(s) + 7| | ? | | S | |=> can support up to [ 6] logic PT(s) + 8| DSACK_1_| IO| | S | 9 |=> can support up to [ 17] logic PT(s) + 9|inst_RISING_CLK_AMIGA|NOD| | A | 1 |=> can support up to [ 11] logic PT(s) +10| | ? | | S | |=> can support up to [ 9] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| DSACK_0_|OUT| | S | 1 |=> can support up to [ 15] logic PT(s) +13|CLK_000_CNT_2_|NOD| | A | 5 |=> can support up to [ 17] logic PT(s) +14| | ? | | S | |=> can support up to [ 5] logic PT(s) +15| | ? | | S | |=> can support up to [ 6] logic PT(s) +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 7] > Node-Pin Assignments +=========================================================================== + + Macrocell Number + | Node Fixed(*)------+ + | Sig Type---+ | to | Block [ 7] IO Pin | Device Pin + | Signal Name | | pin | Numbers | Numbers +_|_________________|__|_____|____________________|________________________ + 0| FPU_CS| IO| | => | 5 6 ( 7) 0 | 80 79 ( 78) 85 + 1|inst_AS_030_000_SYNC|NOD| | => | 5 6 7 0 | 80 79 78 85 + 2|CLK_000_CNT_3_|NOD| | => | 6 7 0 1 | 79 78 85 84 + 3| | | | => | 6 7 0 1 | 79 78 85 84 + 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) + 5|CLK_000_CNT_0_|NOD| | => | 7 0 1 2 | 78 85 84 83 + 6| | | | => | 0 1 2 3 | 85 84 83 82 + 7| | | | => | 0 1 2 3 | 85 84 83 82 + 8| DSACK_1_| IO| | => | 1 2 3 ( 4)| 84 83 82 ( 81) + 9|inst_RISING_CLK_AMIGA|NOD| | => | 1 2 3 4 | 84 83 82 81 +10| | | | => | 2 3 4 5 | 83 82 81 80 +11| | | | => | 2 3 4 5 | 83 82 81 80 +12| DSACK_0_|OUT| | => | 3 4 ( 5) 6 | 82 81 ( 80) 79 +13|CLK_000_CNT_2_|NOD| | => | 3 4 5 6 | 82 81 80 79 +14| | | | => | 4 5 6 7 | 81 80 79 78 +15| | | | => | 4 5 6 7 | 81 80 79 78 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 7] > IO-to-Node Pin Mapping +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Node Destinations Via Output Matrix +_|_________________|__|___|_____|___________________________________________ + 0| A_22_|INP|*| 85| => | 0 1 2 3 4 5 6 7 + 1| A_23_|INP|*| 84| => | 2 3 4 5 6 7 8 9 + 2| BGACK_030| IO|*| 83| => | ( 4) 5 6 7 8 9 10 11 + 3| AS_030|INP|*| 82| => | 6 7 8 9 10 11 12 13 + 4| DSACK_1_| IO|*| 81| => | ( 8) 9 10 11 12 13 14 15 + 5| DSACK_0_|OUT|*| 80| => | 10 11 (12) 13 14 15 0 1 + 6| SIZE_1_|INP|*| 79| => | 12 13 14 15 0 1 2 3 + 7| FPU_CS| IO|*| 78| => | 14 15 ( 0) 1 2 3 4 5 +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 7] > IO/Node and IO/Input Macrocell Pairing Table +=========================================================================== + +- Block IO Pin + | Device Pin No.--------+ + | Pin Fixed(*)----+ | + | Sig Type--+ | | | + | Signal Name | | | | Input Macrocell and Node Pairs +_|_________________|__|___|_____|__________________________________________ + 0| A_22_|INP|*| 85| => | Input macrocell [ -] + 1| A_23_|INP|*| 84| => | Input macrocell [ -] + 2| BGACK_030| IO|*| 83| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_BGACK_030] + 3| AS_030|INP|*| 82| => | Input macrocell [ -] + 4| DSACK_1_| IO|*| 81| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_DSACK_1_] + 5| DSACK_0_|OUT|*| 80| => | Input macrocell [ -] + 6| SIZE_1_|INP|*| 79| => | Input macrocell [ -] + 7| FPU_CS| IO|*| 78| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_FPU_CS] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 7] > Input Multiplexer (IMX) Assignments +=========================================================================== + +----- IO pin/Input Register, or Macrocell +IMX No. | +---- Block IO Pin or Macrocell Number + | | | ABEL Node/ +-- Signal using the Pin or Macrocell + | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell + | | | | Sig Type | | +- Feedback Required (*) +---|-------|----|---|---|----------|------|-|------------------------------ + 0 [IOpin 0 | 85|INP A_22_|*|*] + [RegIn 0 |270| -| | ] + [MCell 0 |269|NOD RN_FPU_CS| |*] paired w/[ FPU_CS] + [MCell 1 |271|NOD inst_AS_030_000_SYNC| |*] + + 1 [IOpin 1 | 84|INP A_23_|*|*] + [RegIn 1 |273| -| | ] + [MCell 2 |272|NOD CLK_000_CNT_3_| |*] + [MCell 3 |274| -| | ] + + 2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030] + [RegIn 2 |276| -| | ] + [MCell 4 |275|NOD RN_BGACK_030| |*] paired w/[ BGACK_030] + [MCell 5 |277|NOD CLK_000_CNT_0_| |*] + + 3 [IOpin 3 | 82|INP AS_030|*|*] + [RegIn 3 |279| -| | ] + [MCell 6 |278| -| | ] + [MCell 7 |280| -| | ] + + 4 [IOpin 4 | 81| IO DSACK_1_|*|*] paired w/[ RN_DSACK_1_] + [RegIn 4 |282| -| | ] + [MCell 8 |281|NOD RN_DSACK_1_| |*] paired w/[ DSACK_1_] + [MCell 9 |283|NOD inst_RISING_CLK_AMIGA| |*] + + 5 [IOpin 5 | 80|OUT DSACK_0_|*| ] + [RegIn 5 |285| -| | ] + [MCell 10 |284| -| | ] + [MCell 11 |286| -| | ] + + 6 [IOpin 6 | 79|INP SIZE_1_|*|*] + [RegIn 6 |288| -| | ] + [MCell 12 |287|OUT DSACK_0_| | ] + [MCell 13 |289|NOD CLK_000_CNT_2_| |*] + + 7 [IOpin 7 | 78| IO FPU_CS|*| ] paired w/[ RN_FPU_CS] + [RegIn 7 |291| -| | ] + [MCell 14 |290| -| | ] + [MCell 15 |292| -| | ] +--------------------------------------------------------------------------- +=========================================================================== + < Block [ 7] > Logic Array Fan-in +=========================================================================== + +- Central Switch Matrix No. + | Src (ABEL Node/Pin#) Signal +--|--|--------------------|--------------------------------------------------- +Mux00| Input Pin ( 86)| RST +Mux01| IOPin 5 2 ( 58)| FC_1_ +Mux02| ... | ... +Mux03| Mcel 7 8 ( 281)| RN_DSACK_1_ +Mux04| IOPin 0 4 ( 95)| A_18_ +Mux05| Input Pin ( 14)| CPU_SPACE +Mux06| IOPin 0 5 ( 96)| A_16_ +Mux07| Mcel 6 12 ( 263)| inst_VPA_SYNC +Mux08| IOPin 5 1 ( 59)| A_17_ +Mux09| IOPin 7 3 ( 82)| AS_030 +Mux10| Mcel 7 1 ( 271)| inst_AS_030_000_SYNC +Mux11| Mcel 6 14 ( 266)| inst_DTACK_SYNC +Mux12| IOPin 0 6 ( 97)| A_19_ +Mux13| Mcel 7 5 ( 277)| CLK_000_CNT_0_ +Mux14| Mcel 7 2 ( 272)| CLK_000_CNT_3_ +Mux15| Mcel 0 0 ( 101)| inst_CLK_000_D +Mux16| ... | ... +Mux17| IOPin 5 3 ( 57)| FC_0_ +Mux18| IOPin 3 7 ( 28)| BGACK_000 +Mux19| Mcel 7 13 ( 289)| CLK_000_CNT_2_ +Mux20| Input Pin ( 64)| CLK_030 +Mux21| Input Pin ( 61)| CLK_OSZI +Mux22| Mcel 6 10 ( 260)| inst_CLK_OUT_PRE +Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux24| Input Pin ( 11)| CLK_000 +Mux25| ... | ... +Mux26| ... | ... +Mux27| Mcel 7 9 ( 283)| inst_RISING_CLK_AMIGA +Mux28| Mcel 6 8 ( 257)| SM_AMIGA_0_ +Mux29| ... | ... +Mux30| Mcel 7 0 ( 269)| RN_FPU_CS +Mux31| ... | ... +Mux32| Mcel 6 5 ( 253)| CLK_000_CNT_1_ +--------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rev b/Logic/68030_tk.rev new file mode 100644 index 0000000..e8bfb3d --- /dev/null +++ b/Logic/68030_tk.rev @@ -0,0 +1,3 @@ + + + diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt new file mode 100644 index 0000000..f32a840 --- /dev/null +++ b/Logic/68030_tk.rpt @@ -0,0 +1,1417 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 1.7.00.05.28.13 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + + + +Project_Summary +~~~~~~~~~~~~~~~ + +Project Name : 68030_tk +Project Path : C:\Users\Matze\Amiga\Hardwarehacks\68030-TK\Logic +Project Fitted on : Thu May 15 19:20:57 2014 + +Device : M4A5-128/64 +Package : 100TQFP +Speed : -10 +Partnumber : M4A5-128/64-10VC +Source Format : Pure_VHDL + + +// Project '68030_tk' was Fitted Successfully! // + + +Compilation_Times +~~~~~~~~~~~~~~~~~ +Reading/DRC 0 sec +Partition 0 sec +Place 0 sec +Route 0 sec +Jedec/Report generation 0 sec + -------- +Fitter 00:00:00 + + +Design_Summary +~~~~~~~~~~~~~~ + Total Input Pins : 35 + Total Output Pins : 22 + Total Bidir I/O Pins : 2 + Total Flip-Flops : 42 + Total Product Terms : 141 + Total Reserved Pins : 0 + Total Reserved Blocks : 0 + + +Device_Resource_Summary +~~~~~~~~~~~~~~~~~~~~~~~ + Total + Available Used Available Utilization +Dedicated Pins + Input-Only Pins 2 2 0 --> 100% + Clock/Input Pins 4 4 0 --> 100% +I/O Pins 64 53 11 --> 82% +Logic Macrocells 128 52 76 --> 40% + Input Registers 64 0 64 --> 0% + Unusable Macrocells .. 0 .. + +CSM Outputs/Total Block Inputs 264 116 148 --> 43% +Logical Product Terms 640 143 497 --> 22% +Product Term Clusters 128 48 80 --> 37% + + +Blocks_Resource_Summary +~~~~~~~~~~~~~~~~~~~~~~~ + # of PT + I/O Inp Macrocells Macrocells logic clusters + Fanin Pins Reg Used Unusable available PTs available Pwr +--------------------------------------------------------------------------------- +Maximum 33 8 8 -- -- 16 80 16 - +--------------------------------------------------------------------------------- +Block A 2 7 0 2 0 14 2 16 Hi +Block B 20 8 0 8 0 8 26 8 Hi +Block C 1 8 0 2 0 14 2 16 Hi +Block D 26 8 0 12 0 4 36 5 Hi +Block E 14 3 0 3 0 13 3 16 Hi +Block F 0 4 0 0 0 16 0 16 Hi +Block G 26 7 0 16 0 0 44 1 Hi +Block H 27 8 0 9 0 7 30 5 Hi +--------------------------------------------------------------------------------- + + Four rightmost columns above reflect last status of the placement process. + Pwr (Power) : Hi = High + Lo = Low. + + +Optimizer_and_Fitter_Options +~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +Pin Assignment : Yes +Group Assignment : No +Pin Reservation : No (1) +Block Reservation : No + +@Ignore_Project_Constraints : + Pin Assignments : No + Keep Block Assignment -- + Keep Segment Assignment -- + Group Assignments : No + Macrocell Assignment : No + Keep Block Assignment -- + Keep Segment Assignment -- + +@Backannotate_Project_Constraints + Pin Assignments : No + Pin And Block Assignments : No + Pin, Macrocell and Block : No + +@Timing_Constraints : No + +@Global_Project_Optimization : + Balanced Partitioning : Yes + Spread Placement : Yes + + Note : + Pack Design : + Balanced Partitioning = No + Spread Placement = No + Spread Design : + Balanced Partitioning = Yes + Spread Placement = Yes + +@Logic_Synthesis : + Logic Reduction : Yes + Node Collapsing : Yes + D/T Synthesis : Yes + Clock Optimization : No + Input Register Optimization : Yes + XOR Synthesis : Yes + Max. P-Term for Collapsing : 16 + Max. P-Term for Splitting : 16 + Max. Equation Fanin : 32 + Keep Xor : Yes + +@Utilization_options + Max. % of macrocells used : 100 + Max. % of block inputs used : 100 + Max. % of segment lines used : --- + Max. % of macrocells used : --- + + +@Import_Source_Constraint_Option No + +@Zero_Hold_Time Yes + +@Pull_up Yes + +@User_Signature #H0 + +@Output_Slew_Rate Default = Fast(2) + +@Power Default = High(2) + + +Device Options: + 1 : Reserved unused I/Os can be independently driven to Low or High, and does not + follow the drive level set for the Global Configure Unused I/O Option. + 2 : For user-specified constraints on individual signals, refer to the Output, + Bidir and Burried Signal Lists. + + + + +Pinout_Listing +~~~~~~~~~~~~~~ + | Pin |Blk |Assigned| +Pin No| Type |Pad |Pin | Signal name +--------------------------------------------------------------- + 1 | GND | | | + 2 | JTAG | | | + 3 | I_O | B7 | * |RESET +4 | I_O | B6 | * |A_31_ +5 | I_O | B5 | * |A_30_ +6 | I_O | B4 | * |A_29_ +7 | I_O | B3 | * |IPL_030_1_ +8 | I_O | B2 | * |IPL_030_0_ +9 | I_O | B1 | * |IPL_030_2_ +10 | I_O | B0 | * |CLK_EXP +11 | CkIn | | * |CLK_000 +12 | Vcc | | | +13 | GND | | | +14 | CkIn | | * |CPU_SPACE +15 | I_O | C0 | * |A_28_ +16 | I_O | C1 | * |A_27_ +17 | I_O | C2 | * |A_26_ +18 | I_O | C3 | * |A_25_ +19 | I_O | C4 | * |A_24_ +20 | I_O | C5 | * |AMIGA_BUS_ENABLE_LOW +21 | I_O | C6 | * |BG_030 +22 | I_O | C7 | * |AVEC_EXP +23 | JTAG | | | +24 | JTAG | | | +25 | GND | | | +26 | GND | | | +27 | GND | | | +28 | I_O | D7 | * |BGACK_000 +29 | I_O | D6 | * |BG_000 +30 | I_O | D5 | * |DTACK +31 | I_O | D4 | * |LDS_000 +32 | I_O | D3 | * |UDS_000 +33 | I_O | D2 | * |AS_000 +34 | I_O | D1 | * |AMIGA_BUS_ENABLE +35 | I_O | D0 | * |VMA +36 | Inp | | * |VPA +37 | Vcc | | | +38 | GND | | | +39 | GND | | | +40 | Vcc | | | +41 | I_O | E0 | * |BERR +42 | I_O | E1 | | +43 | I_O | E2 | | +44 | I_O | E3 | | +45 | I_O | E4 | | +46 | I_O | E5 | | +47 | I_O | E6 | * |CIIN +48 | I_O | E7 | * |AMIGA_BUS_DATA_DIR +49 | GND | | | +50 | GND | | | +51 | GND | | | +52 | JTAG | | | +53 | I_O | F7 | | +54 | I_O | F6 | | +55 | I_O | F5 | | +56 | I_O | F4 | * |IPL_1_ +57 | I_O | F3 | * |FC_0_ +58 | I_O | F2 | * |FC_1_ +59 | I_O | F1 | * |A_17_ +60 | I_O | F0 | | +61 | CkIn | | * |CLK_OSZI +62 | Vcc | | | +63 | GND | | | +64 | CkIn | | * |CLK_030 +65 | I_O | G0 | * |CLK_DIV_OUT +66 | I_O | G1 | * |E +67 | I_O | G2 | * |IPL_0_ +68 | I_O | G3 | * |IPL_2_ +69 | I_O | G4 | * |A_0_ +70 | I_O | G5 | * |SIZE_0_ +71 | I_O | G6 | * |RW +72 | I_O | G7 | | +73 | JTAG | | | +74 | JTAG | | | +75 | GND | | | +76 | GND | | | +77 | GND | | | +78 | I_O | H7 | * |FPU_CS +79 | I_O | H6 | * |SIZE_1_ +80 | I_O | H5 | * |DSACK_0_ +81 | I_O | H4 | * |DSACK_1_ +82 | I_O | H3 | * |AS_030 +83 | I_O | H2 | * |BGACK_030 +84 | I_O | H1 | * |A_23_ +85 | I_O | H0 | * |A_22_ +86 | Inp | | * |RST +87 | Vcc | | | +88 | GND | | | +89 | GND | | | +90 | Vcc | | | +91 | I_O | A0 | | +92 | I_O | A1 | * |AVEC +93 | I_O | A2 | * |A_20_ +94 | I_O | A3 | * |A_21_ +95 | I_O | A4 | * |A_18_ +96 | I_O | A5 | * |A_16_ +97 | I_O | A6 | * |A_19_ +98 | I_O | A7 | * |DS_030 +99 | GND | | | +100 | GND | | | + +--------------------------------------------------------------------------- + + Blk Pad : This notation refers to the Block I/O pad number in the device. + Assigned Pin : user or dedicated input assignment (E.g. Clock pins). + Pin Type : + CkIn : Dedicated input or clock pin + CLK : Dedicated clock pin + INP : Dedicated input pin + JTAG : JTAG Control and test pin + NC : No connected + + + +Input_Signal_List +~~~~~~~~~~~~~~~~~ + P R + Pin r e O Input +Pin Blk PTs Type e s E Fanout Pwr Slew Signal +---------------------------------------------------------------------- + 82 H . I/O ---D--GH Hi Fast AS_030 + 69 G . I/O ---D---- Hi Fast A_0_ + 96 A . I/O -------H Hi Fast A_16_ + 59 F . I/O -------H Hi Fast A_17_ + 95 A . I/O -------H Hi Fast A_18_ + 97 A . I/O -------H Hi Fast A_19_ + 93 A . I/O ----E--- Hi Fast A_20_ + 94 A . I/O ----E--- Hi Fast A_21_ + 85 H . I/O ----E--- Hi Fast A_22_ + 84 H . I/O ----E--- Hi Fast A_23_ + 19 C . I/O ----E--- Hi Fast A_24_ + 18 C . I/O ----E--- Hi Fast A_25_ + 17 C . I/O ----E--- Hi Fast A_26_ + 16 C . I/O ----E--- Hi Fast A_27_ + 15 C . I/O ----E--- Hi Fast A_28_ + 6 B . I/O ----E--- Hi Fast A_29_ + 5 B . I/O ----E--- Hi Fast A_30_ + 4 B . I/O ----E--- Hi Fast A_31_ + 28 D . I/O -------H Hi Fast BGACK_000 + 21 C . I/O ---D---- Hi Fast BG_030 + 98 A . I/O ---D---- Hi Fast DS_030 + 57 F . I/O -------H Hi Fast FC_0_ + 58 F . I/O -------H Hi Fast FC_1_ + 67 G . I/O -B------ Hi Fast IPL_0_ + 56 F . I/O -B------ Hi Fast IPL_1_ + 68 G . I/O -B------ Hi Fast IPL_2_ + 71 G . I/O ---DE--- Hi Fast RW + 70 G . I/O ---D---- Hi Fast SIZE_0_ + 79 H . I/O ---D---- Hi Fast SIZE_1_ + 11 . . Ck/I A-----GH - Fast CLK_000 + 14 . . Ck/I ---D---H - Fast CPU_SPACE + 36 . . Ded -B------ - Fast VPA + 61 . . Ck/I AB-D--GH - Fast CLK_OSZI + 64 . . Ck/I ---D---H - Fast CLK_030 + 86 . . Ded -B-D--GH - Fast RST +---------------------------------------------------------------------- + + Power : Hi = High + MH = Medium High + ML = Medium Low + Lo = Low + + + +Output_Signal_List +~~~~~~~~~~~~~~~~~~ + P R + Pin r e O Output +Pin Blk PTs Type e s E Fanout Pwr Slew Signal +---------------------------------------------------------------------- + 48 E 1 COM -------- Hi Fast AMIGA_BUS_DATA_DIR + 34 D 1 COM -------- Hi Fast AMIGA_BUS_ENABLE + 20 C 1 COM -------- Hi Fast AMIGA_BUS_ENABLE_LOW + 33 D 2 DFF * * -------- Hi Fast AS_000 + 92 A 1 COM -------- Hi Fast AVEC + 22 C 1 COM -------- Hi Fast AVEC_EXP + 41 E 1 COM -------- Hi Fast BERR + 83 H 2 DFF * * -------- Hi Fast BGACK_030 + 29 D 3 DFF * * -------- Hi Fast BG_000 + 47 E 1 COM -------- Hi Fast CIIN + 65 G 1 DFF * * -------- Hi Fast CLK_DIV_OUT + 10 B 13 COM -------- Hi Fast CLK_EXP + 80 H 1 COM -------- Hi Fast DSACK_0_ + 66 G 3 TFF * * -------- Hi Fast E + 78 H 2 DFF * * -------- Hi Fast FPU_CS + 8 B 2 DFF * * -------- Hi Fast IPL_030_0_ + 7 B 2 DFF * * -------- Hi Fast IPL_030_1_ + 9 B 2 DFF * * -------- Hi Fast IPL_030_2_ + 31 D 3 DFF * * -------- Hi Fast LDS_000 + 3 B 1 DFF * * -------- Hi Fast RESET + 32 D 11 DFF * * -------- Hi Fast UDS_000 + 35 D 3 DFF * * -------- Hi Fast VMA +---------------------------------------------------------------------- + + Power : Hi = High + MH = Medium High + ML = Medium Low + Lo = Low + + + +Bidir_Signal_List +~~~~~~~~~~~~~~~~~ + P R + Pin r e O Bidir +Pin Blk PTs Type e s E Fanout Pwr Slew Signal +---------------------------------------------------------------------- + 81 H 9 DFF * * ---D---- Hi Fast DSACK_1_ + 30 D 1 DFF * * ------G- Hi Fast DTACK +---------------------------------------------------------------------- + + Power : Hi = High + MH = Medium High + ML = Medium Low + Lo = Low + + + +Buried_Signal_List +~~~~~~~~~~~~~~~~~~ + P R + Pin r e O Node +#Mc Blk PTs Type e s E Fanout Pwr Slew Signal +---------------------------------------------------------------------- + H5 H 2 DFF * * ------GH Hi Fast CLK_000_CNT_0_ + G5 G 4 DFF * * ------GH Hi Fast CLK_000_CNT_1_ + H13 H 5 DFF * * -------H Hi Fast CLK_000_CNT_2_ + H2 H 4 TFF * * -------H Hi Fast CLK_000_CNT_3_ + G15 G 1 DFF * * ------G- Hi Fast CLK_CNT_0_ + D5 D 2 DFF * * ---D--G- Hi - RN_AS_000 --> AS_000 + H4 H 2 DFF * * ---D---H Hi - RN_BGACK_030 --> BGACK_030 + D1 D 3 DFF * * ---D---- Hi - RN_BG_000 --> BG_000 + H8 H 9 DFF * * -------H Hi - RN_DSACK_1_ --> DSACK_1_ + G4 G 3 TFF * * ------G- Hi - RN_E --> E + H0 H 2 DFF * * --C-E--H Hi - RN_FPU_CS --> FPU_CS + B8 B 2 DFF * * -B------ Hi - RN_IPL_030_0_ --> IPL_030_0_ + B12 B 2 DFF * * -B------ Hi - RN_IPL_030_1_ --> IPL_030_1_ + B4 B 2 DFF * * -B------ Hi - RN_IPL_030_2_ --> IPL_030_2_ + D12 D 3 DFF * * ---D---- Hi - RN_LDS_000 --> LDS_000 + D8 D 11 DFF * * ---D---- Hi - RN_UDS_000 --> UDS_000 + D4 D 3 DFF * * ---D---- Hi - RN_VMA --> VMA + G8 G 4 DFF * * -B----GH Hi Fast SM_AMIGA_0_ + G1 G 4 DFF * * -B----G- Hi Fast SM_AMIGA_1_ + G9 G 3 DFF * * -B----G- Hi Fast SM_AMIGA_2_ + G13 G 3 DFF * * -B----G- Hi Fast SM_AMIGA_3_ + D13 D 2 DFF * * -B-D--G- Hi Fast SM_AMIGA_4_ + D6 D 2 DFF * * -B-D---- Hi Fast SM_AMIGA_5_ + D2 D 3 DFF * * -B-D---- Hi Fast SM_AMIGA_6_ + G6 G 2 DFF * * ---D--G- Hi Fast SM_AMIGA_7_ + B13 B 2 DFF * * -B------ Hi Fast SM_AMIGA_D_0_ + B9 B 2 DFF * * -B------ Hi Fast SM_AMIGA_D_1_ + G2 G 2 DFF * * -B----G- Hi Fast SM_AMIGA_D_2_ + G11 G 3 DFF * * ------G- Hi Fast cpu_est_0_ + G3 G 4 TFF * * ------G- Hi Fast cpu_est_1_ + G7 G 3 DFF * * ------G- Hi Fast cpu_est_2_ + H1 H 4 DFF * * ---D---H Hi Fast inst_AS_030_000_SYNC + A0 A 1 DFF * * ---D--GH Hi Fast inst_CLK_000_D + D14 D 1 DFF * * ------G- Hi Fast inst_CLK_000_DD + G10 G 2 DFF * * ------GH Hi Fast inst_CLK_OUT_PRE + G14 G 2 DFF * * ------GH Hi Fast inst_DTACK_SYNC + H9 H 1 DFF * * -B-----H Hi Fast inst_RISING_CLK_AMIGA + B6 B 1 DFF * * ------G- Hi Fast inst_VPA_D + G12 G 2 DFF * * ---D--GH Hi Fast inst_VPA_SYNC + D10 D 4 COM ---D---- Hi Fast un1_UDS_000_INT_0_sqmuxa_2_0 +---------------------------------------------------------------------- + + Power : Hi = High + MH = Medium High + ML = Medium Low + Lo = Low + + + + +Signals_Fanout_List +~~~~~~~~~~~~~~~~~~~ +Signal Source : Fanout List +----------------------------------------------------------------------------- + A_30_{ C}: CIIN{ E} + A_29_{ C}: CIIN{ E} + SIZE_1_{ I}: LDS_000{ D} + A_28_{ D}: CIIN{ E} + A_27_{ D}: CIIN{ E} + A_31_{ C}: CIIN{ E} + A_26_{ D}: CIIN{ E} + A_25_{ D}: CIIN{ E} + A_24_{ D}: CIIN{ E} + A_23_{ I}: CIIN{ E} + IPL_2_{ H}: IPL_030_2_{ B} + A_22_{ I}: CIIN{ E} + A_21_{ B}: CIIN{ E} + A_20_{ B}: CIIN{ E} + A_19_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + A_18_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + AS_030{ I}: DSACK_1_{ H} AS_000{ D} UDS_000{ D} + : LDS_000{ D} BG_000{ D} FPU_CS{ H} + :inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} + A_17_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + A_16_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + DS_030{ B}: UDS_000{ D}un1_UDS_000_INT_0_sqmuxa_2_0{ D} + CPU_SPACE{. }: DSACK_0_{ H} DSACK_1_{ H} BG_000{ D} + :inst_AS_030_000_SYNC{ H} + BG_030{ D}: BG_000{ D} + BGACK_000{ E}: BGACK_030{ H} FPU_CS{ H}inst_AS_030_000_SYNC{ H} + CLK_030{. }: BG_000{ D} FPU_CS{ H}inst_AS_030_000_SYNC{ H} + CLK_000{. }: inst_CLK_000_D{ A}inst_RISING_CLK_AMIGA{ H} CLK_000_CNT_0_{ H} + : CLK_000_CNT_1_{ G} CLK_000_CNT_2_{ H} CLK_000_CNT_3_{ H} + : SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} + A_0_{ H}: UDS_000{ D} LDS_000{ D} + IPL_1_{ G}: IPL_030_1_{ B} + VPA{. }: inst_VPA_D{ B} + IPL_0_{ H}: IPL_030_0_{ B} + RST{. }: IPL_030_2_{ B} DSACK_1_{ H} AS_000{ D} + : UDS_000{ D} LDS_000{ D} BG_000{ D} + : BGACK_030{ H} FPU_CS{ H} DTACK{ D} + : IPL_030_1_{ B} IPL_030_0_{ B} VMA{ D} + : RESET{ B}inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ G} + : inst_VPA_SYNC{ G} SM_AMIGA_6_{ D} SM_AMIGA_7_{ G} + : SM_AMIGA_4_{ D} SM_AMIGA_3_{ G} SM_AMIGA_5_{ D} + : SM_AMIGA_2_{ G} SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} + : SM_AMIGA_D_0_{ B} SM_AMIGA_D_1_{ B} SM_AMIGA_D_2_{ G} + FC_0_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + RW{ H}:AMIGA_BUS_DATA_DIR{ E} UDS_000{ D}un1_UDS_000_INT_0_sqmuxa_2_0{ D} + SIZE_0_{ H}: LDS_000{ D} +RN_IPL_030_2_{ C}: IPL_030_2_{ B} + DSACK_1_{ I}: DTACK{ D} +RN_DSACK_1_{ I}: DSACK_1_{ H} + RN_AS_000{ E}: AS_000{ D} DTACK{ D} VMA{ D} + : SM_AMIGA_7_{ G} SM_AMIGA_0_{ G} + RN_UDS_000{ E}: UDS_000{ D} + RN_LDS_000{ E}: LDS_000{ D} + RN_BG_000{ E}: BG_000{ D} +RN_BGACK_030{ I}: AS_000{ D} UDS_000{ D} LDS_000{ D} + : BGACK_030{ H} DTACK{ D} + RN_FPU_CS{ I}: BERR{ E} AVEC_EXP{ C} FPU_CS{ H} + DTACK{ E}:inst_DTACK_SYNC{ G} +RN_IPL_030_1_{ C}: IPL_030_1_{ B} +RN_IPL_030_0_{ C}: IPL_030_0_{ B} + RN_E{ H}: E{ G} cpu_est_1_{ G} inst_VPA_SYNC{ G} + : cpu_est_2_{ G} SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} + RN_VMA{ E}: VMA{ D} + cpu_est_1_{ H}: E{ G} cpu_est_1_{ G} inst_VPA_SYNC{ G} + : cpu_est_2_{ G} SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} +inst_AS_030_000_SYNC{ I}: DSACK_1_{ H} AS_000{ D} UDS_000{ D} + :inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ D} SM_AMIGA_5_{ D} + :un1_UDS_000_INT_0_sqmuxa_2_0{ D} +inst_DTACK_SYNC{ H}: DSACK_1_{ H}inst_DTACK_SYNC{ G} SM_AMIGA_3_{ G} + : SM_AMIGA_2_{ G} SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} + inst_VPA_D{ C}:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} +inst_VPA_SYNC{ H}: DSACK_1_{ H} VMA{ D} inst_VPA_SYNC{ G} + : SM_AMIGA_3_{ G} SM_AMIGA_2_{ G} SM_AMIGA_1_{ G} + : SM_AMIGA_0_{ G} +inst_CLK_000_D{ B}: DSACK_1_{ H} AS_000{ D} UDS_000{ D} + : E{ G} VMA{ D} cpu_est_1_{ G} + :inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}inst_CLK_000_DD{ D} + : cpu_est_0_{ G} cpu_est_2_{ G} SM_AMIGA_6_{ D} + : SM_AMIGA_7_{ G}inst_RISING_CLK_AMIGA{ H} SM_AMIGA_4_{ D} + : SM_AMIGA_3_{ G} SM_AMIGA_5_{ D} CLK_000_CNT_0_{ H} + : CLK_000_CNT_1_{ G} CLK_000_CNT_2_{ H} CLK_000_CNT_3_{ H} + : SM_AMIGA_2_{ G} SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} + :un1_UDS_000_INT_0_sqmuxa_2_0{ D} +inst_CLK_000_DD{ E}: E{ G} cpu_est_1_{ G} cpu_est_0_{ G} + : cpu_est_2_{ G} +inst_CLK_OUT_PRE{ H}: DSACK_1_{ H} CLK_DIV_OUT{ G}inst_CLK_OUT_PRE{ G} + cpu_est_0_{ H}: E{ G} cpu_est_1_{ G} inst_VPA_SYNC{ G} + : cpu_est_0_{ G} cpu_est_2_{ G} SM_AMIGA_1_{ G} + : SM_AMIGA_0_{ G} + cpu_est_2_{ H}: E{ G} cpu_est_1_{ G} inst_VPA_SYNC{ G} + : cpu_est_2_{ G} SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} + CLK_CNT_0_{ H}:inst_CLK_OUT_PRE{ G} CLK_CNT_0_{ G} +SM_AMIGA_6_{ E}: CLK_EXP{ B} AS_000{ D} UDS_000{ D} + : BG_000{ D} SM_AMIGA_6_{ D} SM_AMIGA_5_{ D} + : SM_AMIGA_D_0_{ B}un1_UDS_000_INT_0_sqmuxa_2_0{ D} +SM_AMIGA_7_{ H}: BG_000{ D} SM_AMIGA_6_{ D} SM_AMIGA_7_{ G} +inst_RISING_CLK_AMIGA{ I}: IPL_030_2_{ B} BGACK_030{ H} IPL_030_1_{ B} + : IPL_030_0_{ B} +SM_AMIGA_4_{ E}: CLK_EXP{ B} UDS_000{ D} SM_AMIGA_4_{ D} + : SM_AMIGA_3_{ G} SM_AMIGA_D_0_{ B} SM_AMIGA_D_1_{ B} + :un1_UDS_000_INT_0_sqmuxa_2_0{ D} +SM_AMIGA_3_{ H}: CLK_EXP{ B}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} + : SM_AMIGA_3_{ G} SM_AMIGA_2_{ G} SM_AMIGA_D_2_{ G} +SM_AMIGA_5_{ E}: CLK_EXP{ B} UDS_000{ D} SM_AMIGA_4_{ D} + : SM_AMIGA_5_{ D} SM_AMIGA_D_1_{ B}un1_UDS_000_INT_0_sqmuxa_2_0{ D} +CLK_000_CNT_0_{ I}: DSACK_1_{ H} CLK_000_CNT_0_{ H} CLK_000_CNT_1_{ G} + : CLK_000_CNT_2_{ H} CLK_000_CNT_3_{ H} +CLK_000_CNT_1_{ H}: DSACK_1_{ H} CLK_000_CNT_1_{ G} CLK_000_CNT_2_{ H} + : CLK_000_CNT_3_{ H} +CLK_000_CNT_2_{ I}: DSACK_1_{ H} CLK_000_CNT_2_{ H} CLK_000_CNT_3_{ H} +CLK_000_CNT_3_{ I}: DSACK_1_{ H} CLK_000_CNT_3_{ H} +SM_AMIGA_2_{ H}: CLK_EXP{ B} SM_AMIGA_2_{ G} SM_AMIGA_1_{ G} + : SM_AMIGA_D_0_{ B} SM_AMIGA_D_2_{ G} +SM_AMIGA_1_{ H}: CLK_EXP{ B} SM_AMIGA_1_{ G} SM_AMIGA_0_{ G} + : SM_AMIGA_D_1_{ B} SM_AMIGA_D_2_{ G} +SM_AMIGA_0_{ H}: CLK_EXP{ B} DSACK_1_{ H} SM_AMIGA_7_{ G} + : SM_AMIGA_0_{ G} SM_AMIGA_D_0_{ B} SM_AMIGA_D_1_{ B} + : SM_AMIGA_D_2_{ G} +SM_AMIGA_D_0_{ C}: CLK_EXP{ B} SM_AMIGA_D_0_{ B} +SM_AMIGA_D_1_{ C}: CLK_EXP{ B} SM_AMIGA_D_1_{ B} +SM_AMIGA_D_2_{ H}: CLK_EXP{ B} SM_AMIGA_D_2_{ G} +un1_UDS_000_INT_0_sqmuxa_2_0{ E}: LDS_000{ D} +----------------------------------------------------------------------------- + + {.} : Indicates block location of signal + + +Set_Reset_Summary +~~~~~~~~~~~~~~~~~ + +Block A +block level set pt : GND +block level reset pt : GND +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| | | | | AVEC +| * | S | BS | BR | inst_CLK_000_D +| | | | | DS_030 +| | | | | A_19_ +| | | | | A_16_ +| | | | | A_18_ +| | | | | A_21_ +| | | | | A_20_ + + +Block B +block level set pt : !RST +block level reset pt : GND +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| | | | | CLK_EXP +| * | S | BS | BR | IPL_030_2_ +| * | S | BS | BR | IPL_030_0_ +| * | S | BS | BR | IPL_030_1_ +| * | A | | | RESET +| * | S | BS | BR | RN_IPL_030_0_ +| * | S | BS | BR | RN_IPL_030_1_ +| * | S | BS | BR | RN_IPL_030_2_ +| * | A | | | SM_AMIGA_D_1_ +| * | A | | | SM_AMIGA_D_0_ +| * | A | | | inst_VPA_D +| | | | | A_29_ +| | | | | A_30_ +| | | | | A_31_ + + +Block C +block level set pt : +block level reset pt : +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| | | | | AVEC_EXP +| | | | | AMIGA_BUS_ENABLE_LOW +| | | | | BG_030 +| | | | | A_24_ +| | | | | A_25_ +| | | | | A_26_ +| | | | | A_27_ +| | | | | A_28_ + + +Block D +block level set pt : !RST +block level reset pt : GND +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| * | S | BS | BR | DTACK +| * | S | BS | BR | UDS_000 +| * | S | BS | BR | VMA +| * | S | BS | BR | LDS_000 +| * | S | BS | BR | BG_000 +| * | S | BS | BR | AS_000 +| | | | | AMIGA_BUS_ENABLE +| * | S | BR | BS | SM_AMIGA_4_ +| * | S | BR | BS | SM_AMIGA_6_ +| * | S | BS | BR | RN_AS_000 +| * | S | BR | BS | SM_AMIGA_5_ +| * | S | BS | BR | RN_UDS_000 +| | | | | un1_UDS_000_INT_0_sqmuxa_2_0 +| * | S | BS | BR | RN_VMA +| * | S | BS | BR | RN_BG_000 +| * | S | BS | BR | RN_LDS_000 +| * | A | | | inst_CLK_000_DD +| | | | | BGACK_000 + + +Block E +block level set pt : +block level reset pt : +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| | | | | AMIGA_BUS_DATA_DIR +| | | | | CIIN +| | | | | BERR + + +Block F +block level set pt : +block level reset pt : +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| | | | | A_17_ +| | | | | FC_1_ +| | | | | FC_0_ +| | | | | IPL_1_ + + +Block G +block level set pt : GND +block level reset pt : GND +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| * | S | BS | BR | E +| * | S | BS | BR | CLK_DIV_OUT +| * | A | | | SM_AMIGA_0_ +| * | A | | | inst_VPA_SYNC +| * | A | | | SM_AMIGA_1_ +| * | S | BS | BR | CLK_000_CNT_1_ +| * | A | | | SM_AMIGA_2_ +| * | A | | | SM_AMIGA_3_ +| * | S | BS | BR | SM_AMIGA_D_2_ +| * | A | | | SM_AMIGA_7_ +| * | S | BS | BR | inst_CLK_OUT_PRE +| * | A | | | inst_DTACK_SYNC +| * | S | BS | BR | cpu_est_1_ +| * | S | BS | BR | RN_E +| * | S | BS | BR | cpu_est_2_ +| * | S | BS | BR | cpu_est_0_ +| * | S | BS | BR | CLK_CNT_0_ +| | | | | RW +| | | | | SIZE_0_ +| | | | | A_0_ +| | | | | IPL_2_ +| | | | | IPL_0_ + + +Block H +block level set pt : !RST +block level reset pt : GND +Equations : +| | |Block|Block| Signal +| Reg |Mode |Set |Reset| Name ++-----+-----+-----+-----+------------------------ +| * | S | BS | BR | DSACK_1_ +| * | S | BS | BR | BGACK_030 +| * | S | BS | BR | FPU_CS +| | | | | DSACK_0_ +| * | S | BS | BR | RN_FPU_CS +| * | S | BS | BR | inst_AS_030_000_SYNC +| * | S | BS | BR | RN_BGACK_030 +| * | A | | | CLK_000_CNT_0_ +| * | A | | | inst_RISING_CLK_AMIGA +| * | S | BS | BR | RN_DSACK_1_ +| * | A | | | CLK_000_CNT_2_ +| * | A | | | CLK_000_CNT_3_ +| | | | | AS_030 +| | | | | A_22_ +| | | | | A_23_ +| | | | | SIZE_1_ + + + (S) means the macrocell is configured in synchronous mode + i.e. it uses the block-level set and reset pt. + (A) means the macrocell is configured in asynchronous mode + i.e. it can have its independant set or reset pt. + (BS) means the block-level set pt is selected. + (BR) means the block-level reset pt is selected. + + + + +BLOCK_A_LOGIC_ARRAY_FANIN +~~~~~~~~~~~~~~~~~~~~~~~~~ +CSM Signal Source CSM Signal Source +------------------------------------ ------------------------------------ +mx A0 ... ... mx A17 ... ... +mx A1 ... ... mx A18 ... ... +mx A2 ... ... mx A19 ... ... +mx A3 CLK_000 pin 11 mx A20 ... ... +mx A4 CLK_OSZI pin 61 mx A21 ... ... +mx A5 ... ... mx A22 ... ... +mx A6 ... ... mx A23 ... ... +mx A7 ... ... mx A24 ... ... +mx A8 ... ... mx A25 ... ... +mx A9 ... ... mx A26 ... ... +mx A10 ... ... mx A27 ... ... +mx A11 ... ... mx A28 ... ... +mx A12 ... ... mx A29 ... ... +mx A13 ... ... mx A30 ... ... +mx A14 ... ... mx A31 ... ... +mx A15 ... ... mx A32 ... ... +mx A16 ... ... +---------------------------------------------------------------------------- + + +BLOCK_B_LOGIC_ARRAY_FANIN +~~~~~~~~~~~~~~~~~~~~~~~~~ +CSM Signal Source CSM Signal Source +------------------------------------ ------------------------------------ +mx B0 IPL_0_ pin 67 mx B17 ... ... +mx B1 SM_AMIGA_4_ mcell D13 mx B18 ... ... +mx B2 ... ... mx B19 ... ... +mx B3 IPL_1_ pin 56 mx B20 ... ... +mx B4 SM_AMIGA_1_ mcell G1 mx B21 SM_AMIGA_D_0_ mcell B13 +mx B5inst_RISING_CLK_AMIGA mcell H9 mx B22 IPL_2_ pin 68 +mx B6 SM_AMIGA_D_1_ mcell B9 mx B23 SM_AMIGA_D_2_ mcell G2 +mx B7 ... ... mx B24 RST pin 86 +mx B8 RN_IPL_030_0_ mcell B8 mx B25 ... ... +mx B9 SM_AMIGA_3_ mcell G13 mx B26 ... ... +mx B10 SM_AMIGA_2_ mcell G9 mx B27 RN_IPL_030_2_ mcell B4 +mx B11 ... ... mx B28 SM_AMIGA_0_ mcell G8 +mx B12 RN_IPL_030_1_ mcell B12 mx B29 CLK_OSZI pin 61 +mx B13 VPA pin 36 mx B30 SM_AMIGA_5_ mcell D6 +mx B14 ... ... mx B31 ... ... +mx B15 ... ... mx B32 ... ... +mx B16 SM_AMIGA_6_ mcell D2 +---------------------------------------------------------------------------- + + +BLOCK_C_LOGIC_ARRAY_FANIN +~~~~~~~~~~~~~~~~~~~~~~~~~ +CSM Signal Source CSM Signal Source +------------------------------------ ------------------------------------ +mx C0 ... ... mx C17 ... ... +mx C1 ... ... mx C18 ... ... +mx C2 ... ... mx C19 ... ... +mx C3 ... ... mx C20 ... ... +mx C4 ... ... mx C21 ... ... +mx C5 ... ... mx C22 ... ... +mx C6 RN_FPU_CS mcell H0 mx C23 ... ... +mx C7 ... ... mx C24 ... ... +mx C8 ... ... mx C25 ... ... +mx C9 ... ... mx C26 ... ... +mx C10 ... ... mx C27 ... ... +mx C11 ... ... mx C28 ... ... +mx C12 ... ... mx C29 ... ... +mx C13 ... ... mx C30 ... ... +mx C14 ... ... mx C31 ... ... +mx C15 ... ... mx C32 ... ... +mx C16 ... ... +---------------------------------------------------------------------------- + + +BLOCK_D_LOGIC_ARRAY_FANIN +~~~~~~~~~~~~~~~~~~~~~~~~~ +CSM Signal Source CSM Signal Source +------------------------------------ ------------------------------------ +mx D0 A_0_ pin 69 mx D17 DSACK_1_ pin 81 +mx D1 SM_AMIGA_4_ mcell D13 mx D18 DS_030 pin 98 +mx D2 RN_BG_000 mcell D1 mx D19 ... ... +mx D3 SM_AMIGA_6_ mcell D2 mx D20 RN_BGACK_030 mcell H4 +mx D4 SM_AMIGA_5_ mcell D6 mx D21 CPU_SPACE pin 14 +mx D5 RN_LDS_000 mcell D12 mx D22 BG_030 pin 21 +mx D6 SIZE_1_ pin 79 mx D23 SM_AMIGA_7_ mcell G6 +mx D7 inst_VPA_SYNC mcell G12 mx D24 RST pin 86 +mx D8 RW pin 71 mx D25 ... ... +mx D9 AS_030 pin 82 mx D26 ... ... +mx D10 RN_VMA mcell D4 mx D27 ... ... +mx D11 RN_AS_000 mcell D5 mx D28 CLK_030 pin 64 +mx D12inst_AS_030_000_SYNC mcell H1 mx D29 CLK_OSZI pin 61 +mx D13 ... ... mx D30un1_UDS_000_INT_0_sqmuxa_2_0 mcell D10 +mx D14 SIZE_0_ pin 70 mx D31 ... ... +mx D15 inst_CLK_000_D mcell A0 mx D32 ... ... +mx D16 RN_UDS_000 mcell D8 +---------------------------------------------------------------------------- + + +BLOCK_E_LOGIC_ARRAY_FANIN +~~~~~~~~~~~~~~~~~~~~~~~~~ +CSM Signal Source CSM Signal Source +------------------------------------ ------------------------------------ +mx E0 ... ... mx E17 A_26_ pin 17 +mx E1 A_31_ pin 4 mx E18 ... ... +mx E2 ... ... mx E19 ... ... +mx E3 A_27_ pin 16 mx E20 ... ... +mx E4 A_29_ pin 6 mx E21 ... ... +mx E5 A_24_ pin 19 mx E22 ... ... +mx E6 RN_FPU_CS mcell H0 mx E23 ... ... +mx E7 A_28_ pin 15 mx E24 ... ... +mx E8 A_22_ pin 85 mx E25 RW pin 71 +mx E9 A_30_ pin 5 mx E26 ... ... +mx E10 ... ... mx E27 ... ... +mx E11 A_23_ pin 84 mx E28 ... ... +mx E12 A_25_ pin 18 mx E29 A_20_ pin 93 +mx E13 ... ... mx E30 ... ... +mx E14 ... ... mx E31 ... ... +mx E15 A_21_ pin 94 mx E32 ... ... +mx E16 ... ... +---------------------------------------------------------------------------- + + +BLOCK_G_LOGIC_ARRAY_FANIN +~~~~~~~~~~~~~~~~~~~~~~~~~ +CSM Signal Source CSM Signal Source +------------------------------------ ------------------------------------ +mx G0 RST pin 86 mx G17 inst_CLK_000_DD mcell D14 +mx G1 SM_AMIGA_4_ mcell D13 mx G18 CLK_CNT_0_ mcell G15 +mx G2 inst_VPA_D mcell B6 mx G19 AS_030 pin 82 +mx G3 CLK_000 pin 11 mx G20 ... ... +mx G4 CLK_OSZI pin 61 mx G21 ... ... +mx G5 cpu_est_1_ mcell G3 mx G22inst_CLK_OUT_PRE mcell G10 +mx G6 ... ... mx G23 SM_AMIGA_7_ mcell G6 +mx G7 RN_AS_000 mcell D5 mx G24 SM_AMIGA_1_ mcell G1 +mx G8 cpu_est_2_ mcell G7 mx G25 ... ... +mx G9 DTACK pin 30 mx G26 inst_DTACK_SYNC mcell G14 +mx G10 SM_AMIGA_2_ mcell G9 mx G27 CLK_000_CNT_0_ mcell H5 +mx G11 RN_E mcell G4 mx G28 SM_AMIGA_0_ mcell G8 +mx G12 SM_AMIGA_3_ mcell G13 mx G29 ... ... +mx G13 cpu_est_0_ mcell G11 mx G30 ... ... +mx G14 inst_VPA_SYNC mcell G12 mx G31 SM_AMIGA_D_2_ mcell G2 +mx G15 inst_CLK_000_D mcell A0 mx G32 CLK_000_CNT_1_ mcell G5 +mx G16 ... ... +---------------------------------------------------------------------------- + + +BLOCK_H_LOGIC_ARRAY_FANIN +~~~~~~~~~~~~~~~~~~~~~~~~~ +CSM Signal Source CSM Signal Source +------------------------------------ ------------------------------------ +mx H0 RST pin 86 mx H17 FC_0_ pin 57 +mx H1 FC_1_ pin 58 mx H18 BGACK_000 pin 28 +mx H2 ... ... mx H19 CLK_000_CNT_2_ mcell H13 +mx H3 RN_DSACK_1_ mcell H8 mx H20 CLK_030 pin 64 +mx H4 A_18_ pin 95 mx H21 CLK_OSZI pin 61 +mx H5 CPU_SPACE pin 14 mx H22inst_CLK_OUT_PRE mcell G10 +mx H6 A_16_ pin 96 mx H23 RN_BGACK_030 mcell H4 +mx H7 inst_VPA_SYNC mcell G12 mx H24 CLK_000 pin 11 +mx H8 A_17_ pin 59 mx H25 ... ... +mx H9 AS_030 pin 82 mx H26 ... ... +mx H10inst_AS_030_000_SYNC mcell H1 mx H27inst_RISING_CLK_AMIGA mcell H9 +mx H11 inst_DTACK_SYNC mcell G14 mx H28 SM_AMIGA_0_ mcell G8 +mx H12 A_19_ pin 97 mx H29 ... ... +mx H13 CLK_000_CNT_0_ mcell H5 mx H30 RN_FPU_CS mcell H0 +mx H14 CLK_000_CNT_3_ mcell H2 mx H31 ... ... +mx H15 inst_CLK_000_D mcell A0 mx H32 CLK_000_CNT_1_ mcell G5 +mx H16 ... ... +---------------------------------------------------------------------------- + + CSM indicates the mux inputs from the Central Switch Matrix. + Source indicates where the signal comes from (pin or macrocell). + + + + +PostFit_Equations +~~~~~~~~~~~~~~~~~ + + + P-Terms Fan-in Fan-out Type Name (attributes) +--------- ------ ------- ---- ----------------- + 0 0 1 Pin BERR + 1 1 1 Pin BERR.OE + 13 10 1 PinX1 CLK_EXP.X1 + 1 4 1 PinX2 CLK_EXP.X2 + 1 0 1 Pin AVEC + 0 0 1 Pin AVEC_EXP + 1 1 1 Pin AVEC_EXP.OE + 1 0 1 Pin DSACK_0_ + 1 1 1 Pin DSACK_0_.OE + 0 0 1 Pin AMIGA_BUS_ENABLE + 1 1 1 Pin AMIGA_BUS_DATA_DIR + 1 0 1 Pin AMIGA_BUS_ENABLE_LOW + 1 4 1 Pin CIIN + 1 8 1 Pin CIIN.OE + 2 3 1 Pin IPL_030_2_.D + 1 1 1 Pin IPL_030_2_.AP + 1 1 1 Pin IPL_030_2_.C + 1 1 1 Pin DSACK_1_.OE + 9 12 1 Pin DSACK_1_.D- + 1 1 1 Pin DSACK_1_.AP + 1 1 1 Pin DSACK_1_.C + 1 1 1 Pin AS_000.OE + 2 5 1 Pin AS_000.D- + 1 1 1 Pin AS_000.AP + 1 1 1 Pin AS_000.C + 1 1 1 Pin UDS_000.OE + 11 10 1 Pin UDS_000.D- + 1 1 1 Pin UDS_000.AP + 1 1 1 Pin UDS_000.C + 1 1 1 Pin LDS_000.OE + 3 6 1 Pin LDS_000.D + 1 1 1 Pin LDS_000.AP + 1 1 1 Pin LDS_000.C + 3 7 1 Pin BG_000.D- + 1 1 1 Pin BG_000.AP + 1 1 1 Pin BG_000.C + 2 3 1 Pin BGACK_030.D + 1 1 1 Pin BGACK_030.AP + 1 1 1 Pin BGACK_030.C + 1 1 1 Pin CLK_DIV_OUT.D + 1 1 1 Pin CLK_DIV_OUT.C + 2 10 1 Pin FPU_CS.D- + 1 1 1 Pin FPU_CS.AP + 1 1 1 Pin FPU_CS.C + 1 1 1 Pin DTACK.OE + 1 2 1 Pin DTACK.D- + 1 1 1 Pin DTACK.AP + 1 1 1 Pin DTACK.C + 2 3 1 Pin IPL_030_1_.D + 1 1 1 Pin IPL_030_1_.AP + 1 1 1 Pin IPL_030_1_.C + 2 3 1 Pin IPL_030_0_.D + 1 1 1 Pin IPL_030_0_.AP + 1 1 1 Pin IPL_030_0_.C + 3 6 1 Pin E.T + 1 1 1 Pin E.C + 3 4 1 Pin VMA.D + 1 1 1 Pin VMA.AP + 1 1 1 Pin VMA.C + 1 1 1 Pin RESET.D + 1 1 1 Pin RESET.C + 4 6 1 Node cpu_est_1_.T + 1 1 1 Node cpu_est_1_.C + 4 11 1 Node inst_AS_030_000_SYNC.D + 1 1 1 Node inst_AS_030_000_SYNC.AP + 1 1 1 Node inst_AS_030_000_SYNC.C + 2 6 1 Node inst_DTACK_SYNC.D- + 1 1 1 Node inst_DTACK_SYNC.AP + 1 1 1 Node inst_DTACK_SYNC.C + 1 1 1 Node inst_VPA_D.D + 1 1 1 Node inst_VPA_D.C + 2 9 1 Node inst_VPA_SYNC.D- + 1 1 1 Node inst_VPA_SYNC.AP + 1 1 1 Node inst_VPA_SYNC.C + 1 1 1 Node inst_CLK_000_D.D + 1 1 1 Node inst_CLK_000_D.C + 1 1 1 Node inst_CLK_000_DD.D + 1 1 1 Node inst_CLK_000_DD.C + 2 2 1 Node inst_CLK_OUT_PRE.D + 1 1 1 Node inst_CLK_OUT_PRE.C + 3 3 1 Node cpu_est_0_.D + 1 1 1 Node cpu_est_0_.C + 3 6 1 NodeX1 cpu_est_2_.D.X1 + 1 1 1 NodeX2 cpu_est_2_.D.X2 + 1 1 1 Node cpu_est_2_.C + 1 1 1 Node CLK_CNT_0_.D + 1 1 1 Node CLK_CNT_0_.C + 1 1 1 Node SM_AMIGA_6_.AR + 3 4 1 Node SM_AMIGA_6_.D + 1 1 1 Node SM_AMIGA_6_.C + 2 4 1 Node SM_AMIGA_7_.D + 1 1 1 Node SM_AMIGA_7_.AP + 1 1 1 Node SM_AMIGA_7_.C + 1 2 1 Node inst_RISING_CLK_AMIGA.D + 1 1 1 Node inst_RISING_CLK_AMIGA.C + 1 1 1 Node SM_AMIGA_4_.AR + 2 3 1 Node SM_AMIGA_4_.D + 1 1 1 Node SM_AMIGA_4_.C + 1 1 1 Node SM_AMIGA_3_.AR + 3 5 1 Node SM_AMIGA_3_.D + 1 1 1 Node SM_AMIGA_3_.C + 1 1 1 Node SM_AMIGA_5_.AR + 2 4 1 Node SM_AMIGA_5_.D + 1 1 1 Node SM_AMIGA_5_.C + 2 3 1 Node CLK_000_CNT_0_.D- + 1 1 1 Node CLK_000_CNT_0_.C + 4 4 1 Node CLK_000_CNT_1_.D + 1 1 1 Node CLK_000_CNT_1_.C + 5 5 1 Node CLK_000_CNT_2_.D- + 1 1 1 Node CLK_000_CNT_2_.C + 4 6 1 Node CLK_000_CNT_3_.T + 1 1 1 Node CLK_000_CNT_3_.C + 1 1 1 Node SM_AMIGA_2_.AR + 3 5 1 Node SM_AMIGA_2_.D + 1 1 1 Node SM_AMIGA_2_.C + 1 1 1 Node SM_AMIGA_1_.AR + 4 10 1 Node SM_AMIGA_1_.D- + 1 1 1 Node SM_AMIGA_1_.C + 1 1 1 Node SM_AMIGA_0_.AR + 4 11 1 Node SM_AMIGA_0_.D + 1 1 1 Node SM_AMIGA_0_.C + 2 6 1 Node SM_AMIGA_D_0_.D- + 1 1 1 Node SM_AMIGA_D_0_.C + 2 6 1 Node SM_AMIGA_D_1_.D- + 1 1 1 Node SM_AMIGA_D_1_.C + 2 6 1 Node SM_AMIGA_D_2_.D- + 1 1 1 Node SM_AMIGA_D_2_.C + 4 7 1 Node un1_UDS_000_INT_0_sqmuxa_2_0 +========= + 214 P-Term Total: 214 + Total Pins: 59 + Total Nodes: 28 + Average P-Term/Output: 2 + + +Equations: + +BERR = (0); + +BERR.OE = (!FPU_CS.Q); + +CLK_EXP.X1 = (SM_AMIGA_0_.Q + # SM_AMIGA_6_.Q & !SM_AMIGA_D_0_.Q + # SM_AMIGA_4_.Q & !SM_AMIGA_D_0_.Q + # SM_AMIGA_2_.Q & !SM_AMIGA_D_0_.Q + # SM_AMIGA_4_.Q & !SM_AMIGA_D_1_.Q + # SM_AMIGA_5_.Q & !SM_AMIGA_D_1_.Q + # SM_AMIGA_1_.Q & !SM_AMIGA_D_1_.Q + # SM_AMIGA_3_.Q & !SM_AMIGA_D_2_.Q + # SM_AMIGA_2_.Q & !SM_AMIGA_D_2_.Q + # SM_AMIGA_1_.Q & !SM_AMIGA_D_2_.Q + # !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q & SM_AMIGA_D_0_.Q + # !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_1_.Q & SM_AMIGA_D_1_.Q + # !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !SM_AMIGA_1_.Q & SM_AMIGA_D_2_.Q); + +CLK_EXP.X2 = (SM_AMIGA_0_.Q & SM_AMIGA_D_0_.Q & SM_AMIGA_D_1_.Q & SM_AMIGA_D_2_.Q); + +AVEC = (1); + +AVEC_EXP = (0); + +AVEC_EXP.OE = (!FPU_CS.Q); + +DSACK_0_ = (1); + +DSACK_0_.OE = (!CPU_SPACE); + +AMIGA_BUS_ENABLE = (0); + +AMIGA_BUS_DATA_DIR = (!RW); + +AMIGA_BUS_ENABLE_LOW = (1); + +CIIN = (A_23_ & A_22_ & A_21_ & A_20_); + +CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_); + +IPL_030_2_.D = (IPL_2_ & inst_RISING_CLK_AMIGA.Q + # !inst_RISING_CLK_AMIGA.Q & IPL_030_2_.Q); + +IPL_030_2_.AP = (!RST); + +IPL_030_2_.C = (CLK_OSZI); + +DSACK_1_.OE = (!CPU_SPACE); + +!DSACK_1_.D = (!AS_030 & !DSACK_1_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_0_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_0_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_1_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_1_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_2_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_2_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_3_.Q & SM_AMIGA_0_.Q + # !inst_AS_030_000_SYNC.Q & !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & CLK_000_CNT_3_.Q & SM_AMIGA_0_.Q); + +DSACK_1_.AP = (!RST); + +DSACK_1_.C = (CLK_OSZI); + +AS_000.OE = (BGACK_030.Q); + +!AS_000.D = (!AS_030 & !AS_000.Q + # !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q); + +AS_000.AP = (!RST); + +AS_000.C = (CLK_OSZI); + +UDS_000.OE = (BGACK_030.Q); + +!UDS_000.D = (!AS_030 & DS_030 & !UDS_000.Q + # !AS_030 & !RW & !UDS_000.Q & !SM_AMIGA_4_.Q + # !DS_030 & RW & !A_0_ & SM_AMIGA_5_.Q + # !AS_030 & !RW & !UDS_000.Q & SM_AMIGA_5_.Q + # !AS_030 & !inst_CLK_000_D.Q & !UDS_000.Q & !SM_AMIGA_5_.Q + # !AS_030 & !RW & !inst_AS_030_000_SYNC.Q & SM_AMIGA_6_.Q & !UDS_000.Q + # !AS_030 & RW & inst_AS_030_000_SYNC.Q & !UDS_000.Q & !SM_AMIGA_5_.Q + # !AS_030 & RW & !SM_AMIGA_6_.Q & !UDS_000.Q & !SM_AMIGA_5_.Q + # !DS_030 & RW & !A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q + # !DS_030 & !RW & !A_0_ & inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q + # !DS_030 & !RW & !A_0_ & inst_CLK_000_D.Q & !SM_AMIGA_6_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q); + +UDS_000.AP = (!RST); + +UDS_000.C = (CLK_OSZI); + +LDS_000.OE = (BGACK_030.Q); + +LDS_000.D = (AS_030 & !un1_UDS_000_INT_0_sqmuxa_2_0 + # LDS_000.Q & !un1_UDS_000_INT_0_sqmuxa_2_0 + # !SIZE_1_ & SIZE_0_ & !A_0_ & un1_UDS_000_INT_0_sqmuxa_2_0); + +LDS_000.AP = (!RST); + +LDS_000.C = (CLK_OSZI); + +!BG_000.D = (!BG_030 & CLK_030 & !BG_000.Q + # AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_6_.Q + # AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_7_.Q); + +BG_000.AP = (!RST); + +BG_000.C = (CLK_OSZI); + +BGACK_030.D = (BGACK_000 & BGACK_030.Q + # BGACK_000 & inst_RISING_CLK_AMIGA.Q); + +BGACK_030.AP = (!RST); + +BGACK_030.C = (CLK_OSZI); + +CLK_DIV_OUT.D = (inst_CLK_OUT_PRE.Q); + +CLK_DIV_OUT.C = (CLK_OSZI); + +!FPU_CS.D = (!AS_030 & !CLK_030 & !FPU_CS.Q + # FC_1_ & !AS_030 & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_); + +FPU_CS.AP = (!RST); + +FPU_CS.C = (CLK_OSZI); + +DTACK.OE = (!BGACK_030.Q); + +!DTACK.D = (!AS_000.Q & !DSACK_1_.PIN); + +DTACK.AP = (!RST); + +DTACK.C = (CLK_OSZI); + +IPL_030_1_.D = (IPL_1_ & inst_RISING_CLK_AMIGA.Q + # !inst_RISING_CLK_AMIGA.Q & IPL_030_1_.Q); + +IPL_030_1_.AP = (!RST); + +IPL_030_1_.C = (CLK_OSZI); + +IPL_030_0_.D = (IPL_0_ & inst_RISING_CLK_AMIGA.Q + # !inst_RISING_CLK_AMIGA.Q & IPL_030_0_.Q); + +IPL_030_0_.AP = (!RST); + +IPL_030_0_.C = (CLK_OSZI); + +E.T = (E.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & cpu_est_2_.Q + # !E.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & !cpu_est_2_.Q + # !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q & !cpu_est_2_.Q); + +E.C = (CLK_OSZI); + +VMA.D = (VMA.Q & inst_VPA_SYNC.Q + # VMA.Q & inst_CLK_000_D.Q + # AS_000.Q & inst_CLK_000_D.Q); + +VMA.AP = (!RST); + +VMA.C = (CLK_OSZI); + +RESET.D = (RST); + +RESET.C = (CLK_OSZI); + +cpu_est_1_.T = (E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q + # !E.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & cpu_est_2_.Q + # !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q + # E.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & !cpu_est_2_.Q); + +cpu_est_1_.C = (CLK_OSZI); + +inst_AS_030_000_SYNC.D = (AS_030 + # CPU_SPACE & CLK_030 + # !CLK_030 & inst_AS_030_000_SYNC.Q + # FC_1_ & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_); + +inst_AS_030_000_SYNC.AP = (!RST); + +inst_AS_030_000_SYNC.C = (CLK_OSZI); + +!inst_DTACK_SYNC.D = (!AS_030 & !inst_DTACK_SYNC.Q + # inst_VPA_D.Q & inst_CLK_000_D.Q & SM_AMIGA_3_.Q & !DTACK.PIN); + +inst_DTACK_SYNC.AP = (!RST); + +inst_DTACK_SYNC.C = (CLK_OSZI); + +inst_VPA_D.D = (VPA); + +inst_VPA_D.C = (CLK_OSZI); + +!inst_VPA_SYNC.D = (!AS_030 & !inst_VPA_SYNC.Q + # !E.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_D.Q & cpu_est_0_.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q); + +inst_VPA_SYNC.AP = (!RST); + +inst_VPA_SYNC.C = (CLK_OSZI); + +inst_CLK_000_D.D = (CLK_000); + +inst_CLK_000_D.C = (CLK_OSZI); + +inst_CLK_000_DD.D = (inst_CLK_000_D.Q); + +inst_CLK_000_DD.C = (CLK_OSZI); + +inst_CLK_OUT_PRE.D = (!inst_CLK_OUT_PRE.Q & CLK_CNT_0_.Q + # inst_CLK_OUT_PRE.Q & !CLK_CNT_0_.Q); + +inst_CLK_OUT_PRE.C = (CLK_OSZI); + +cpu_est_0_.D = (!inst_CLK_000_D.Q & cpu_est_0_.Q + # inst_CLK_000_DD.Q & cpu_est_0_.Q + # inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q); + +cpu_est_0_.C = (CLK_OSZI); + +cpu_est_2_.D.X1 = (E.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & !cpu_est_2_.Q + # !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_0_.Q & !cpu_est_2_.Q + # !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_0_.Q & cpu_est_2_.Q); + +cpu_est_2_.D.X2 = (cpu_est_2_.Q); + +cpu_est_2_.C = (CLK_OSZI); + +CLK_CNT_0_.D = (!CLK_CNT_0_.Q); + +CLK_CNT_0_.C = (CLK_OSZI); + +SM_AMIGA_6_.AR = (!RST); + +SM_AMIGA_6_.D = (inst_AS_030_000_SYNC.Q & SM_AMIGA_6_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_6_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_7_.Q); + +SM_AMIGA_6_.C = (CLK_OSZI); + +SM_AMIGA_7_.D = (inst_CLK_000_D.Q & SM_AMIGA_7_.Q + # AS_000.Q & inst_CLK_000_D.Q & SM_AMIGA_0_.Q); + +SM_AMIGA_7_.AP = (!RST); + +SM_AMIGA_7_.C = (CLK_OSZI); + +inst_RISING_CLK_AMIGA.D = (CLK_000 & !inst_CLK_000_D.Q); + +inst_RISING_CLK_AMIGA.C = (CLK_OSZI); + +SM_AMIGA_4_.AR = (!RST); + +SM_AMIGA_4_.D = (!inst_CLK_000_D.Q & SM_AMIGA_4_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_5_.Q); + +SM_AMIGA_4_.C = (CLK_OSZI); + +SM_AMIGA_3_.AR = (!RST); + +SM_AMIGA_3_.D = (inst_CLK_000_D.Q & SM_AMIGA_4_.Q + # inst_CLK_000_D.Q & SM_AMIGA_3_.Q + # inst_DTACK_SYNC.Q & inst_VPA_SYNC.Q & SM_AMIGA_3_.Q); + +SM_AMIGA_3_.C = (CLK_OSZI); + +SM_AMIGA_5_.AR = (!RST); + +SM_AMIGA_5_.D = (inst_CLK_000_D.Q & SM_AMIGA_5_.Q + # !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q); + +SM_AMIGA_5_.C = (CLK_OSZI); + +!CLK_000_CNT_0_.D = (CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_0_.Q + # !CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_0_.Q); + +CLK_000_CNT_0_.C = (CLK_OSZI); + +CLK_000_CNT_1_.D = (CLK_000 & inst_CLK_000_D.Q & !CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q + # !CLK_000 & !inst_CLK_000_D.Q & !CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q + # CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & !CLK_000_CNT_1_.Q + # !CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & !CLK_000_CNT_1_.Q); + +CLK_000_CNT_1_.C = (CLK_OSZI); + +!CLK_000_CNT_2_.D = (!CLK_000 & inst_CLK_000_D.Q + # CLK_000 & !inst_CLK_000_D.Q + # !CLK_000_CNT_0_.Q & !CLK_000_CNT_2_.Q + # !CLK_000_CNT_1_.Q & !CLK_000_CNT_2_.Q + # CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q & CLK_000_CNT_2_.Q); + +CLK_000_CNT_2_.C = (CLK_OSZI); + +CLK_000_CNT_3_.T = (!CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_3_.Q + # CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_3_.Q + # CLK_000 & inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q & CLK_000_CNT_2_.Q + # !CLK_000 & !inst_CLK_000_D.Q & CLK_000_CNT_0_.Q & CLK_000_CNT_1_.Q & CLK_000_CNT_2_.Q); + +CLK_000_CNT_3_.C = (CLK_OSZI); + +SM_AMIGA_2_.AR = (!RST); + +SM_AMIGA_2_.D = (!inst_CLK_000_D.Q & SM_AMIGA_2_.Q + # !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q + # !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q); + +SM_AMIGA_2_.C = (CLK_OSZI); + +SM_AMIGA_1_.AR = (!RST); + +!SM_AMIGA_1_.D = (!inst_CLK_000_D.Q & SM_AMIGA_2_.Q + # !SM_AMIGA_2_.Q & !SM_AMIGA_1_.Q + # !CLK_000 & !inst_DTACK_SYNC.Q & !SM_AMIGA_2_.Q + # !CLK_000 & E.Q & cpu_est_1_.Q & !inst_VPA_SYNC.Q & cpu_est_0_.Q & cpu_est_2_.Q & !SM_AMIGA_2_.Q); + +SM_AMIGA_1_.C = (CLK_OSZI); + +SM_AMIGA_0_.AR = (!RST); + +SM_AMIGA_0_.D = (!AS_000.Q & SM_AMIGA_0_.Q + # !inst_CLK_000_D.Q & SM_AMIGA_0_.Q + # !CLK_000 & !inst_DTACK_SYNC.Q & SM_AMIGA_1_.Q + # !CLK_000 & E.Q & cpu_est_1_.Q & !inst_VPA_SYNC.Q & cpu_est_0_.Q & cpu_est_2_.Q & SM_AMIGA_1_.Q); + +SM_AMIGA_0_.C = (CLK_OSZI); + +!SM_AMIGA_D_0_.D = (!RST & !SM_AMIGA_D_0_.Q + # RST & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q & !SM_AMIGA_0_.Q); + +SM_AMIGA_D_0_.C = (CLK_OSZI); + +!SM_AMIGA_D_1_.D = (!RST & !SM_AMIGA_D_1_.Q + # RST & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); + +SM_AMIGA_D_1_.C = (CLK_OSZI); + +!SM_AMIGA_D_2_.D = (!RST & !SM_AMIGA_D_2_.Q + # RST & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); + +SM_AMIGA_D_2_.C = (CLK_OSZI); + +un1_UDS_000_INT_0_sqmuxa_2_0 = (!DS_030 & RW & SM_AMIGA_5_.Q + # !DS_030 & RW & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_6_.Q + # !DS_030 & !RW & inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q + # !DS_030 & !RW & inst_CLK_000_D.Q & !SM_AMIGA_6_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q); + + +Reverse-Polarity Equations: + diff --git a/Logic/68030_tk.svl b/Logic/68030_tk.svl new file mode 100644 index 0000000..579ba2b --- /dev/null +++ b/Logic/68030_tk.svl @@ -0,0 +1,2 @@ +Part Number: M4A5-128/64-10VC +Need not generate svf file according to the constraints, exit diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal new file mode 100644 index 0000000..c3b982d --- /dev/null +++ b/Logic/68030_tk.tal @@ -0,0 +1,90 @@ + + +Design Name = 68030_tk.tt4 +~~~~~~~~~~~~~~~~~~~~~~~~~~ + + +******************* +* TIMING ANALYSIS * +******************* + +Timing Analysis KEY: +One unit of delay time is equivalent to one pass + through the Central Switch Matrix. +.. Delay ( in this column ) not applicable to the indicated signal. +TSU, Set-Up Time ( 0 for input-paired signals ), + represents the number of switch matrix passes between + an input pin and a register setup before clock. + TSU is reported on the register. +TCO, Clocked Output-to-Pin Time ( 0 for output-paired signals ), + represents the number of switch matrix passes between + a clocked register and an output pin. + TCO is reported on the register. +TPD, Propagation Delay Time ( calculated only for combinatorial eqns.), + represents the number of switch matrix passes between + an input pin and an output pin. + TPD is reported on the output pin. +TCR, Clocked Output-to-Register Time, + represents the number of switch matrix passes between + a clocked register and the register it drives ( before clock ). + TCR is reported on the driving register. + + TSU TCO TPD TCR + #passes #passes #passes #passes +SIGNAL NAME min max min max min max min max + LDS_000 1 2 0 0 .. .. 1 1 + RN_LDS_000 1 2 0 0 .. .. 1 1 +inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 2 + inst_CLK_000_D 1 1 .. .. .. .. 1 2 + SM_AMIGA_6_ .. .. 1 1 .. .. 1 2 + SM_AMIGA_4_ .. .. 1 1 .. .. 1 2 + SM_AMIGA_5_ .. .. 1 1 .. .. 1 2 +AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. .. + CIIN .. .. .. .. 1 1 .. .. + IPL_030_2_ 1 1 0 0 .. .. 1 1 + RN_IPL_030_2_ 1 1 0 0 .. .. 1 1 + DSACK_1_ 1 1 0 0 .. .. 1 1 + RN_DSACK_1_ 1 1 0 0 .. .. 1 1 + AS_000 1 1 0 0 .. .. 1 1 + RN_AS_000 1 1 0 0 .. .. 1 1 + UDS_000 1 1 0 0 .. .. 1 1 + RN_UDS_000 1 1 0 0 .. .. 1 1 + BG_000 1 1 0 0 .. .. 1 1 + RN_BG_000 1 1 0 0 .. .. 1 1 + BGACK_030 1 1 0 0 .. .. 1 1 + RN_BGACK_030 1 1 0 0 .. .. 1 1 + FPU_CS 1 1 0 0 .. .. 1 1 + RN_FPU_CS 1 1 0 0 .. .. 1 1 + DTACK 1 1 0 0 .. .. .. .. + IPL_030_1_ 1 1 0 0 .. .. 1 1 + RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 + IPL_030_0_ 1 1 0 0 .. .. 1 1 + RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 + E .. .. 0 0 .. .. 1 1 + RN_E .. .. 0 0 .. .. 1 1 + VMA .. .. 0 0 .. .. 1 1 + RN_VMA .. .. 0 0 .. .. 1 1 + RESET 1 1 0 0 .. .. .. .. + cpu_est_1_ .. .. .. .. .. .. 1 1 + inst_DTACK_SYNC 1 1 .. .. .. .. 1 1 + inst_VPA_D 1 1 .. .. .. .. 1 1 + inst_VPA_SYNC 1 1 .. .. .. .. 1 1 + inst_CLK_000_DD .. .. .. .. .. .. 1 1 +inst_CLK_OUT_PRE .. .. .. .. .. .. 1 1 + cpu_est_0_ .. .. .. .. .. .. 1 1 + cpu_est_2_ .. .. .. .. .. .. 1 1 + CLK_CNT_0_ .. .. .. .. .. .. 1 1 + SM_AMIGA_7_ .. .. .. .. .. .. 1 1 +inst_RISING_CLK_AMIGA 1 1 .. .. .. .. 1 1 + SM_AMIGA_3_ .. .. 1 1 .. .. 1 1 + CLK_000_CNT_0_ 1 1 .. .. .. .. 1 1 + CLK_000_CNT_1_ 1 1 .. .. .. .. 1 1 + CLK_000_CNT_2_ 1 1 .. .. .. .. 1 1 + CLK_000_CNT_3_ 1 1 .. .. .. .. 1 1 + SM_AMIGA_2_ .. .. 1 1 .. .. 1 1 + SM_AMIGA_1_ 1 1 1 1 .. .. 1 1 + SM_AMIGA_0_ 1 1 1 1 .. .. 1 1 + SM_AMIGA_D_0_ 1 1 1 1 .. .. .. .. + SM_AMIGA_D_1_ 1 1 1 1 .. .. .. .. + SM_AMIGA_D_2_ 1 1 1 1 .. .. .. .. +un1_UDS_000_INT_0_sqmuxa_2_0 .. .. .. .. 1 1 .. .. \ No newline at end of file diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 new file mode 100644 index 0000000..1ca82fc --- /dev/null +++ b/Logic/68030_tk.tt2 @@ -0,0 +1,387 @@ +#$ TOOL ispLEVER Classic 1.7.00.05.28.13 +#$ DATE Thu May 15 19:20:52 2014 +#$ MODULE 68030_tk +#$ PINS 59 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ A_24_ A_23_ IPL_2_ A_22_ A_21_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ A_16_ DS_030 CPU_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_EXP A_0_ AVEC AVEC_EXP IPL_1_ VPA IPL_0_ DSACK_0_ RST FC_0_ RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_DIV_OUT FPU_CS DTACK IPL_030_1_ IPL_030_0_ E VMA RESET +#$ NODES 28 cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE cpu_est_0_ cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ inst_RISING_CLK_AMIGA SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ CLK_000_CNT_0_ CLK_000_CNT_1_ CLK_000_CNT_2_ CLK_000_CNT_3_ SM_AMIGA_2_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_D_0_ SM_AMIGA_D_1_ SM_AMIGA_D_2_ un1_UDS_000_INT_0_sqmuxa_2_0 +.type fr +.i 77 +.o 127 +.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D.Q inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q BG_000.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q inst_RISING_CLK_AMIGA.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q CLK_000_CNT_0_.Q CLK_000_CNT_1_.Q CLK_000_CNT_2_.Q CLK_000_CNT_3_.Q IPL_030_0_.Q SM_AMIGA_2_.Q IPL_030_1_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q IPL_030_2_.Q SM_AMIGA_D_0_.Q SM_AMIGA_D_1_.Q SM_AMIGA_D_2_.Q un1_UDS_000_INT_0_sqmuxa_2_0 DSACK_1_.PIN DTACK.PIN +.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR CLK_000_CNT_0_.C CLK_000_CNT_1_.C CLK_000_CNT_2_.C CLK_000_CNT_3_.C SM_AMIGA_D_0_.C SM_AMIGA_D_1_.C SM_AMIGA_D_2_.C IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000.C BG_000.AP AS_000.C AS_000.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C DSACK_1_.C DSACK_1_.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP DTACK.C DTACK.AP CLK_CNT_0_.C inst_RISING_CLK_AMIGA.C inst_VPA_D.C inst_CLK_000_D.C RESET.C inst_CLK_000_DD.C CLK_DIV_OUT.C DSACK_0_ un1_UDS_000_INT_0_sqmuxa_2_0 DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_EXP.X1 CLK_EXP.X2 BGACK_030.D CLK_DIV_OUT.D FPU_CS.D E.T VMA.D cpu_est_1_.T AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D.D inst_CLK_000_DD.D inst_CLK_OUT_PRE.D cpu_est_0_.D cpu_est_2_.D CLK_CNT_0_.D SM_AMIGA_6_.D BG_000.D SM_AMIGA_7_.D UDS_000.D LDS_000.D inst_RISING_CLK_AMIGA.D DSACK_1_.D DTACK.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_5_.D CLK_000_CNT_0_.D CLK_000_CNT_1_.D CLK_000_CNT_2_.D CLK_000_CNT_3_.T IPL_030_0_.D SM_AMIGA_2_.D IPL_030_1_.D SM_AMIGA_1_.D SM_AMIGA_0_.D IPL_030_2_.D SM_AMIGA_D_0_.D SM_AMIGA_D_1_.D SM_AMIGA_D_2_.D RESET.D +.p 375 +----------------------------------------------------------------------------- ~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----11----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---0-----1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1--1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------1--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------- ~~~~~~~1~1~1~1~1~11111~1~1~11111111~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------0--------------------------------------------------------------- ~~~~~~~~1~1~1~1~1~~~~~1~1~1~~~~~~~~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0--------------0000000------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------1111-------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1-------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1--------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----11-----------------0010---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1--------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----1------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------0-------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------11----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------10--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------1-1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------------------------------------11-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----------0----------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +--------------------------------------1------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~ +----------------------------------------1----0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-------10-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1---0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------10-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----10-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-0-----10-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0---1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1--1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-------10-11--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-1-----10-11--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1----0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0-----10--0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-1-----10-10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-1-----10-10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0-----10-00--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0--1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1--0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-----------------------------------------1---------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------0--------------------------0---------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +-----0--------1--------------------------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1---------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +---------0-----------------------------------------0-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------0--------------------------0---------1--1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--1-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------1-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-----------------------------------------1---------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------------------------------------1-1------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-----------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~ +---------------------------------------------1------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---------------------------------------------0------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----1---------0-------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------0---------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------1-1--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---------------------------------------------1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------------------------------------0--0-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +--------------------------------------------00-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +----1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1--------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----0--------1---------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------0---------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1----------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +---------------------------------------------0--------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +--------------0---------------------------------------1-----1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1---------1--------------------------1------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------0--------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1---------1------------------------------------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------1--------------------------1------------1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------1------------------------------------0--1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----0--------0--------------------------1---1------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------1---------1---1------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----0--------0------------------------------1-----0------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------1-------------1-----0------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +----------1----------------------------------1---------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------0----------------------------------0---------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------1----------------------------------1---------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------0----------------------------------0---------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------1----------------------------------1---------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------0---------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------1----------------------------------1---------------111------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------0----------------------------------0---------------111------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------1----------------------------------1----------------01------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------0----------------01------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------1----------------------------------1---------------110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------0---------------110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------1------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------1----------------------------------0------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----1--------------------------------------------------------0000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---0000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0--------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1----------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~ +---------------------------------------------1--------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------------0--------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +--------------------------------------------------------0----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +----------0-------------------------------0-------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------0--------------------------1-1----0---11------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------1-------------------------------------------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------------------------------0----1-----------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------0--1-----------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------1-1---------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------1-----0-----------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------1------0----------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~ +----------------------------------------0----------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------------------------------------1----1-----------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-----------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----1----------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0-------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------0---------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------------------0------0-------0----1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------1----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------------------------0-0-------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1-----------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1---0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-----------------------------------------------------------0------0-0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------1------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--------------1---------------0------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----1-----------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------- 0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-0--1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0-01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +-----------0----------------------------------------------------------------- ~~~~~~~0~0~0~0~0~00000~0~0~00000000~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------- ~~~~~~~~0~0~0~0~0~~~~~0~0~0~~~~~~~~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +--------------1-------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0----------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------0--------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1-------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1--------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10---11-----------------0010---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----0--------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----0-------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~0~~~~~~~~ +----------0----------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~ +----------1-----------------------------1----1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------------0---1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0--1-1--1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------01-1--1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------1-1-11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~0~~~~~~0~~~~~~~0~~~~~~~~~~~~~~ +----------1----------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~ +--------------------------------------0------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0--0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-0--------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------10-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0-----10-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-1--1--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------0--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1----------11--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0----------01--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-----------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-1---------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-1--1---0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------0---0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1--0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0----------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------00--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1--1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0--0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-00-0-----------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +--------------0--------------------------0---------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------0---------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-----0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-1------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----1-00-0-------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----01------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0---------0--------------------------0---------1--0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--0-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------0-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------0----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------0--------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------0---------------------------------------0---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0---0-1--11---------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------0---------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------0----------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0---------0---------------------------------------0-----1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------1--------------------------1------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------1------------------------------------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------1--------------------------1------------0-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------0--------0-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0---------1------------------------------------0--0-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------0---------1---1------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------0-------------1-----0------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +----------1----------------------------------1---------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------0----------------------------------0---------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------1----------------------------------1---------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0----------------------------------0---------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +----------1----------------------------------1----------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0----------------------------------0----------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------------------------------------------------------111------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------1----------------------------------1-----------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0----------------------------------0-----------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------------0-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +--------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------0----------------------------------1------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------1----------------------------------0------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +--------------------------------------------------------0--------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------------0--------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------0-------------------------------0-----------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------1-1---------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------0--------------------------1-1----0---11----------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------0------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------------------0----------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------1----1----------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------------------------------0-0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------00--0-1-------------1-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1-------------1-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------00--0-1--------------1------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1--------------1------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------00--0-1---------------1-----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1---------------1-----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------00--0-1----------------1----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1----------------1----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------0----1--------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------0--1--------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1-1------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1-----0--------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1------0-------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------------------------0---------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------0------0-------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +--------------------------------------------------------------------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------1--------------------------------------------0-0-------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------------------------------------0------0-00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +--------------------------------------------------------0-------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +------------------------------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +----------------------------------------------------------11---------0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------11--------0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-------1--0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1-----1--0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1----------------10-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1---------10-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------1-10-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------011-----0--0-011--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------0-------0-10-011--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------010-------00-101--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0-0-----1-00-101--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------010-----0-00-001--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------------------10------0-00-110--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------01-----0-00-110--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------001-----0-00-010--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------000-----0-00-100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------000-----0-00-000--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1-------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +---------------0----------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +-------------------------------1------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----0--------------------------------------------------0------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +-------------------------------------------1-1-------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 new file mode 100644 index 0000000..b2feb41 --- /dev/null +++ b/Logic/68030_tk.tt3 @@ -0,0 +1,387 @@ +#$ TOOL ispLEVER Classic 1.7.00.05.28.13 +#$ DATE Thu May 15 19:20:52 2014 +#$ MODULE 68030_tk +#$ PINS 59 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ A_24_ A_23_ IPL_2_ A_22_ A_21_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ A_16_ DS_030 CPU_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_EXP A_0_ AVEC AVEC_EXP IPL_1_ VPA IPL_0_ DSACK_0_ RST FC_0_ RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_DIV_OUT FPU_CS DTACK IPL_030_1_ IPL_030_0_ E VMA RESET +#$ NODES 28 cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE cpu_est_0_ cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ inst_RISING_CLK_AMIGA SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ CLK_000_CNT_0_ CLK_000_CNT_1_ CLK_000_CNT_2_ CLK_000_CNT_3_ SM_AMIGA_2_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_D_0_ SM_AMIGA_D_1_ SM_AMIGA_D_2_ un1_UDS_000_INT_0_sqmuxa_2_0 +.type fr +.i 77 +.o 127 +.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D.Q inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q BG_000.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q inst_RISING_CLK_AMIGA.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q CLK_000_CNT_0_.Q CLK_000_CNT_1_.Q CLK_000_CNT_2_.Q CLK_000_CNT_3_.Q IPL_030_0_.Q SM_AMIGA_2_.Q IPL_030_1_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q IPL_030_2_.Q SM_AMIGA_D_0_.Q SM_AMIGA_D_1_.Q SM_AMIGA_D_2_.Q un1_UDS_000_INT_0_sqmuxa_2_0 DSACK_1_.PIN DTACK.PIN +.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR CLK_000_CNT_0_.C CLK_000_CNT_1_.C CLK_000_CNT_2_.C CLK_000_CNT_3_.C SM_AMIGA_D_0_.C SM_AMIGA_D_1_.C SM_AMIGA_D_2_.C IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000.C BG_000.AP AS_000.C AS_000.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C DSACK_1_.C DSACK_1_.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP DTACK.C DTACK.AP CLK_CNT_0_.C inst_RISING_CLK_AMIGA.C inst_VPA_D.C inst_CLK_000_D.C RESET.C inst_CLK_000_DD.C CLK_DIV_OUT.C DSACK_0_ un1_UDS_000_INT_0_sqmuxa_2_0 DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_EXP.X1 CLK_EXP.X2 BGACK_030.D CLK_DIV_OUT.D FPU_CS.D E.T VMA.D cpu_est_1_.T AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D.D inst_CLK_000_DD.D inst_CLK_OUT_PRE.D cpu_est_0_.D cpu_est_2_.D CLK_CNT_0_.D SM_AMIGA_6_.D BG_000.D SM_AMIGA_7_.D UDS_000.D LDS_000.D inst_RISING_CLK_AMIGA.D DSACK_1_.D DTACK.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_5_.D CLK_000_CNT_0_.D CLK_000_CNT_1_.D CLK_000_CNT_2_.D CLK_000_CNT_3_.T IPL_030_0_.D SM_AMIGA_2_.D IPL_030_1_.D SM_AMIGA_1_.D SM_AMIGA_0_.D IPL_030_2_.D SM_AMIGA_D_0_.D SM_AMIGA_D_1_.D SM_AMIGA_D_2_.D RESET.D +.p 375 +----------------------------------------------------------------------------- ~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----11----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---0-----1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1--1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------1--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------- ~~~~~~~1~1~1~1~1~11111~1~1~11111111~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------0--------------------------------------------------------------- ~~~~~~~~1~1~1~1~1~~~~~1~1~1~~~~~~~~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0--------------0000000------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------1111-------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1-------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1--------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----11-----------------0010---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1--------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----1------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------0-------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------11----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------10--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------1-1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------------------------------------11-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----------0----------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +--------------------------------------1------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~ +----------------------------------------1----0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-------10-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1---0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------10-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----10-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-0-----10-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0---1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1--1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-------10-11--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-1-----10-11--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1----0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0-----10--0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-1-----10-10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-1-----10-10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0-----10-00--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0--1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1--0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-----------------------------------------1---------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------0--------------------------0---------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +-----0--------1--------------------------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1---------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +---------0-----------------------------------------0-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------0--------------------------0---------1--1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--1-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------1-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-----------------------------------------1---------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------------------------------------1-1------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-----------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~ +---------------------------------------------1------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---------------------------------------------0------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----1---------0-------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------0---------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------1-1--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---------------------------------------------1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------------------------------------0--0-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +--------------------------------------------00-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +----1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1--------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----0--------1---------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------0---------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1----------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +---------------------------------------------0--------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +--------------0---------------------------------------1-----1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1---------1--------------------------1------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------0--------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1---------1------------------------------------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------1--------------------------1------------1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------1------------------------------------0--1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----0--------0--------------------------1---1------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------1---------1---1------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----0--------0------------------------------1-----0------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------1-------------1-----0------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +----------1----------------------------------1---------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------0----------------------------------0---------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------1----------------------------------1---------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------0----------------------------------0---------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------1----------------------------------1---------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------0---------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------1----------------------------------1---------------111------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------0----------------------------------0---------------111------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------1----------------------------------1----------------01------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------0----------------01------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------1----------------------------------1---------------110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------0---------------110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------0----------------------------------1------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------1----------------------------------0------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----1--------------------------------------------------------0000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---0000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0--------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1----------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~ +---------------------------------------------1--------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------------0--------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +--------------------------------------------------------0----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +----------0-------------------------------0-------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------0--------------------------1-1----0---11------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------1-------------------------------------------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------------------------------0----1-----------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------0--1-----------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------1-1---------------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------1-----0-----------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------1------0----------------0-1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~ +----------------------------------------0----------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------------------------------------1----1-----------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-----------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----1----------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0-------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------0---------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------------------0------0-------0----1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------1----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------------------------0-0-------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1-----------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1---0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-----------------------------------------------------------0------0-0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------1------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--------------1---------------0------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----1-----------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------- 0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-0--1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0-01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +-----------0----------------------------------------------------------------- ~~~~~~~0~0~0~0~0~00000~0~0~00000000~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------- ~~~~~~~~0~0~0~0~0~~~~~0~0~0~~~~~~~~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +--------------1-------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0----------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------0--------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1-------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1--------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10---11-----------------0010---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-0--1------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----0--------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----0-------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~0~~~~~~~~ +----------0----------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~ +----------1-----------------------------1----1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------------0---1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0--1-1--1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------01-1--1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------1-1-11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~0~~~~~~0~~~~~~~0~~~~~~~~~~~~~~ +----------1----------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~ +--------------------------------------0------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0--0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-0--------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------10-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0-----10-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-1--1--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------0--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1----------11--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0----------01--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-----------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-1---------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-1--1---0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------0---0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1--0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0----------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------00--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1--1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0--0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-00-0-----------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +--------------0--------------------------0---------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------0---------0---1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-----0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-1------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----1-00-0-------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----01------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0---------0--------------------------0---------1--0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--0-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------0-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------0----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------0--------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------0---------------------------------------0---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0---0-1--11---------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------0---------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------0----------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0---------0---------------------------------------0-----1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------1--------------------------1------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------1------------------------------------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------1--------------------------1------------0-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------0--------0-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0---------1------------------------------------0--0-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------0---------1---1------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------0-------------1-----0------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +----------1----------------------------------1---------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------0----------------------------------0---------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------1----------------------------------1---------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0----------------------------------0---------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +----------1----------------------------------1----------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0----------------------------------0----------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------------------------------------------------------111------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------1----------------------------------1-----------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0----------------------------------0-----------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------------0-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +--------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------0----------------------------------1------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------1----------------------------------0------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +--------------------------------------------------------0--------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------------0--------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------0-------------------------------0-----------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------1-1---------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------0--------------------------1-1----0---11----------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------0------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------------------0----------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------1----1----------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------------------------------0-0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------00--0-1-------------1-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1-------------1-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------00--0-1--------------1------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1--------------1------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------00--0-1---------------1-----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1---------------1-----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------00--0-1----------------1----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0--00-1----------------1----1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------0----1--------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------0--1--------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1-1------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1-----0--------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1------0-------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------------------------0---------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------0------0-------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +--------------------------------------------------------------------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------1--------------------------------------------0-0-------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------------------------------------0------0-00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +--------------------------------------------------------0-------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +------------------------------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +----------------------------------------------------------11---------0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------11--------0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-------1--0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1-----1--0-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1----------------10-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1---------10-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------1-10-111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------011-----0--0-011--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------0-------0-10-011--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------010-------00-101--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0-0-----1-00-101--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------010-----0-00-001--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------------------10------0-00-110--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------01-----0-00-110--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------001-----0-00-010--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------000-----0-00-100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------000-----0-00-000--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1-------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +---------------0----------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +-------------------------------1------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----0--------------------------------------------------0------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +-------------------------------------------1-1-------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 new file mode 100644 index 0000000..3d6e4f2 --- /dev/null +++ b/Logic/68030_tk.tt4 @@ -0,0 +1,199 @@ +#$ TOOL ispLEVER Classic 1.7.00.05.28.13 +#$ DATE Thu May 15 19:20:52 2014 +#$ MODULE BUS68030 +#$ PINS 59 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ A_24_ A_23_ IPL_2_ + A_22_ A_21_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ A_16_ DS_030 CPU_SPACE BERR + BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_EXP A_0_ AVEC AVEC_EXP IPL_1_ VPA + IPL_0_ DSACK_0_ RST FC_0_ RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR + AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 + BG_000 BGACK_030 CLK_DIV_OUT FPU_CS DTACK IPL_030_1_ IPL_030_0_ E VMA RESET +#$ NODES 28 cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D + inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE cpu_est_0_ + cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ inst_RISING_CLK_AMIGA SM_AMIGA_4_ + SM_AMIGA_3_ SM_AMIGA_5_ CLK_000_CNT_0_ CLK_000_CNT_1_ CLK_000_CNT_2_ + CLK_000_CNT_3_ SM_AMIGA_2_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_D_0_ SM_AMIGA_D_1_ + SM_AMIGA_D_2_ un1_UDS_000_INT_0_sqmuxa_2_0 +.type f +.i 77 +.o 128 +.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030 + CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ + A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ + BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q + inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D.Q + inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_2_.Q CLK_CNT_0_.Q + SM_AMIGA_6_.Q BG_000.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q inst_RISING_CLK_AMIGA.Q + DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q CLK_000_CNT_0_.Q + CLK_000_CNT_1_.Q CLK_000_CNT_2_.Q CLK_000_CNT_3_.Q IPL_030_0_.Q SM_AMIGA_2_.Q + IPL_030_1_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q IPL_030_2_.Q SM_AMIGA_D_0_.Q + SM_AMIGA_D_1_.Q SM_AMIGA_D_2_.Q un1_UDS_000_INT_0_sqmuxa_2_0 DSACK_1_.PIN + DTACK.PIN +.ob BERR BERR.OE CLK_EXP.X1 CLK_EXP.X2 AVEC AVEC_EXP AVEC_EXP.OE DSACK_0_ + DSACK_0_.OE AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN + CIIN.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D% DSACK_1_.C + DSACK_1_.AP DSACK_1_.OE AS_000.D% AS_000.C AS_000.AP AS_000.OE UDS_000.D% + UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D LDS_000.C LDS_000.AP LDS_000.OE + BG_000.D% BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_DIV_OUT.D + CLK_DIV_OUT.C FPU_CS.D% FPU_CS.C FPU_CS.AP DTACK.D% DTACK.C DTACK.AP DTACK.OE + IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP + E.T E.C VMA.D VMA.C VMA.AP RESET.D RESET.C cpu_est_1_.T cpu_est_1_.C + inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP + inst_DTACK_SYNC.D% inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_VPA_D.D + inst_VPA_D.C inst_VPA_SYNC.D% inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_CLK_000_D.D + inst_CLK_000_D.C inst_CLK_000_DD.D inst_CLK_000_DD.C inst_CLK_OUT_PRE.D + inst_CLK_OUT_PRE.C cpu_est_0_.D cpu_est_0_.C cpu_est_2_.D.X1 cpu_est_2_.D.X2 + cpu_est_2_.C CLK_CNT_0_.D CLK_CNT_0_.C SM_AMIGA_6_.D SM_AMIGA_6_.C + SM_AMIGA_6_.AR SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP + inst_RISING_CLK_AMIGA.D inst_RISING_CLK_AMIGA.C SM_AMIGA_4_.D SM_AMIGA_4_.C + SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_5_.D + SM_AMIGA_5_.C SM_AMIGA_5_.AR CLK_000_CNT_0_.D% CLK_000_CNT_0_.C CLK_000_CNT_1_.D + CLK_000_CNT_1_.C CLK_000_CNT_2_.D% CLK_000_CNT_2_.C CLK_000_CNT_3_.T + CLK_000_CNT_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D% + SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR + SM_AMIGA_D_0_.D% SM_AMIGA_D_0_.C SM_AMIGA_D_1_.D% SM_AMIGA_D_1_.C + SM_AMIGA_D_2_.D% SM_AMIGA_D_2_.C un1_UDS_000_INT_0_sqmuxa_2_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 142 +----------------------------------------------------------------------------- 00001001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0---------------------------------------- 01000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------1------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------0------0-------0----1----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------1-------------------0----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1------------0----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------1----0----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------0-0-------0---1---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1-------------0---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------1-----------0---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1---0---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------0------0-0----1--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-------------0--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------1------0--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1----0--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------1-111--- 00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0---------------------------------------------------------------------- 00000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------0-------------------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------1111-------------------------------------------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0--------------0000000------------------------------------------------------ 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1-----------------------------------------------------1-------------------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0-------------1------ 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------1----------------------------------------------------------------- 00000000000000010010001000100010001001001010010001001001010010101001001010010101010010101001001010010010010101010100100100101010 +-------------0--------------------------------------------------------------- 00000000000000001001000100010001000100100001001000100100001000000100100001000000000000000100100001001001000000000010010010000000 +----0----------------------------------------------------0------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1-------------1-------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1-------------1-------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1--------------1------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1--------------1------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1---------------1-----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1---------------1-----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1----------------1----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1----------------1----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-----------------------------------0------------------------------------ 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0---1-----1------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +-----------------------------------1----------------------------------------- 00000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------1----------------0---------0---1-----1------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----01------------------------------------------------0---------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0--------------------------0---------1--0---------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0---------------------------------------0---0------------------ 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------1----------------0----------------------------1---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0---------------------------------------0-----1---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------1--------------------------1------------0-----0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----------------------------------------0--------0-----0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------1------------------------------------0--0-----0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------0----------------0---------1---1------------1-0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------0----------------0-------------1-----0------1-0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0--------------1---------------0------------------------------------------1-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1---------------------------------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------1------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-00-0-----------------------------------------1------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-1------------------------------------------0------------------------ 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-00-0-------------------------------------------1----------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------1----------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1-----------------------------------------------1-------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1----------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10---11-----------------0010---1------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----0--------------------------0---------------------------------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0----------------------------------0- 00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0----------------------------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-----------------------1-------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0----------1--------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1----------------------1-------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0--------1----------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1-1-----10-11--------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-1-----10-10--------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-0-----10-00--------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----1-------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1------1------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1----1------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1-0-----10-0---------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-------10-11--------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-0-----10--0--------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1-1-----10-10--------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +----1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------1--1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +---1----11-----------------0010---1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +---------0-------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------------0---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1-1-------------1----------------0 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +----0---------------------------------------0-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------------------------------0-0---0-1--11---------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +----------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +---------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +-----------------------------------------------0--1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +-----------------------------------------------1--0-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +---------------------------------------------0--1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +----------------------------------------------1-1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +---------------------------------------------10-0---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +-------------------------------------1-------10-10--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +---------------------------------------0-----10-00--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +-------------------------------------0-0-----10-11--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +-------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +--------------------------------------------------0-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +-----------------------------------------1---------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +---------------------------------------------0-----1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +---------------------------------------------0-------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +---------------------------------------------1-------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +----------------------------------------1----1-----------------------1------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +----------1----------------------------------0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000 +---------------------------------------------0------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +---------------------------------------------0--------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +---------------------------------------------1------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +------------------------------------------1-1--------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +---------------------------------------------1-------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +---------------------------------------------1--------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +----------1----------------------------------1---------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 +----------0----------------------------------0---------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 +----------1----------------------------------1---------------01-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------0----------------------------------0---------------01-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------1----------------------------------1---------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------0----------------------------------0---------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------0----------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +-------------------------------------------------------------111------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +-------------------------------------------------------------0-0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +--------------------------------------------------------------00------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +----------1----------------------------------1---------------111------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +----------0----------------------------------0---------------111------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +----------0----------------------------------1------------------1------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +----------1----------------------------------0------------------1------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------------------------------------------0--0-------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +--------------------------------------------00-------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +---------------------------------------------0--------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000 +----------0-------------------------------0-----------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------0--------------------------1-1----0---11----------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +------------------------------------------------------------------0-0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------0-------------------------------0-------------------------1-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------0--------------------------1-1----0---11------------------1-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------------------------------------0----------------------------1------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------------0-----------------------1------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1-------------------------------------0------0-------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------0---------------------------------------------------------0----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1--------------------------------------------0-0-------00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------0----------------------------------------------------------0---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1---------------------------------------------0------0-00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------0-----------------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-----0--------1--------------------------0---1-----1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----0--------1---------------------------------------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----0--------0--------------------------1---1------------1-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----0--------0------------------------------1-----0------1-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +.end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte new file mode 100644 index 0000000..be16a28 --- /dev/null +++ b/Logic/68030_tk.tte @@ -0,0 +1,199 @@ +#$ TOOL ispLEVER Classic 1.7.00.05.28.13 +#$ DATE Thu May 15 19:20:52 2014 +#$ MODULE BUS68030 +#$ PINS 59 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ A_24_ A_23_ IPL_2_ + A_22_ A_21_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ A_16_ DS_030 CPU_SPACE BERR + BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_EXP A_0_ AVEC AVEC_EXP IPL_1_ VPA + IPL_0_ DSACK_0_ RST FC_0_ RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR + AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 + BG_000 BGACK_030 CLK_DIV_OUT FPU_CS DTACK IPL_030_1_ IPL_030_0_ E VMA RESET +#$ NODES 28 cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D + inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE cpu_est_0_ + cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ inst_RISING_CLK_AMIGA SM_AMIGA_4_ + SM_AMIGA_3_ SM_AMIGA_5_ CLK_000_CNT_0_ CLK_000_CNT_1_ CLK_000_CNT_2_ + CLK_000_CNT_3_ SM_AMIGA_2_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_D_0_ SM_AMIGA_D_1_ + SM_AMIGA_D_2_ un1_UDS_000_INT_0_sqmuxa_2_0 +.type f +.i 77 +.o 128 +.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030 + CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ + A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ + BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q + inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D.Q + inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_2_.Q CLK_CNT_0_.Q + SM_AMIGA_6_.Q BG_000.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q inst_RISING_CLK_AMIGA.Q + DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q CLK_000_CNT_0_.Q + CLK_000_CNT_1_.Q CLK_000_CNT_2_.Q CLK_000_CNT_3_.Q IPL_030_0_.Q SM_AMIGA_2_.Q + IPL_030_1_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q IPL_030_2_.Q SM_AMIGA_D_0_.Q + SM_AMIGA_D_1_.Q SM_AMIGA_D_2_.Q un1_UDS_000_INT_0_sqmuxa_2_0 DSACK_1_.PIN + DTACK.PIN +.ob BERR BERR.OE CLK_EXP.X1 CLK_EXP.X2 AVEC AVEC_EXP AVEC_EXP.OE DSACK_0_ + DSACK_0_.OE AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN + CIIN.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D- DSACK_1_.C + DSACK_1_.AP DSACK_1_.OE AS_000.D- AS_000.C AS_000.AP AS_000.OE UDS_000.D- + UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D LDS_000.C LDS_000.AP LDS_000.OE + BG_000.D- BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_DIV_OUT.D + CLK_DIV_OUT.C FPU_CS.D- FPU_CS.C FPU_CS.AP DTACK.D- DTACK.C DTACK.AP DTACK.OE + IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP + E.T E.C VMA.D VMA.C VMA.AP RESET.D RESET.C cpu_est_1_.T cpu_est_1_.C + inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP + inst_DTACK_SYNC.D- inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_VPA_D.D + inst_VPA_D.C inst_VPA_SYNC.D- inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_CLK_000_D.D + inst_CLK_000_D.C inst_CLK_000_DD.D inst_CLK_000_DD.C inst_CLK_OUT_PRE.D + inst_CLK_OUT_PRE.C cpu_est_0_.D cpu_est_0_.C cpu_est_2_.D.X1 cpu_est_2_.D.X2 + cpu_est_2_.C CLK_CNT_0_.D CLK_CNT_0_.C SM_AMIGA_6_.D SM_AMIGA_6_.C + SM_AMIGA_6_.AR SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP + inst_RISING_CLK_AMIGA.D inst_RISING_CLK_AMIGA.C SM_AMIGA_4_.D SM_AMIGA_4_.C + SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_5_.D + SM_AMIGA_5_.C SM_AMIGA_5_.AR CLK_000_CNT_0_.D- CLK_000_CNT_0_.C CLK_000_CNT_1_.D + CLK_000_CNT_1_.C CLK_000_CNT_2_.D- CLK_000_CNT_2_.C CLK_000_CNT_3_.T + CLK_000_CNT_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D- + SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR + SM_AMIGA_D_0_.D- SM_AMIGA_D_0_.C SM_AMIGA_D_1_.D- SM_AMIGA_D_1_.C + SM_AMIGA_D_2_.D- SM_AMIGA_D_2_.C un1_UDS_000_INT_0_sqmuxa_2_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 142 +----------------------------------------------------------------------------- 00001001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0---------------------------------------- 01000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------1------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------0------0-------0----1----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------1-------------------0----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1------------0----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------1----0----- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------0-0-------0---1---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1-------------0---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------1-----------0---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1---0---- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------0------0-0----1--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-------------0--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------1------0--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1----0--- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------1-111--- 00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0---------------------------------------------------------------------- 00000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------0-------------------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------1111-------------------------------------------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0--------------0000000------------------------------------------------------ 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1-----------------------------------------------------1-------------------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0-------------1------ 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------1----------------------------------------------------------------- 00000000000000010010001000100010001001001010010001001001010010101001001010010101010010101001001010010010010101010100100100101010 +-------------0--------------------------------------------------------------- 00000000000000001001000100010001000100100001001000100100001000000100100001000000000000000100100001001001000000000010010010000000 +----0----------------------------------------------------0------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1-------------1-------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1-------------1-------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1--------------1------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1--------------1------1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1---------------1-----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1---------------1-----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------00--0-1----------------1----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0--00-1----------------1----1------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-----------------------------------0------------------------------------ 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0---1-----1------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +-----------------------------------1----------------------------------------- 00000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------1----------------0---------0---1-----1------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----01------------------------------------------------0---------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0--------------------------0---------1--0---------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0---------------------------------------0---0------------------ 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------1----------------0----------------------------1---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0---------------------------------------0-----1---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------1--------------------------1------------0-----0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----------------------------------------0--------0-----0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------1------------------------------------0--0-----0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------0----------------0---------1---1------------1-0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------0----------------0-------------1-----0------1-0---------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0--------------1---------------0------------------------------------------1-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1---------------------------------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------1------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-00-0-----------------------------------------1------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-1------------------------------------------0------------------------ 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-00-0-------------------------------------------1----------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------1----------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1-----------------------------------------------1-------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1----------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10---11-----------------0010---1------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----0--------------------------0---------------------------------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0----------------------------------0- 00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0----------------------------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-----------------------1-------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0----------1--------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1----------------------1-------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0--------1----------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1-1-----10-11--------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-1-----10-10--------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-0-----10-00--------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----1-------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1------1------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1----1------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1-0-----10-0---------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-------10-11--------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-0-----10--0--------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1-1-----10-10--------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +----1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------1--1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +---1----11-----------------0010---1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +---------0-------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------------0---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1-1-------------1----------------0 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +----0---------------------------------------0-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------------------------------0-0---0-1--11---------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +----------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +---------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +-----------------------------------------------0--1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +-----------------------------------------------1--0-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +---------------------------------------------0--1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +----------------------------------------------1-1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +---------------------------------------------10-0---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +-------------------------------------1-------10-10--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +---------------------------------------0-----10-00--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +-------------------------------------0-0-----10-11--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +-------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +--------------------------------------------------0-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +-----------------------------------------1---------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +---------------------------------------------0-----1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +---------------------------------------------0-------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +---------------------------------------------1-------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +----------------------------------------1----1-----------------------1------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +----------1----------------------------------0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000 +---------------------------------------------0------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +---------------------------------------------0--------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +---------------------------------------------1------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +------------------------------------------1-1--------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +---------------------------------------------1-------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +---------------------------------------------1--------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +----------1----------------------------------1---------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 +----------0----------------------------------0---------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 +----------1----------------------------------1---------------01-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------0----------------------------------0---------------01-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------1----------------------------------1---------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------0----------------------------------0---------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------0----------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +-------------------------------------------------------------111------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +-------------------------------------------------------------0-0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +--------------------------------------------------------------00------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +----------1----------------------------------1---------------111------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +----------0----------------------------------0---------------111------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +----------0----------------------------------1------------------1------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +----------1----------------------------------0------------------1------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------------------------------------------0--0-------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +--------------------------------------------00-------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +---------------------------------------------0--------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000 +----------0-------------------------------0-----------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------0--------------------------1-1----0---11----------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +------------------------------------------------------------------0-0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------0-------------------------------0-------------------------1-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------0--------------------------1-1----0---11------------------1-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------------------------------------0----------------------------1------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------------0-----------------------1------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1-------------------------------------0------0-------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------0---------------------------------------------------------0----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1--------------------------------------------0-0-------00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------0----------------------------------------------------------0---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1---------------------------------------------0------0-00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------0-----------------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-----0--------1--------------------------0---1-----1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----0--------1---------------------------------------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----0--------0--------------------------1---1------------1-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----0--------0------------------------------1-----0------1-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +.end diff --git a/Logic/68030_tk.v2l b/Logic/68030_tk.v2l new file mode 100644 index 0000000..e69de29 diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl new file mode 100644 index 0000000..3cc9688 --- /dev/null +++ b/Logic/68030_tk.vcl @@ -0,0 +1,198 @@ +[DEVICE] + +Family = M4A5; +PartType = M4A5-128/64; +Package = 100TQFP; +PartNumber = M4A5-128/64-10VC; +Speed = -10; +Operating_condition = COM; +EN_Segment = NO; +Pin_MC_1to1 = NO; +Voltage = 5.0; + +[REVISION] + +RCS = "$Revision: 1.2 $"; +Parent = m4a5.lci; +SDS_file = m4a5.sds; +Design = 68030_tk.tt4; +Rev = 0.01; +DATE = 5/15/14; +TIME = 19:20:57; +Type = TT2; +Pre_Fit_Time = 1; +Source_Format = Pure_VHDL; + +[IGNORE ASSIGNMENTS] + +Pin_Assignments = NO; +Pin_Keep_Block = NO; +Pin_Keep_Segment = NO; +Group_Assignments = NO; +Macrocell_Assignments = NO; +Macrocell_Keep_Block = NO; +Macrocell_Keep_Segment = NO; +Pin_Reservation = NO; +Timing_Constraints = NO; +Block_Reservation = NO; +Segment_Reservation = NO; +Ignore_Source_Location = NO; +Ignore_Source_Optimization = NO; +Ignore_Source_Timing = NO; + +[CLEAR ASSIGNMENTS] + +Pin_Assignments = NO; +Pin_Keep_Block = NO; +Pin_Keep_Segment = NO; +Group_Assignments = NO; +Macrocell_Assignments = NO; +Macrocell_Keep_Block = NO; +Macrocell_Keep_Segment = NO; +Pin_Reservation = NO; +Timing_Constraints = NO; +Block_Reservation = NO; +Segment_Reservation = NO; +Ignore_Source_Location = NO; +Ignore_Source_Optimization = NO; +Ignore_Source_Timing = NO; + +[BACKANNOTATE NETLIST] + +Netlist = VHDL; +Delay_File = SDF; +Generic_VCC = ; +Generic_GND = ; + +[BACKANNOTATE ASSIGNMENTS] + +Pin_Assignment = NO; +Pin_Block = NO; +Pin_Macrocell_Block = NO; +Routing = NO; + +[GLOBAL PROJECT OPTIMIZATION] + +Balanced_Partitioning = YES; +Spread_Placement = YES; +Max_Pin_Percent = 100; +Max_Macrocell_Percent = 100; +Max_Inter_Seg_Percent = 100; +Max_Seg_In_Percent = 100; +Max_Blk_In_Percent = 100; + +[FITTER REPORT FORMAT] + +Fitter_Options = YES; +Pinout_Diagram = NO; +Pinout_Listing = YES; +Detailed_Block_Segment_Summary = YES; +Input_Signal_List = YES; +Output_Signal_List = YES; +Bidir_Signal_List = YES; +Node_Signal_List = YES; +Signal_Fanout_List = YES; +Block_Segment_Fanin_List = YES; +Prefit_Eqn = YES; +Postfit_Eqn = YES; +Page_Break = YES; + +[OPTIMIZATION OPTIONS] + +Logic_Reduction = YES; +Max_PTerm_Split = 16; +Max_PTerm_Collapse = 16; +XOR_Synthesis = YES; +Node_Collapse = Yes; +DT_Synthesis = Yes; + +[FITTER GLOBAL OPTIONS] + +Run_Time = 0; +Set_Reset_Dont_Care = NO; +In_Reg_Optimize = YES; +Clock_Optimize = NO; +Conf_Unused_IOs = OUT_LOW; + +[POWER] +Powerlevel = Low, High; +Default = High; +Type = GLB; + +[HARDWARE DEVICE OPTIONS] +Zero_Hold_Time = Yes; +Signature_Word = 0; +Pull_up = Yes; +Out_Slew_Rate = FAST, SLOW, 0; +Device_max_fanin = 33; +Device_max_pterms = 20; +Usercode_Format = Hex; + +[LOCATION ASSIGNMENT] + +Layer = OFF +DSACK_1_ = BIDIR,81,7,-; +DTACK = OUTPUT,30,3,-; +CLK_EXP = OUTPUT,10,1,-; +UDS_000 = OUTPUT,32,3,-; +E = OUTPUT,66,6,-; +VMA = OUTPUT,35,3,-; +LDS_000 = OUTPUT,31,3,-; +BG_000 = OUTPUT,29,3,-; +BGACK_030 = OUTPUT,83,7,-; +FPU_CS = OUTPUT,78,7,-; +AS_000 = OUTPUT,33,3,-; +IPL_030_2_ = OUTPUT,9,1,-; +IPL_030_0_ = OUTPUT,8,1,-; +IPL_030_1_ = OUTPUT,7,1,-; +AVEC = OUTPUT,92,0,-; +DSACK_0_ = OUTPUT,80,7,-; +CLK_DIV_OUT = OUTPUT,65,6,-; +AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-; +CIIN = OUTPUT,47,4,-; +BERR = OUTPUT,41,4,-; +AMIGA_BUS_ENABLE = OUTPUT,34,3,-; +AVEC_EXP = OUTPUT,22,2,-; +AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; +RESET = OUTPUT,3,1,-; +SM_AMIGA_0_ = NODE,*,6,-; +RN_FPU_CS = NODE,-1,7,-; +SM_AMIGA_4_ = NODE,*,3,-; +inst_VPA_SYNC = NODE,*,6,-; +inst_CLK_000_D = NODE,*,0,-; +SM_AMIGA_1_ = NODE,*,6,-; +CLK_000_CNT_1_ = NODE,*,6,-; +inst_AS_030_000_SYNC = NODE,*,7,-; +SM_AMIGA_2_ = NODE,*,6,-; +SM_AMIGA_3_ = NODE,*,6,-; +SM_AMIGA_6_ = NODE,*,3,-; +RN_BGACK_030 = NODE,-1,7,-; +RN_AS_000 = NODE,-1,3,-; +SM_AMIGA_D_2_ = NODE,*,6,-; +CLK_000_CNT_0_ = NODE,*,7,-; +SM_AMIGA_5_ = NODE,*,3,-; +SM_AMIGA_7_ = NODE,*,6,-; +inst_CLK_OUT_PRE = NODE,*,6,-; +inst_DTACK_SYNC = NODE,*,6,-; +inst_RISING_CLK_AMIGA = NODE,*,7,-; +RN_UDS_000 = NODE,-1,3,-; +RN_DSACK_1_ = NODE,-1,7,-; +CLK_000_CNT_2_ = NODE,*,7,-; +un1_UDS_000_INT_0_sqmuxa_2_0 = NODE,*,3,-; +CLK_000_CNT_3_ = NODE,*,7,-; +cpu_est_1_ = NODE,*,6,-; +RN_VMA = NODE,-1,3,-; +RN_E = NODE,-1,6,-; +RN_BG_000 = NODE,-1,3,-; +RN_LDS_000 = NODE,-1,3,-; +cpu_est_2_ = NODE,*,6,-; +cpu_est_0_ = NODE,*,6,-; +RN_IPL_030_0_ = NODE,-1,1,-; +RN_IPL_030_1_ = NODE,-1,1,-; +RN_IPL_030_2_ = NODE,-1,1,-; +SM_AMIGA_D_1_ = NODE,*,1,-; +SM_AMIGA_D_0_ = NODE,*,1,-; +CLK_CNT_0_ = NODE,*,6,-; +inst_CLK_000_DD = NODE,*,3,-; +inst_VPA_D = NODE,*,1,-; +CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco new file mode 100644 index 0000000..5aa1ea5 --- /dev/null +++ b/Logic/68030_tk.vco @@ -0,0 +1,220 @@ +[DEVICE] + +Family = M4A5; +PartType = M4A5-128/64; +Package = 100TQFP; +PartNumber = M4A5-128/64-10VC; +Speed = -10; +Operating_condition = COM; +EN_Segment = NO; +Pin_MC_1to1 = NO; +Voltage = 5.0; + +[REVISION] + +RCS = "$Revision: 1.2 $"; +Parent = m4a5.lci; +SDS_file = m4a5.sds; +Design = 68030_tk.tt4; +Rev = 0.01; +DATE = 5/15/14; +TIME = 19:20:57; +Type = TT2; +Pre_Fit_Time = 1; +Source_Format = Pure_VHDL; + +[IGNORE ASSIGNMENTS] + +Pin_Assignments = NO; +Pin_Keep_Block = NO; +Pin_Keep_Segment = NO; +Group_Assignments = NO; +Macrocell_Assignments = NO; +Macrocell_Keep_Block = NO; +Macrocell_Keep_Segment = NO; +Pin_Reservation = NO; +Timing_Constraints = NO; +Block_Reservation = NO; +Segment_Reservation = NO; +Ignore_Source_Location = NO; +Ignore_Source_Optimization = NO; +Ignore_Source_Timing = NO; + +[CLEAR ASSIGNMENTS] + +Pin_Assignments = NO; +Pin_Keep_Block = NO; +Pin_Keep_Segment = NO; +Group_Assignments = NO; +Macrocell_Assignments = NO; +Macrocell_Keep_Block = NO; +Macrocell_Keep_Segment = NO; +Pin_Reservation = NO; +Timing_Constraints = NO; +Block_Reservation = NO; +Segment_Reservation = NO; +Ignore_Source_Location = NO; +Ignore_Source_Optimization = NO; +Ignore_Source_Timing = NO; + +[BACKANNOTATE NETLIST] + +Netlist = VHDL; +Delay_File = SDF; +Generic_VCC = ; +Generic_GND = ; + +[BACKANNOTATE ASSIGNMENTS] + +Pin_Assignment = NO; +Pin_Block = NO; +Pin_Macrocell_Block = NO; +Routing = NO; + +[GLOBAL PROJECT OPTIMIZATION] + +Balanced_Partitioning = YES; +Spread_Placement = YES; +Max_Pin_Percent = 100; +Max_Macrocell_Percent = 100; +Max_Inter_Seg_Percent = 100; +Max_Seg_In_Percent = 100; +Max_Blk_In_Percent = 100; + +[FITTER REPORT FORMAT] + +Fitter_Options = YES; +Pinout_Diagram = NO; +Pinout_Listing = YES; +Detailed_Block_Segment_Summary = YES; +Input_Signal_List = YES; +Output_Signal_List = YES; +Bidir_Signal_List = YES; +Node_Signal_List = YES; +Signal_Fanout_List = YES; +Block_Segment_Fanin_List = YES; +Prefit_Eqn = YES; +Postfit_Eqn = YES; +Page_Break = YES; + +[OPTIMIZATION OPTIONS] + +Logic_Reduction = YES; +Max_PTerm_Split = 16; +Max_PTerm_Collapse = 16; +XOR_Synthesis = YES; +Node_Collapse = Yes; +DT_Synthesis = Yes; + +[FITTER GLOBAL OPTIONS] + +Run_Time = 0; +Set_Reset_Dont_Care = NO; +In_Reg_Optimize = YES; +Clock_Optimize = NO; +Conf_Unused_IOs = OUT_LOW; + +[POWER] +Powerlevel = Low, High; +Default = High; +Type = GLB; + +[HARDWARE DEVICE OPTIONS] +Zero_Hold_Time = Yes; +Signature_Word = 0; +Pull_up = Yes; +Out_Slew_Rate = FAST, SLOW, 0; +Device_max_fanin = 33; +Device_max_pterms = 20; +Usercode_Format = Hex; + +[LOCATION ASSIGNMENT] + +Layer = OFF; +A_30_ = INPUT,5, B,-; +A_29_ = INPUT,6, B,-; +SIZE_1_ = INPUT,79, H,-; +A_28_ = INPUT,15, C,-; +A_27_ = INPUT,16, C,-; +A_31_ = INPUT,4, B,-; +A_26_ = INPUT,17, C,-; +A_25_ = INPUT,18, C,-; +A_24_ = INPUT,19, C,-; +A_23_ = INPUT,84, H,-; +IPL_2_ = INPUT,68, G,-; +A_22_ = INPUT,85, H,-; +A_21_ = INPUT,94, A,-; +A_20_ = INPUT,93, A,-; +A_19_ = INPUT,97, A,-; +FC_1_ = INPUT,58, F,-; +A_18_ = INPUT,95, A,-; +AS_030 = INPUT,82, H,-; +A_17_ = INPUT,59, F,-; +A_16_ = INPUT,96, A,-; +DS_030 = INPUT,98, A,-; +CPU_SPACE = INPUT,14,-,-; +BERR = OUTPUT,41, E,-; +BG_030 = INPUT,21, C,-; +BGACK_000 = INPUT,28, D,-; +CLK_030 = INPUT,64,-,-; +CLK_000 = INPUT,11,-,-; +CLK_OSZI = INPUT,61,-,-; +CLK_EXP = OUTPUT,10, B,-; +A_0_ = INPUT,69, G,-; +AVEC = OUTPUT,92, A,-; +AVEC_EXP = OUTPUT,22, C,-; +IPL_1_ = INPUT,56, F,-; +VPA = INPUT,36,-,-; +IPL_0_ = INPUT,67, G,-; +DSACK_0_ = OUTPUT,80, H,-; +RST = INPUT,86,-,-; +FC_0_ = INPUT,57, F,-; +RW = INPUT,71, G,-; +AMIGA_BUS_ENABLE = OUTPUT,34, D,-; +AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; +AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; +CIIN = OUTPUT,47, E,-; +SIZE_0_ = INPUT,70, G,-; +IPL_030_2_ = OUTPUT,9, B,-; +DSACK_1_ = BIDIR,81, H,-; +AS_000 = OUTPUT,33, D,-; +UDS_000 = OUTPUT,32, D,-; +LDS_000 = OUTPUT,31, D,-; +BG_000 = OUTPUT,29, D,-; +BGACK_030 = OUTPUT,83, H,-; +CLK_DIV_OUT = OUTPUT,65, G,-; +FPU_CS = OUTPUT,78, H,-; +DTACK = BIDIR,30, D,-; +IPL_030_1_ = OUTPUT,7, B,-; +IPL_030_0_ = OUTPUT,8, B,-; +E = OUTPUT,66, G,-; +VMA = OUTPUT,35, D,-; +RESET = OUTPUT,3, B,-; +cpu_est_1_ = NODE,3, G,-; +inst_AS_030_000_SYNC = NODE,1, H,-; +inst_DTACK_SYNC = NODE,14, G,-; +inst_VPA_D = NODE,6, B,-; +inst_VPA_SYNC = NODE,12, G,-; +inst_CLK_000_D = NODE,0, A,-; +inst_CLK_000_DD = NODE,14, D,-; +inst_CLK_OUT_PRE = NODE,10, G,-; +cpu_est_0_ = NODE,11, G,-; +cpu_est_2_ = NODE,7, G,-; +CLK_CNT_0_ = NODE,15, G,-; +SM_AMIGA_6_ = NODE,2, D,-; +SM_AMIGA_7_ = NODE,6, G,-; +inst_RISING_CLK_AMIGA = NODE,9, H,-; +SM_AMIGA_4_ = NODE,13, D,-; +SM_AMIGA_3_ = NODE,13, G,-; +SM_AMIGA_5_ = NODE,6, D,-; +CLK_000_CNT_0_ = NODE,5, H,-; +CLK_000_CNT_1_ = NODE,5, G,-; +CLK_000_CNT_2_ = NODE,13, H,-; +CLK_000_CNT_3_ = NODE,2, H,-; +SM_AMIGA_2_ = NODE,9, G,-; +SM_AMIGA_1_ = NODE,1, G,-; +SM_AMIGA_0_ = NODE,8, G,-; +SM_AMIGA_D_0_ = NODE,13, B,-; +SM_AMIGA_D_1_ = NODE,9, B,-; +SM_AMIGA_D_2_ = NODE,2, G,-; +un1_UDS_000_INT_0_sqmuxa_2_0 = NODE,10, D,-; diff --git a/Logic/68030_tk.vct b/Logic/68030_tk.vct new file mode 100644 index 0000000..d02bbc5 --- /dev/null +++ b/Logic/68030_tk.vct @@ -0,0 +1,216 @@ +[DEVICE] +Family = M4A5; +PartType = M4A5-128/64; +Package = 100TQFP; +PartNumber = M4A5-128/64-10VC; +Speed = -10; +Operating_condition = COM; +EN_Segment = No; +Pin_MC_1to1 = No; +EN_PinReserve_IO = Yes; +EN_PinReserve_BIDIR = Yes; +Voltage = 5.0; + +[REVISION] +RCS = "$Revision: 1.2 $"; +Parent = m4a5.lci; +SDS_File = m4a5.sds; +DATE = 05/15/2014; +TIME = 12:30:11; +Source_Format = Pure_VHDL; +Type = TT2; +Pre_Fit_Time = 1; + +[IGNORE ASSIGNMENTS] +Pin_Assignments = No; +Pin_Keep_Block = No; +Pin_Keep_Segment = No; +Group_Assignments = No; +Macrocell_Assignments = No; +Macrocell_Keep_Block = No; +Macrocell_Keep_Segment = No; +Pin_Reservation = No; +Block_Reservation = No; +Segment_Reservation = No; +Timing_Constraints = No; + +[CLEAR ASSIGNMENTS] +Pin_Assignments = No; +Pin_Keep_Block = No; +Pin_Keep_Segment = No; +Group_Assignments = No; +Macrocell_Assignments = No; +Macrocell_Keep_Block = No; +Macrocell_Keep_Segment = No; +Pin_Reservation = No; +Block_Reservation = No; +Segment_Reservation = No; +Timing_Constraints = No; + +[BACKANNOTATE ASSIGNMENTS] +Pin_Block = No; +Pin_Macrocell_Block = No; +Routing = No; + +[GLOBAL PROJECT OPTIMIZATION] +Balanced_Partitioning = Yes; +Spread_Placement = Yes; +Max_Pin_Percent = 100; +Max_Macrocell_Percent = 100; +Max_Blk_In_Percent = 100; + +[OPTIMIZATION OPTIONS] +Logic_Reduction = Yes; +Max_PTerm_Split = 16; +Max_PTerm_Collapse = 16; +XOR_Synthesis = Yes; +EN_XOR_Synthesis = Yes; +XOR_Gate = Yes; +Node_Collapse = Yes; +Keep_XOR = Yes; +DT_Synthesis = Yes; +Clock_PTerm = Min; +Reset_PTerm = On; +Preset_PTerm = On; +Clock_Enable_PTerm = On; +Output_Enable_PTerm = On; +EN_DT_Synthesis = Yes; +Cluster_PTerm = 5; +FF_inv = No; +EN_Use_CE = No; +Use_CE = No; +Use_Internal_COM_FB = Yes; +EN_use_Internal_COM_FB = Yes; +Set_Reset_Swap = No; +EN_Set_Reset_Swap = No; +Density = No; +DeMorgan = Yes; +T_FF = Yes; +Max_Symbols = 32; + +[FITTER GLOBAL OPTIONS] +Run_Time = 0; +Set_Reset_Dont_Care = No; +EN_Set_Reset_Dont_Care = Yes; +In_Reg_Optimize = Yes; +EN_In_Reg_Optimize = No; +Clock_Optimize = No; +Global_Clock_As_Pterm = No; +Show_Iterations = No; +Routing_Attempts = 2; +Conf_Unused_IOs = Out_Low; + +[HARDWARE DEVICE OPTIONS] +Zero_Hold_Time = Yes; +Signature_Word = 0; +Pull_up = Yes; +Out_Slew_Rate = FAST,SLOW,0; +Device_max_fanin = 33; +Device_max_pterms = 20; +Usercode_Format = Hex; + +[PIN RESERVATIONS] +Layer = OFF; + +[LOCATION ASSIGNMENT] +Layer = OFF; +AS_030 = input,82,H,-; +A_0_ = input,69,G,-; +A_16_ = input,96,A,-; +A_17_ = input,59,F,-; +A_18_ = input,95,A,-; +A_19_ = input,97,A,-; +BGACK_000 = input,28,D,-; +BG_030 = input,21,C,-; +CLK_000 = input,11,-,-; +CLK_030 = input,64,-,-; +CLK_OSZI = input,61,-,-; +CPU_SPACE = input,14,-,-; +FC_0_ = input,57,F,-; +FC_1_ = input,58,F,-; +IPL_0_ = input,67,G,-; +IPL_1_ = input,56,F,-; +IPL_2_ = input,68,G,-; +RST = input,86,-,-; +RW = input,71,G,-; +SIZE_1_ = input,79,H,-; +SIZE_0_ = input,70,G,-; +VPA = input,36,-,-; +AVEC = input,92,A,-; +BGACK_030 = input,83,H,-; +BG_000 = input,29,D,-; +CLK_DIV_OUT = input,65,G,-; +CLK_EXP = input,10,B,-; +DSACK_0_ = input,80,H,-; +E = input,66,G,-; +FPU_CS = input,78,H,-; +IPL_030_0_ = input,8,B,-; +IPL_030_1_ = input,7,B,-; +IPL_030_2_ = input,9,B,-; +LDS_000 = input,31,D,-; +UDS_000 = input,32,D,-; +VMA = input,35,D,-; +AS_000 = input,33,D,-; +DSACK_1_ = input,81,H,-; +DTACK = input,30,D,-; +RESET = input,3,B,-; +AMIGA_BUS_DATA_DIR = input,48,E,-; +AMIGA_BUS_ENABLE = input,34,D,-; +AMIGA_BUS_ENABLE_LOW = input,20,C,-; +CIIN = input,47,E,-; +A_20_ = input,93,A,-; +A_21_ = input,94,A,-; +A_22_ = input,85,H,-; +A_23_ = input,84,H,-; +A_24_ = input,19,C,-; +A_25_ = input,18,C,-; +A_26_ = input,17,C,-; +A_27_ = input,16,C,-; +A_28_ = input,15,C,-; +A_29_ = input,6,B,-; +A_30_ = input,5,B,-; +A_31_ = input,4,B,-; +DS_030 = input,98,A,-; +AVEC_EXP = input,22,C,-; +BERR = input,41,E,-; + +[GROUP ASSIGNMENT] +Layer = OFF; + +[SPACE RESERVATIONS] +Layer = OFF; + +[BACKANNOTATE NETLIST] +Delay_File = SDF; +Netlist = VHDL; +VCC_GND = Cell; + +[FITTER REPORT FORMAT] +Fitter_Options = Yes; +Pinout_Diagram = No; +Pinout_Listing = Yes; +Detailed_Block_Segment_Summary = Yes; +Input_Signal_List = Yes; +Output_Signal_List = Yes; +Bidir_Signal_List = Yes; +Node_Signal_List = Yes; +Signal_Fanout_List = Yes; +Block_Segment_Fanin_List = Yes; +Postfit_Eqn = Yes; +Page_Break = Yes; + +[POWER] +Powerlevel = Low,High; +Default = High; +Type = GLB; + +[SOURCE CONSTRAINT OPTION] +Import_source_constraint = Yes; +Disable_warning_message = No; + +[TIMING ANALYZER] +Last_source=; +Last_source_type=Fmax; + +[INPUT REGISTERS] + diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf new file mode 100644 index 0000000..75952e4 --- /dev/null +++ b/Logic/68030_tk.xrf @@ -0,0 +1,16 @@ +Signal Name Cross Reference File + +ispLEVER Classic 1.7.00.05.28.13 + +Design '68030_tk' created Thu May 15 19:20:52 2014 + + + LEGEND: '>' Functional Block Port Separator + '/' Hierarchy Path Separator + '@' Automatically Generated Node + + +Short Name Hierarchical Name +---------- ----------------- + + *** Shortened names not required for this design. *** diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 new file mode 100644 index 0000000..0bd7084 --- /dev/null +++ b/Logic/BUS68030.bl0 @@ -0,0 +1,1207 @@ +#$ DATE Thu May 15 19:20:52 2014 +#$ TOOL EDIF2BLIF version IspLever 1.0 +#$ MODULE bus68030 +#$ PINS 74 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ IPL_030_2_ A_24_ A_23_ IPL_2_ A_22_ A_21_ DSACK_1_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 A_15_ UDS_000 A_14_ LDS_000 A_13_ CPU_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ BGACK_030 A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ CLK_EXP A_2_ FPU_CS A_1_ DTACK A_0_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E IPL_1_ VPA IPL_0_ VMA DSACK_0_ RST FC_0_ RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ +#$ NODES 414 a_15__n a_c_22__n a_14__n a_c_23__n a_13__n a_c_24__n a_12__n inst_BGACK_030_INTreg a_c_25__n inst_CLK_OUT_INTreg \ +# a_11__n inst_FPU_CS_INTreg a_c_26__n cpu_est_3_reg a_10__n inst_VMA_INTreg a_c_27__n gnd_n_n a_9__n cpu_est_1_ \ +# a_c_28__n inst_AS_000_INTreg a_8__n inst_AS_030_000_SYNC a_c_29__n inst_DTACK_SYNC a_7__n inst_VPA_D a_c_30__n inst_VPA_SYNC \ +# a_6__n inst_CLK_000_D a_c_31__n inst_CLK_000_DD a_5__n inst_CLK_OUT_PRE CPU_SPACE_c vcc_n_n a_4__n cpu_est_0_ \ +# cpu_est_2_ BG_030_c a_3__n CLK_CNT_0_ SM_AMIGA_6_ BG_000DFFSHreg a_2__n SM_AMIGA_7_ inst_UDS_000_INTreg a_1__n \ +# inst_LDS_000_INTreg BGACK_000_c inst_RISING_CLK_AMIGA DSACK_INT_1_ CLK_030_c inst_DTACK_DMA SM_AMIGA_4_ CLK_000_c SM_AMIGA_3_ SM_AMIGA_5_ \ +# CLK_OSZI_c un1_clk_000_cnt_3__n CLK_000_CNT_0_ CLK_000_CNT_1_ CLK_000_CNT_2_ CLK_000_CNT_3_ IPL_030DFFSH_0_reg state_machine_un14_as_000_int_n SM_AMIGA_2_ IPL_030DFFSH_1_reg \ +# SM_AMIGA_1_ SM_AMIGA_0_ IPL_030DFFSH_2_reg SM_AMIGA_D_0_ SM_AMIGA_D_1_ ipl_c_0__n SM_AMIGA_D_2_ clk_exp ipl_c_1__n G_128 \ +# G_130 ipl_c_2__n G_132 dsack_c_1__n DTACK_c RST_c RESETDFFreg RW_c cpu_est_0_0_ fc_c_0__n \ +# fc_c_1__n CLK_OUT_PRE_0 N_123 N_148_i clk_rising_clk_amiga_1_n N_147_i G_122 VMA_INT_1_sqmuxa_0 G_123 N_170_i \ +# G_124 N_171_i DSACK_INT_1_sqmuxa N_161_i N_120 N_164_i N_144_1 N_165_i N_251 N_168_i \ +# N_254 N_166_i N_186 N_167_i un1_clk_000_cnt_0__n N_169_i N_184 clk_cpu_est_11_0_1__n un1_clk_000_cnt_1__n N_173_i \ +# un1_clk_000_cnt_2__n N_172_i state_machine_un69_clk_000_d_n N_174_i state_machine_un78_clk_000_d_n clk_cpu_est_11_0_3__n N_149 N_121_i N_119 N_126_0 \ +# N_135 N_123_0 state_machine_un67_clk_000_d_n N_122_0 state_machine_un80_clk_000_d_n N_142_i N_132 N_143_i N_131 sm_amiga_ns_0_5__n \ +# state_machine_un25_clk_000_d_n N_141_i N_150 N_140_i N_151 sm_amiga_ns_0_4__n N_144 N_146 LDS_000_INT_1_sqmuxa_i N_143 \ +# un1_UDS_000_INT_0_sqmuxa_2_0 N_145 UDS_000_INT_0_sqmuxa_i state_machine_lds_000_int_8_n un1_UDS_000_INT_0_sqmuxa_i un1_UDS_000_INT_0_sqmuxa_2 N_124_0 state_machine_uds_000_int_8_n N_136_i LDS_000_INT_0_sqmuxa \ +# state_machine_un42_clk_030_n RISING_CLK_AMIGA_i un1_bg_030 state_machine_un4_bgack_000_0_n N_133 BG_030_c_i state_machine_as_030_000_sync_3_n state_machine_un1_clk_030_0_n N_125 state_machine_un17_clk_030_0_n \ +# N_137 un1_as_030_2_0 N_138 N_137_i DSACK_INT_1_sqmuxa_1 N_138_i un1_as_030_2 state_machine_un17_clk_030_n N_120_i state_machine_un1_clk_030_n \ +# N_125_0 state_machine_un4_bgack_000_n state_machine_as_030_000_sync_3_2_n VPA_SYNC_1_sqmuxa N_133_i VPA_SYNC_1_sqmuxa_1 un1_bg_030_0 N_122 a_c_i_0__n AS_000_INT_1_sqmuxa \ +# state_machine_uds_000_int_8_0_n N_136 state_machine_lds_000_int_8_0_n N_124 N_151_i N_130 state_machine_un15_clk_000_d_n N_146_i un1_UDS_000_INT_0_sqmuxa N_145_i \ +# UDS_000_INT_0_sqmuxa sm_amiga_ns_0_7__n LDS_000_INT_1_sqmuxa N_144_i N_139 N_150_i N_140 N_126 size_c_i_1__n N_141 \ +# state_machine_un25_clk_000_d_i_n N_121 state_machine_un80_clk_000_d_i_n N_142 state_machine_un67_clk_000_d_i_n VPA_SYNC_1_sqmuxa_1_0 state_machine_un78_clk_000_d_0_n DTACK_SYNC_1_sqmuxa clk_rising_clk_amiga_1_i_n DTACK_SYNC_1_sqmuxa_1 \ +# N_135_i N_149_2 N_104_i clk_un3_clk_000_dd_n N_149_i N_164 N_119_0 N_171 clk_000_cnt_i_1__n N_170 \ +# clk_000_cnt_i_0__n clk_cpu_est_11_3__n clk_000_cnt_i_3__n N_174 clk_000_cnt_i_2__n N_172 state_machine_un69_clk_000_d_0_n N_173 state_machine_un69_clk_000_d_0_1_n clk_cpu_est_11_1__n \ +# state_machine_un69_clk_000_d_0_2_n N_169 state_machine_un25_clk_000_d_i_1_n N_167 N_116_i_1 N_166 un1_bg_030_0_1 N_168 un1_bg_030_0_2 N_165 \ +# state_machine_as_030_000_sync_3_2_1_n VMA_INT_1_sqmuxa un1_UDS_000_INT_0_sqmuxa_i_1 N_147 clk_cpu_est_11_0_1_3__n N_148 clk_cpu_est_11_0_1_1__n RW_i clk_cpu_est_11_0_2_1__n clk_exp_i \ +# N_251_1 CLK_000_DD_i N_251_2 CLK_000_D_i N_251_3 AS_000_INT_i N_251_4 cpu_est_i_0__n N_251_5 cpu_est_i_2__n \ +# N_251_6 cpu_est_i_3__n N_254_1 cpu_est_i_1__n N_254_2 N_149_2_i DSACK_INT_1_sqmuxa_1_0 VPA_D_i DSACK_INT_1_sqmuxa_2 DTACK_i \ +# DSACK_INT_1_sqmuxa_3 VPA_SYNC_i N_149_1 DTACK_SYNC_i N_149_2_0 AS_030_i N_132_1 DTACK_SYNC_1_sqmuxa_i N_131_1 DS_030_i \ +# state_machine_un42_clk_030_1_n sm_amiga_i_4__n state_machine_un42_clk_030_2_n sm_amiga_i_6__n state_machine_un42_clk_030_3_n sm_amiga_i_5__n state_machine_un42_clk_030_4_n N_139_i state_machine_un42_clk_030_5_n state_machine_un15_clk_000_d_i_n \ +# N_142_1 N_130_i N_130_1 sm_amiga_i_0__n N_130_2 sm_amiga_i_7__n UDS_000_INT_0_sqmuxa_1 VPA_SYNC_1_sqmuxa_i UDS_000_INT_0_sqmuxa_2 dsack_i_1__n \ +# DTACK_SYNC_1_sqmuxa_1_0 DSACK_INT_1_sqmuxa_i VPA_SYNC_1_sqmuxa_1_1 a_i_18__n VPA_SYNC_1_sqmuxa_2 a_i_16__n VPA_SYNC_1_sqmuxa_3 a_i_19__n N_171_1 CLK_030_i \ +# N_170_1 state_machine_un42_clk_030_i_n N_174_1 AS_030_000_SYNC_i clk_exp_1 N_131_i cpu_est_0_1__un3_n N_132_i cpu_est_0_1__un1_n sm_amiga_i_2__n \ +# cpu_est_0_1__un0_n sm_amiga_i_1__n vma_int_0_un3_n sm_amiga_i_3__n vma_int_0_un1_n CLK_000_i vma_int_0_un0_n a_i_30__n cpu_est_0_3__un3_n a_i_31__n \ +# cpu_est_0_3__un1_n a_i_28__n cpu_est_0_3__un0_n a_i_29__n cpu_est_0_2__un3_n a_i_26__n cpu_est_0_2__un1_n a_i_27__n cpu_est_0_2__un0_n a_i_24__n \ +# dtack_sync_0_un3_n a_i_25__n dtack_sync_0_un1_n dtack_sync_0_un0_n sm_amiga_d_0_0__un3_n RST_i sm_amiga_d_0_0__un1_n sm_amiga_d_0_0__un0_n FPU_CS_INT_i bgack_030_int_0_un3_n \ +# CPU_SPACE_i bgack_030_int_0_un1_n BGACK_030_INT_i bgack_030_int_0_un0_n AS_030_c bg_000_0_un3_n bg_000_0_un1_n bg_000_0_un0_n DS_030_c as_030_000_sync_0_un3_n \ +# as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n fpu_cs_int_0_un3_n size_c_0__n fpu_cs_int_0_un1_n fpu_cs_int_0_un0_n size_c_1__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n a_c_0__n \ +# dsack_int_0_1__un0_n vpa_sync_0_un3_n vpa_sync_0_un1_n vpa_sync_0_un0_n as_000_int_0_un3_n as_000_int_0_un1_n as_000_int_0_un0_n ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ +# ipl_030_0_1__un3_n ipl_030_0_1__un1_n ipl_030_0_1__un0_n ipl_030_0_0__un3_n ipl_030_0_0__un1_n ipl_030_0_0__un0_n sm_amiga_d_0_2__un3_n a_c_16__n sm_amiga_d_0_2__un1_n sm_amiga_d_0_2__un0_n \ +# a_c_17__n sm_amiga_d_0_1__un3_n sm_amiga_d_0_1__un1_n a_c_18__n sm_amiga_d_0_1__un0_n lds_000_int_0_un3_n a_c_19__n lds_000_int_0_un1_n lds_000_int_0_un0_n a_c_20__n \ +# uds_000_int_0_un3_n uds_000_int_0_un1_n a_c_21__n uds_000_int_0_un0_n +.model bus68030 +.inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF CPU_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF \ + CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \ + A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ + A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF \ + A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF \ + A_1_.BLIF A_0_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF DSACK_1_.BLIF DTACK.BLIF DSACK_0_.BLIF a_15__n.BLIF a_c_22__n.BLIF a_14__n.BLIF a_c_23__n.BLIF \ + a_13__n.BLIF a_c_24__n.BLIF a_12__n.BLIF inst_BGACK_030_INTreg.BLIF a_c_25__n.BLIF inst_CLK_OUT_INTreg.BLIF a_11__n.BLIF inst_FPU_CS_INTreg.BLIF a_c_26__n.BLIF \ + cpu_est_3_reg.BLIF a_10__n.BLIF inst_VMA_INTreg.BLIF a_c_27__n.BLIF gnd_n_n.BLIF a_9__n.BLIF cpu_est_1_.BLIF a_c_28__n.BLIF inst_AS_000_INTreg.BLIF \ + a_8__n.BLIF inst_AS_030_000_SYNC.BLIF a_c_29__n.BLIF inst_DTACK_SYNC.BLIF a_7__n.BLIF inst_VPA_D.BLIF a_c_30__n.BLIF inst_VPA_SYNC.BLIF a_6__n.BLIF \ + inst_CLK_000_D.BLIF a_c_31__n.BLIF inst_CLK_000_DD.BLIF a_5__n.BLIF inst_CLK_OUT_PRE.BLIF CPU_SPACE_c.BLIF vcc_n_n.BLIF a_4__n.BLIF cpu_est_0_.BLIF \ + cpu_est_2_.BLIF BG_030_c.BLIF a_3__n.BLIF CLK_CNT_0_.BLIF SM_AMIGA_6_.BLIF BG_000DFFSHreg.BLIF a_2__n.BLIF SM_AMIGA_7_.BLIF inst_UDS_000_INTreg.BLIF \ + a_1__n.BLIF inst_LDS_000_INTreg.BLIF BGACK_000_c.BLIF inst_RISING_CLK_AMIGA.BLIF DSACK_INT_1_.BLIF CLK_030_c.BLIF inst_DTACK_DMA.BLIF SM_AMIGA_4_.BLIF CLK_000_c.BLIF \ + SM_AMIGA_3_.BLIF SM_AMIGA_5_.BLIF CLK_OSZI_c.BLIF un1_clk_000_cnt_3__n.BLIF CLK_000_CNT_0_.BLIF CLK_000_CNT_1_.BLIF CLK_000_CNT_2_.BLIF CLK_000_CNT_3_.BLIF IPL_030DFFSH_0_reg.BLIF \ + state_machine_un14_as_000_int_n.BLIF SM_AMIGA_2_.BLIF IPL_030DFFSH_1_reg.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF IPL_030DFFSH_2_reg.BLIF SM_AMIGA_D_0_.BLIF SM_AMIGA_D_1_.BLIF ipl_c_0__n.BLIF \ + SM_AMIGA_D_2_.BLIF clk_exp.BLIF ipl_c_1__n.BLIF G_128.BLIF G_130.BLIF ipl_c_2__n.BLIF G_132.BLIF dsack_c_1__n.BLIF DTACK_c.BLIF \ + RST_c.BLIF RESETDFFreg.BLIF RW_c.BLIF cpu_est_0_0_.BLIF fc_c_0__n.BLIF fc_c_1__n.BLIF CLK_OUT_PRE_0.BLIF N_123.BLIF N_148_i.BLIF \ + clk_rising_clk_amiga_1_n.BLIF N_147_i.BLIF G_122.BLIF VMA_INT_1_sqmuxa_0.BLIF G_123.BLIF N_170_i.BLIF G_124.BLIF N_171_i.BLIF DSACK_INT_1_sqmuxa.BLIF \ + N_161_i.BLIF N_120.BLIF N_164_i.BLIF N_144_1.BLIF N_165_i.BLIF N_251.BLIF N_168_i.BLIF N_254.BLIF N_166_i.BLIF \ + N_186.BLIF N_167_i.BLIF un1_clk_000_cnt_0__n.BLIF N_169_i.BLIF N_184.BLIF clk_cpu_est_11_0_1__n.BLIF un1_clk_000_cnt_1__n.BLIF N_173_i.BLIF un1_clk_000_cnt_2__n.BLIF \ + N_172_i.BLIF state_machine_un69_clk_000_d_n.BLIF N_174_i.BLIF state_machine_un78_clk_000_d_n.BLIF clk_cpu_est_11_0_3__n.BLIF N_149.BLIF N_121_i.BLIF N_119.BLIF N_126_0.BLIF \ + N_135.BLIF N_123_0.BLIF state_machine_un67_clk_000_d_n.BLIF N_122_0.BLIF state_machine_un80_clk_000_d_n.BLIF N_142_i.BLIF N_132.BLIF N_143_i.BLIF N_131.BLIF \ + sm_amiga_ns_0_5__n.BLIF state_machine_un25_clk_000_d_n.BLIF N_141_i.BLIF N_150.BLIF N_140_i.BLIF N_151.BLIF sm_amiga_ns_0_4__n.BLIF N_144.BLIF N_146.BLIF \ + LDS_000_INT_1_sqmuxa_i.BLIF N_143.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF N_145.BLIF UDS_000_INT_0_sqmuxa_i.BLIF state_machine_lds_000_int_8_n.BLIF un1_UDS_000_INT_0_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa_2.BLIF N_124_0.BLIF \ + state_machine_uds_000_int_8_n.BLIF N_136_i.BLIF LDS_000_INT_0_sqmuxa.BLIF state_machine_un42_clk_030_n.BLIF RISING_CLK_AMIGA_i.BLIF un1_bg_030.BLIF state_machine_un4_bgack_000_0_n.BLIF N_133.BLIF BG_030_c_i.BLIF \ + state_machine_as_030_000_sync_3_n.BLIF state_machine_un1_clk_030_0_n.BLIF N_125.BLIF state_machine_un17_clk_030_0_n.BLIF N_137.BLIF un1_as_030_2_0.BLIF N_138.BLIF N_137_i.BLIF DSACK_INT_1_sqmuxa_1.BLIF \ + N_138_i.BLIF un1_as_030_2.BLIF state_machine_un17_clk_030_n.BLIF N_120_i.BLIF state_machine_un1_clk_030_n.BLIF N_125_0.BLIF state_machine_un4_bgack_000_n.BLIF state_machine_as_030_000_sync_3_2_n.BLIF VPA_SYNC_1_sqmuxa.BLIF \ + N_133_i.BLIF VPA_SYNC_1_sqmuxa_1.BLIF un1_bg_030_0.BLIF N_122.BLIF a_c_i_0__n.BLIF AS_000_INT_1_sqmuxa.BLIF state_machine_uds_000_int_8_0_n.BLIF N_136.BLIF state_machine_lds_000_int_8_0_n.BLIF \ + N_124.BLIF N_151_i.BLIF N_130.BLIF state_machine_un15_clk_000_d_n.BLIF N_146_i.BLIF un1_UDS_000_INT_0_sqmuxa.BLIF N_145_i.BLIF UDS_000_INT_0_sqmuxa.BLIF sm_amiga_ns_0_7__n.BLIF \ + LDS_000_INT_1_sqmuxa.BLIF N_144_i.BLIF N_139.BLIF N_150_i.BLIF N_140.BLIF N_126.BLIF size_c_i_1__n.BLIF N_141.BLIF state_machine_un25_clk_000_d_i_n.BLIF \ + N_121.BLIF state_machine_un80_clk_000_d_i_n.BLIF N_142.BLIF state_machine_un67_clk_000_d_i_n.BLIF VPA_SYNC_1_sqmuxa_1_0.BLIF state_machine_un78_clk_000_d_0_n.BLIF DTACK_SYNC_1_sqmuxa.BLIF clk_rising_clk_amiga_1_i_n.BLIF DTACK_SYNC_1_sqmuxa_1.BLIF \ + N_135_i.BLIF N_149_2.BLIF N_104_i.BLIF clk_un3_clk_000_dd_n.BLIF N_149_i.BLIF N_164.BLIF N_119_0.BLIF N_171.BLIF clk_000_cnt_i_1__n.BLIF \ + N_170.BLIF clk_000_cnt_i_0__n.BLIF clk_cpu_est_11_3__n.BLIF clk_000_cnt_i_3__n.BLIF N_174.BLIF clk_000_cnt_i_2__n.BLIF N_172.BLIF state_machine_un69_clk_000_d_0_n.BLIF N_173.BLIF \ + state_machine_un69_clk_000_d_0_1_n.BLIF clk_cpu_est_11_1__n.BLIF state_machine_un69_clk_000_d_0_2_n.BLIF N_169.BLIF state_machine_un25_clk_000_d_i_1_n.BLIF N_167.BLIF N_116_i_1.BLIF N_166.BLIF un1_bg_030_0_1.BLIF \ + N_168.BLIF un1_bg_030_0_2.BLIF N_165.BLIF state_machine_as_030_000_sync_3_2_1_n.BLIF VMA_INT_1_sqmuxa.BLIF un1_UDS_000_INT_0_sqmuxa_i_1.BLIF N_147.BLIF clk_cpu_est_11_0_1_3__n.BLIF N_148.BLIF \ + clk_cpu_est_11_0_1_1__n.BLIF RW_i.BLIF clk_cpu_est_11_0_2_1__n.BLIF clk_exp_i.BLIF N_251_1.BLIF CLK_000_DD_i.BLIF N_251_2.BLIF CLK_000_D_i.BLIF N_251_3.BLIF \ + AS_000_INT_i.BLIF N_251_4.BLIF cpu_est_i_0__n.BLIF N_251_5.BLIF cpu_est_i_2__n.BLIF N_251_6.BLIF cpu_est_i_3__n.BLIF N_254_1.BLIF cpu_est_i_1__n.BLIF \ + N_254_2.BLIF N_149_2_i.BLIF DSACK_INT_1_sqmuxa_1_0.BLIF VPA_D_i.BLIF DSACK_INT_1_sqmuxa_2.BLIF DTACK_i.BLIF DSACK_INT_1_sqmuxa_3.BLIF VPA_SYNC_i.BLIF N_149_1.BLIF \ + DTACK_SYNC_i.BLIF N_149_2_0.BLIF AS_030_i.BLIF N_132_1.BLIF DTACK_SYNC_1_sqmuxa_i.BLIF N_131_1.BLIF DS_030_i.BLIF state_machine_un42_clk_030_1_n.BLIF sm_amiga_i_4__n.BLIF \ + state_machine_un42_clk_030_2_n.BLIF sm_amiga_i_6__n.BLIF state_machine_un42_clk_030_3_n.BLIF sm_amiga_i_5__n.BLIF state_machine_un42_clk_030_4_n.BLIF N_139_i.BLIF state_machine_un42_clk_030_5_n.BLIF state_machine_un15_clk_000_d_i_n.BLIF N_142_1.BLIF \ + N_130_i.BLIF N_130_1.BLIF sm_amiga_i_0__n.BLIF N_130_2.BLIF sm_amiga_i_7__n.BLIF UDS_000_INT_0_sqmuxa_1.BLIF VPA_SYNC_1_sqmuxa_i.BLIF UDS_000_INT_0_sqmuxa_2.BLIF dsack_i_1__n.BLIF \ + DTACK_SYNC_1_sqmuxa_1_0.BLIF DSACK_INT_1_sqmuxa_i.BLIF VPA_SYNC_1_sqmuxa_1_1.BLIF a_i_18__n.BLIF VPA_SYNC_1_sqmuxa_2.BLIF a_i_16__n.BLIF VPA_SYNC_1_sqmuxa_3.BLIF a_i_19__n.BLIF N_171_1.BLIF \ + CLK_030_i.BLIF N_170_1.BLIF state_machine_un42_clk_030_i_n.BLIF N_174_1.BLIF AS_030_000_SYNC_i.BLIF clk_exp_1.BLIF N_131_i.BLIF cpu_est_0_1__un3_n.BLIF N_132_i.BLIF \ + cpu_est_0_1__un1_n.BLIF sm_amiga_i_2__n.BLIF cpu_est_0_1__un0_n.BLIF sm_amiga_i_1__n.BLIF vma_int_0_un3_n.BLIF sm_amiga_i_3__n.BLIF vma_int_0_un1_n.BLIF CLK_000_i.BLIF vma_int_0_un0_n.BLIF \ + a_i_30__n.BLIF cpu_est_0_3__un3_n.BLIF a_i_31__n.BLIF cpu_est_0_3__un1_n.BLIF a_i_28__n.BLIF cpu_est_0_3__un0_n.BLIF a_i_29__n.BLIF cpu_est_0_2__un3_n.BLIF a_i_26__n.BLIF \ + cpu_est_0_2__un1_n.BLIF a_i_27__n.BLIF cpu_est_0_2__un0_n.BLIF a_i_24__n.BLIF dtack_sync_0_un3_n.BLIF a_i_25__n.BLIF dtack_sync_0_un1_n.BLIF dtack_sync_0_un0_n.BLIF sm_amiga_d_0_0__un3_n.BLIF \ + RST_i.BLIF sm_amiga_d_0_0__un1_n.BLIF sm_amiga_d_0_0__un0_n.BLIF FPU_CS_INT_i.BLIF bgack_030_int_0_un3_n.BLIF CPU_SPACE_i.BLIF bgack_030_int_0_un1_n.BLIF BGACK_030_INT_i.BLIF bgack_030_int_0_un0_n.BLIF \ + AS_030_c.BLIF bg_000_0_un3_n.BLIF bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF DS_030_c.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF fpu_cs_int_0_un3_n.BLIF \ + size_c_0__n.BLIF fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF size_c_1__n.BLIF dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un1_n.BLIF a_c_0__n.BLIF dsack_int_0_1__un0_n.BLIF vpa_sync_0_un3_n.BLIF \ + vpa_sync_0_un1_n.BLIF vpa_sync_0_un0_n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF ipl_030_0_1__un3_n.BLIF \ + ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF sm_amiga_d_0_2__un3_n.BLIF a_c_16__n.BLIF sm_amiga_d_0_2__un1_n.BLIF sm_amiga_d_0_2__un0_n.BLIF \ + a_c_17__n.BLIF sm_amiga_d_0_1__un3_n.BLIF sm_amiga_d_0_1__un1_n.BLIF a_c_18__n.BLIF sm_amiga_d_0_1__un0_n.BLIF lds_000_int_0_un3_n.BLIF a_c_19__n.BLIF lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF \ + a_c_20__n.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF a_c_21__n.BLIF uds_000_int_0_un0_n.BLIF DSACK_1_.PIN DTACK.PIN +.outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC \ + AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_4_.D \ + SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR \ + SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ + SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR CLK_000_CNT_0_.D CLK_000_CNT_0_.C \ + CLK_000_CNT_1_.D CLK_000_CNT_1_.C CLK_000_CNT_2_.D CLK_000_CNT_2_.C CLK_000_CNT_3_.D CLK_000_CNT_3_.C SM_AMIGA_D_0_.D SM_AMIGA_D_0_.C SM_AMIGA_D_1_.D SM_AMIGA_D_1_.C SM_AMIGA_D_2_.D \ + SM_AMIGA_D_2_.C IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP inst_AS_030_000_SYNC.D \ + inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_AS_000_INTreg.D inst_AS_000_INTreg.C inst_AS_000_INTreg.AP \ + inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C DSACK_INT_1_.D DSACK_INT_1_.C DSACK_INT_1_.AP \ + inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C inst_LDS_000_INTreg.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C \ + inst_FPU_CS_INTreg.AP inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP CLK_CNT_0_.D CLK_CNT_0_.C inst_RISING_CLK_AMIGA.D inst_RISING_CLK_AMIGA.C inst_VPA_D.D inst_VPA_D.C inst_CLK_000_D.D \ + inst_CLK_000_D.C RESETDFFreg.D RESETDFFreg.C inst_CLK_000_DD.D inst_CLK_000_DD.C inst_CLK_OUT_INTreg.D inst_CLK_OUT_INTreg.C cpu_est_0_0_.X1 cpu_est_0_0_.X2 G_122.X1 G_122.X2 \ + G_124.X1 G_124.X2 G_123.X1 G_123.X2 G_132.X1 G_132.X2 G_130.X1 G_130.X2 G_128.X1 G_128.X2 CLK_OUT_PRE_0.X1 \ + CLK_OUT_PRE_0.X2 DSACK_1_ DTACK DSACK_0_ a_15__n a_c_22__n a_14__n a_c_23__n a_13__n a_c_24__n a_12__n a_c_25__n a_11__n a_c_26__n \ + a_10__n a_c_27__n gnd_n_n a_9__n a_c_28__n a_8__n a_c_29__n a_7__n a_c_30__n a_6__n a_c_31__n \ + a_5__n CPU_SPACE_c vcc_n_n a_4__n BG_030_c a_3__n a_2__n a_1__n BGACK_000_c CLK_030_c CLK_000_c \ + CLK_OSZI_c un1_clk_000_cnt_3__n state_machine_un14_as_000_int_n ipl_c_0__n clk_exp ipl_c_1__n ipl_c_2__n dsack_c_1__n DTACK_c RST_c RW_c \ + fc_c_0__n fc_c_1__n N_123 N_148_i clk_rising_clk_amiga_1_n N_147_i VMA_INT_1_sqmuxa_0 N_170_i N_171_i DSACK_INT_1_sqmuxa N_161_i \ + N_120 N_164_i N_144_1 N_165_i N_251 N_168_i N_254 N_166_i N_186 N_167_i un1_clk_000_cnt_0__n \ + N_169_i N_184 clk_cpu_est_11_0_1__n un1_clk_000_cnt_1__n N_173_i un1_clk_000_cnt_2__n N_172_i state_machine_un69_clk_000_d_n N_174_i state_machine_un78_clk_000_d_n clk_cpu_est_11_0_3__n \ + N_149 N_121_i N_119 N_126_0 N_135 N_123_0 state_machine_un67_clk_000_d_n N_122_0 state_machine_un80_clk_000_d_n N_142_i N_132 \ + N_143_i N_131 sm_amiga_ns_0_5__n state_machine_un25_clk_000_d_n N_141_i N_150 N_140_i N_151 sm_amiga_ns_0_4__n N_144 N_146 \ + LDS_000_INT_1_sqmuxa_i N_143 un1_UDS_000_INT_0_sqmuxa_2_0 N_145 UDS_000_INT_0_sqmuxa_i state_machine_lds_000_int_8_n un1_UDS_000_INT_0_sqmuxa_i un1_UDS_000_INT_0_sqmuxa_2 N_124_0 state_machine_uds_000_int_8_n N_136_i \ + LDS_000_INT_0_sqmuxa state_machine_un42_clk_030_n RISING_CLK_AMIGA_i un1_bg_030 state_machine_un4_bgack_000_0_n N_133 BG_030_c_i state_machine_as_030_000_sync_3_n state_machine_un1_clk_030_0_n N_125 state_machine_un17_clk_030_0_n \ + N_137 un1_as_030_2_0 N_138 N_137_i DSACK_INT_1_sqmuxa_1 N_138_i un1_as_030_2 state_machine_un17_clk_030_n N_120_i state_machine_un1_clk_030_n N_125_0 \ + state_machine_un4_bgack_000_n state_machine_as_030_000_sync_3_2_n VPA_SYNC_1_sqmuxa N_133_i VPA_SYNC_1_sqmuxa_1 un1_bg_030_0 N_122 a_c_i_0__n AS_000_INT_1_sqmuxa state_machine_uds_000_int_8_0_n N_136 \ + state_machine_lds_000_int_8_0_n N_124 N_151_i N_130 state_machine_un15_clk_000_d_n N_146_i un1_UDS_000_INT_0_sqmuxa N_145_i UDS_000_INT_0_sqmuxa sm_amiga_ns_0_7__n LDS_000_INT_1_sqmuxa \ + N_144_i N_139 N_150_i N_140 N_126 size_c_i_1__n N_141 state_machine_un25_clk_000_d_i_n N_121 state_machine_un80_clk_000_d_i_n N_142 \ + state_machine_un67_clk_000_d_i_n VPA_SYNC_1_sqmuxa_1_0 state_machine_un78_clk_000_d_0_n DTACK_SYNC_1_sqmuxa clk_rising_clk_amiga_1_i_n DTACK_SYNC_1_sqmuxa_1 N_135_i N_149_2 N_104_i clk_un3_clk_000_dd_n N_149_i \ + N_164 N_119_0 N_171 clk_000_cnt_i_1__n N_170 clk_000_cnt_i_0__n clk_cpu_est_11_3__n clk_000_cnt_i_3__n N_174 clk_000_cnt_i_2__n N_172 \ + state_machine_un69_clk_000_d_0_n N_173 state_machine_un69_clk_000_d_0_1_n clk_cpu_est_11_1__n state_machine_un69_clk_000_d_0_2_n N_169 state_machine_un25_clk_000_d_i_1_n N_167 N_116_i_1 N_166 un1_bg_030_0_1 \ + N_168 un1_bg_030_0_2 N_165 state_machine_as_030_000_sync_3_2_1_n VMA_INT_1_sqmuxa un1_UDS_000_INT_0_sqmuxa_i_1 N_147 clk_cpu_est_11_0_1_3__n N_148 clk_cpu_est_11_0_1_1__n RW_i \ + clk_cpu_est_11_0_2_1__n clk_exp_i N_251_1 CLK_000_DD_i N_251_2 CLK_000_D_i N_251_3 AS_000_INT_i N_251_4 cpu_est_i_0__n N_251_5 \ + cpu_est_i_2__n N_251_6 cpu_est_i_3__n N_254_1 cpu_est_i_1__n N_254_2 N_149_2_i DSACK_INT_1_sqmuxa_1_0 VPA_D_i DSACK_INT_1_sqmuxa_2 DTACK_i \ + DSACK_INT_1_sqmuxa_3 VPA_SYNC_i N_149_1 DTACK_SYNC_i N_149_2_0 AS_030_i N_132_1 DTACK_SYNC_1_sqmuxa_i N_131_1 DS_030_i state_machine_un42_clk_030_1_n \ + sm_amiga_i_4__n state_machine_un42_clk_030_2_n sm_amiga_i_6__n state_machine_un42_clk_030_3_n sm_amiga_i_5__n state_machine_un42_clk_030_4_n N_139_i state_machine_un42_clk_030_5_n state_machine_un15_clk_000_d_i_n N_142_1 N_130_i \ + N_130_1 sm_amiga_i_0__n N_130_2 sm_amiga_i_7__n UDS_000_INT_0_sqmuxa_1 VPA_SYNC_1_sqmuxa_i UDS_000_INT_0_sqmuxa_2 dsack_i_1__n DTACK_SYNC_1_sqmuxa_1_0 DSACK_INT_1_sqmuxa_i VPA_SYNC_1_sqmuxa_1_1 \ + a_i_18__n VPA_SYNC_1_sqmuxa_2 a_i_16__n VPA_SYNC_1_sqmuxa_3 a_i_19__n N_171_1 CLK_030_i N_170_1 state_machine_un42_clk_030_i_n N_174_1 AS_030_000_SYNC_i \ + clk_exp_1 N_131_i cpu_est_0_1__un3_n N_132_i cpu_est_0_1__un1_n sm_amiga_i_2__n cpu_est_0_1__un0_n sm_amiga_i_1__n vma_int_0_un3_n sm_amiga_i_3__n vma_int_0_un1_n \ + CLK_000_i vma_int_0_un0_n a_i_30__n cpu_est_0_3__un3_n a_i_31__n cpu_est_0_3__un1_n a_i_28__n cpu_est_0_3__un0_n a_i_29__n cpu_est_0_2__un3_n a_i_26__n \ + cpu_est_0_2__un1_n a_i_27__n cpu_est_0_2__un0_n a_i_24__n dtack_sync_0_un3_n a_i_25__n dtack_sync_0_un1_n dtack_sync_0_un0_n sm_amiga_d_0_0__un3_n RST_i sm_amiga_d_0_0__un1_n \ + sm_amiga_d_0_0__un0_n FPU_CS_INT_i bgack_030_int_0_un3_n CPU_SPACE_i bgack_030_int_0_un1_n BGACK_030_INT_i bgack_030_int_0_un0_n AS_030_c bg_000_0_un3_n bg_000_0_un1_n bg_000_0_un0_n \ + DS_030_c as_030_000_sync_0_un3_n as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n fpu_cs_int_0_un3_n size_c_0__n fpu_cs_int_0_un1_n fpu_cs_int_0_un0_n size_c_1__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n \ + a_c_0__n dsack_int_0_1__un0_n vpa_sync_0_un3_n vpa_sync_0_un1_n vpa_sync_0_un0_n as_000_int_0_un3_n as_000_int_0_un1_n as_000_int_0_un0_n ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ + ipl_030_0_1__un3_n ipl_030_0_1__un1_n ipl_030_0_1__un0_n ipl_030_0_0__un3_n ipl_030_0_0__un1_n ipl_030_0_0__un0_n sm_amiga_d_0_2__un3_n a_c_16__n sm_amiga_d_0_2__un1_n sm_amiga_d_0_2__un0_n a_c_17__n \ + sm_amiga_d_0_1__un3_n sm_amiga_d_0_1__un1_n a_c_18__n sm_amiga_d_0_1__un0_n lds_000_int_0_un3_n a_c_19__n lds_000_int_0_un1_n lds_000_int_0_un0_n a_c_20__n uds_000_int_0_un3_n uds_000_int_0_un1_n \ + a_c_21__n uds_000_int_0_un0_n DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE \ + AVEC_EXP.OE CIIN.OE +.names DSACK_INT_1_.BLIF DSACK_1_ +1 1 +.names DSACK_1_.PIN dsack_c_1__n +1 1 +.names CPU_SPACE_i.BLIF DSACK_1_.OE +1 1 +.names inst_DTACK_DMA.BLIF DTACK +1 1 +.names DTACK.PIN DTACK_c +1 1 +.names BGACK_030_INT_i.BLIF DTACK.OE +1 1 +.names inst_AS_000_INTreg.BLIF AS_000 +1 1 +.names inst_BGACK_030_INTreg.BLIF AS_000.OE +1 1 +.names inst_UDS_000_INTreg.BLIF UDS_000 +1 1 +.names inst_BGACK_030_INTreg.BLIF UDS_000.OE +1 1 +.names inst_LDS_000_INTreg.BLIF LDS_000 +1 1 +.names inst_BGACK_030_INTreg.BLIF LDS_000.OE +1 1 +.names gnd_n_n.BLIF BERR +1 1 +.names FPU_CS_INT_i.BLIF BERR.OE +1 1 +.names vcc_n_n.BLIF DSACK_0_ +1 1 +.names CPU_SPACE_i.BLIF DSACK_0_.OE +1 1 +.names gnd_n_n.BLIF AVEC_EXP +1 1 +.names FPU_CS_INT_i.BLIF AVEC_EXP.OE +1 1 +.names N_254.BLIF CIIN +1 1 +.names N_251.BLIF CIIN.OE +1 1 +.names clk_cpu_est_11_0_3__n.BLIF clk_cpu_est_11_3__n +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_0_.X1 +1 1 +.names N_121_i.BLIF N_121 +0 1 +.names N_126_0.BLIF N_126 +0 1 +.names cpu_est_0_.BLIF cpu_est_0_0_.X2 +1 1 +.names N_123_0.BLIF N_123 +0 1 +.names N_122_0.BLIF N_122 +0 1 +.names N_142.BLIF N_142_i +0 1 +.names N_130.BLIF G_122.X1 +1 1 +.names N_143.BLIF N_143_i +0 1 +.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D +0 1 +.names SM_AMIGA_D_0_.BLIF G_122.X2 +1 1 +.names N_141.BLIF N_141_i +0 1 +.names N_140.BLIF N_140_i +0 1 +.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D +0 1 +.names N_132.BLIF G_124.X1 +1 1 +.names LDS_000_INT_1_sqmuxa.BLIF LDS_000_INT_1_sqmuxa_i +0 1 +.names N_148.BLIF N_148_i +0 1 +.names SM_AMIGA_D_2_.BLIF G_124.X2 +1 1 +.names N_147.BLIF N_147_i +0 1 +.names VMA_INT_1_sqmuxa_0.BLIF VMA_INT_1_sqmuxa +0 1 +.names N_170.BLIF N_170_i +0 1 +.names N_131.BLIF G_123.X1 +1 1 +.names N_171.BLIF N_171_i +0 1 +.names N_164_i.BLIF N_164 +0 1 +.names SM_AMIGA_D_1_.BLIF G_123.X2 +1 1 +.names N_165_i.BLIF N_165 +0 1 +.names N_168.BLIF N_168_i +0 1 +.names N_166.BLIF N_166_i +0 1 +.names N_186.BLIF G_132.X1 +1 1 +.names N_167.BLIF N_167_i +0 1 +.names N_169.BLIF N_169_i +0 1 +.names un1_clk_000_cnt_0__n.BLIF G_132.X2 +1 1 +.names clk_cpu_est_11_0_1__n.BLIF clk_cpu_est_11_1__n +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_1__un3_n +0 1 +.names clk_cpu_est_11_1__n.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_1__un1_n +11 1 +.names N_184.BLIF G_130.X1 +1 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 +.names un1_clk_000_cnt_1__n.BLIF G_130.X2 +1 1 +.names VMA_INT_1_sqmuxa.BLIF vma_int_0_un3_n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_1_sqmuxa.BLIF vma_int_0_un1_n +11 1 +.names inst_CLK_000_D.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names un1_clk_000_cnt_2__n.BLIF G_128.X1 +1 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D +1- 1 +-1 1 +.names RW_c.BLIF RW_i +0 1 +.names un1_clk_000_cnt_3__n.BLIF G_128.X2 +1 1 +.names clk_exp.BLIF clk_exp_i +0 1 +.names N_164_i.BLIF cpu_est_0_.BLIF N_168 +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names CLK_CNT_0_.BLIF CLK_OUT_PRE_0.X1 +1 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n +0 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_167 +11 1 +.names inst_CLK_OUT_PRE.BLIF CLK_OUT_PRE_0.X2 +1 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +.names N_164.BLIF cpu_est_i_0__n.BLIF N_166 +11 1 +.names N_147_i.BLIF N_148_i.BLIF VMA_INT_1_sqmuxa_0 +11 1 +.names RST_i.BLIF SM_AMIGA_4_.AR +1 1 +.names cpu_est_0_0_.BLIF cpu_est_0_.D +1 1 +.names inst_AS_000_INTreg.BLIF AS_000_INT_i +0 1 +.names G_128.BLIF CLK_000_CNT_1_.D +1 1 +.names AS_000_INT_i.BLIF inst_CLK_000_D.BLIF N_148 +11 1 +.names G_130.BLIF CLK_000_CNT_2_.D +1 1 +.names inst_CLK_000_D.BLIF CLK_000_D_i +0 1 +.names G_132.BLIF CLK_000_CNT_3_.D +1 1 +.names CLK_000_D_i.BLIF inst_VPA_SYNC.BLIF N_147 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +.names CLK_OUT_PRE_0.BLIF inst_CLK_OUT_PRE.D +1 1 +.names inst_CLK_000_DD.BLIF CLK_000_DD_i +0 1 +.names inst_CLK_000_D.BLIF CLK_000_DD_i.BLIF clk_un3_clk_000_dd_n +11 1 +.names RST_i.BLIF SM_AMIGA_3_.AR +1 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_3__un3_n +0 1 +.names clk_cpu_est_11_3__n.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_3__un1_n +11 1 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_2__un3_n +0 1 +.names N_161_i.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_2__un1_n +11 1 +.names RST_i.BLIF SM_AMIGA_2_.AR +1 1 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names inst_DTACK_SYNC.BLIF DTACK_SYNC_i +0 1 +.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF N_121_i +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +.names inst_VPA_SYNC.BLIF VPA_SYNC_i +0 1 +.names DTACK_c.BLIF DTACK_i +0 1 +.names RST_i.BLIF SM_AMIGA_1_.AR +1 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_149_2 +11 1 +.names N_149_2.BLIF N_149_2_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C +1 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_165_i +11 1 +.names RST_i.BLIF SM_AMIGA_0_.AR +1 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_164_i +11 1 +.names N_170_i.BLIF N_171_i.BLIF N_161_i +11 1 +.names N_165_i.BLIF cpu_est_i_2__n.BLIF N_173 +11 1 +.names N_165.BLIF cpu_est_3_reg.BLIF N_172 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_0_.C +1 1 +.names N_149_2.BLIF cpu_est_3_reg.BLIF N_169 +11 1 +.names inst_CLK_000_D.BLIF N_139_i.BLIF SM_AMIGA_5_.D +11 1 +.names N_140_i.BLIF N_141_i.BLIF sm_amiga_ns_0_4__n +11 1 +.names N_142_i.BLIF N_143_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +.names inst_CLK_000_D.BLIF N_120_i.BLIF N_122_0 +11 1 +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D.BLIF N_123_0 +11 1 +.names sm_amiga_i_3__n.BLIF sm_amiga_i_4__n.BLIF N_126_0 +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names DS_030_c.BLIF DS_030_i +0 1 +.names inst_CLK_000_D.BLIF SM_AMIGA_3_.BLIF VPA_SYNC_1_sqmuxa_1_0 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_3_reg.C +1 1 +.names DTACK_SYNC_1_sqmuxa_1.BLIF dtack_sync_0_un3_n +0 1 +.names inst_DTACK_SYNC.BLIF DTACK_SYNC_1_sqmuxa_1.BLIF dtack_sync_0_un1_n +11 1 +.names DTACK_SYNC_1_sqmuxa_i.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un0_n +11 1 +.names dtack_sync_0_un1_n.BLIF dtack_sync_0_un0_n.BLIF inst_DTACK_SYNC.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C +1 1 +.names AS_030.BLIF AS_030_c +1 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names DTACK_SYNC_1_sqmuxa.BLIF DTACK_SYNC_1_sqmuxa_i +0 1 +.names RST_i.BLIF SM_AMIGA_7_.AP +1 1 +.names DS_030.BLIF DS_030_c +1 1 +.names AS_030_i.BLIF DTACK_SYNC_1_sqmuxa_i.BLIF DTACK_SYNC_1_sqmuxa_1 +11 1 +.names inst_CLK_000_D.BLIF N_136_i.BLIF SM_AMIGA_7_.D +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names SIZE_0_.BLIF size_c_0__n +1 1 +.names inst_AS_000_INTreg.BLIF SM_AMIGA_0_.BLIF N_124_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +.names SIZE_1_.BLIF size_c_1__n +1 1 +.names N_130.BLIF N_130_i +0 1 +.names A_0_.BLIF a_c_0__n +1 1 +.names RST_c.BLIF sm_amiga_d_0_0__un3_n +0 1 +.names RST_i.BLIF SM_AMIGA_6_.AR +1 1 +.names A_16_.BLIF a_c_16__n +1 1 +.names N_130_i.BLIF RST_c.BLIF sm_amiga_d_0_0__un1_n +11 1 +.names A_17_.BLIF a_c_17__n +1 1 +.names SM_AMIGA_D_0_.BLIF sm_amiga_d_0_0__un3_n.BLIF sm_amiga_d_0_0__un0_n +11 1 +.names A_18_.BLIF a_c_18__n +1 1 +.names sm_amiga_d_0_0__un1_n.BLIF sm_amiga_d_0_0__un0_n.BLIF SM_AMIGA_D_0_.D +1- 1 +-1 1 +.names A_19_.BLIF a_c_19__n +1 1 +.names DS_030_i.BLIF RW_c.BLIF state_machine_un15_clk_000_d_n +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +.names A_20_.BLIF a_c_20__n +1 1 +.names N_139.BLIF N_139_i +0 1 +.names A_21_.BLIF a_c_21__n +1 1 +.names state_machine_un15_clk_000_d_n.BLIF state_machine_un15_clk_000_d_i_n +0 1 +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +.names A_22_.BLIF a_c_22__n +1 1 +.names N_139_i.BLIF state_machine_un15_clk_000_d_i_n.BLIF LDS_000_INT_1_sqmuxa +11 1 +.names A_23_.BLIF a_c_23__n +1 1 +.names LDS_000_INT_1_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa.BLIF un1_UDS_000_INT_0_sqmuxa_2_0 +11 1 +.names A_24_.BLIF a_c_24__n +1 1 +.names N_120.BLIF sm_amiga_i_5__n.BLIF N_139 +11 1 +.names A_25_.BLIF a_c_25__n +1 1 +.names inst_CLK_000_D.BLIF N_126.BLIF N_140 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_CNT_0_.C +1 1 +.names A_26_.BLIF a_c_26__n +1 1 +.names N_121_i.BLIF SM_AMIGA_3_.BLIF N_141 +11 1 +.names A_27_.BLIF a_c_27__n +1 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names A_28_.BLIF a_c_28__n +1 1 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_151 +11 1 +.names A_29_.BLIF a_c_29__n +1 1 +.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_CNT_1_.C +1 1 +.names A_30_.BLIF a_c_30__n +1 1 +.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names A_31_.BLIF a_c_31__n +1 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n +11 1 +.names CPU_SPACE.BLIF CPU_SPACE_c +1 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.D +1- 1 +-1 1 +.names state_machine_un1_clk_030_n.BLIF bg_000_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_CNT_2_.C +1 1 +.names BG_030.BLIF BG_030_c +1 1 +.names un1_bg_030.BLIF state_machine_un1_clk_030_n.BLIF bg_000_0_un1_n +11 1 +.names BG_000DFFSHreg.BLIF BG_000 +1 1 +.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D +1- 1 +-1 1 +.names BGACK_000.BLIF BGACK_000_c +1 1 +.names state_machine_un17_clk_030_n.BLIF as_030_000_sync_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_CNT_3_.C +1 1 +.names CLK_030.BLIF CLK_030_c +1 1 +.names state_machine_as_030_000_sync_3_n.BLIF state_machine_un17_clk_030_n.BLIF as_030_000_sync_0_un1_n +11 1 +.names CLK_000.BLIF CLK_000_c +1 1 +.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +11 1 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF inst_AS_030_000_SYNC.D +1- 1 +-1 1 +.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +.names state_machine_un17_clk_030_n.BLIF fpu_cs_int_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_0_.C +1 1 +.names clk_exp_i.BLIF CLK_EXP +1 1 +.names un1_as_030_2.BLIF state_machine_un17_clk_030_n.BLIF fpu_cs_int_0_un1_n +11 1 +.names inst_FPU_CS_INTreg.BLIF FPU_CS +1 1 +.names inst_FPU_CS_INTreg.BLIF fpu_cs_int_0_un3_n.BLIF fpu_cs_int_0_un0_n +11 1 +.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ +1 1 +.names fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF inst_FPU_CS_INTreg.D +1- 1 +-1 1 +.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ +1 1 +.names DSACK_INT_1_sqmuxa.BLIF DSACK_INT_1_sqmuxa_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_1_.C +1 1 +.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ +1 1 +.names DSACK_INT_1_sqmuxa_1.BLIF dsack_int_0_1__un3_n +0 1 +.names IPL_0_.BLIF ipl_c_0__n +1 1 +.names DSACK_INT_1_.BLIF DSACK_INT_1_sqmuxa_1.BLIF dsack_int_0_1__un1_n +11 1 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +.names DSACK_INT_1_sqmuxa_i.BLIF dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un0_n +11 1 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +.names dsack_int_0_1__un1_n.BLIF dsack_int_0_1__un0_n.BLIF DSACK_INT_1_.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_2_.C +1 1 +.names VPA_SYNC_1_sqmuxa_1.BLIF vpa_sync_0_un3_n +0 1 +.names inst_VPA_SYNC.BLIF VPA_SYNC_1_sqmuxa_1.BLIF vpa_sync_0_un1_n +11 1 +.names VPA_SYNC_1_sqmuxa_i.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n +11 1 +.names vcc_n_n.BLIF AVEC +1 1 +.names vpa_sync_0_un1_n.BLIF vpa_sync_0_un0_n.BLIF inst_VPA_SYNC.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C +1 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 +.names cpu_est_3_reg.BLIF E +1 1 +.names inst_AS_000_INTreg.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names RST_i.BLIF IPL_030DFFSH_0_reg.AP +1 1 +.names VPA.BLIF inst_VPA_D.D +1 1 +.names N_122.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names inst_VMA_INTreg.BLIF VMA +1 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INTreg.D +1- 1 +-1 1 +.names RST.BLIF RST_c +1 1 +.names AS_030_i.BLIF N_122.BLIF AS_000_INT_1_sqmuxa +11 1 +.names RESETDFFreg.BLIF RESET +1 1 +.names dsack_c_1__n.BLIF dsack_i_1__n +0 1 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C +1 1 +.names RW.BLIF RW_c +1 1 +.names AS_000_INT_i.BLIF dsack_i_1__n.BLIF state_machine_un14_as_000_int_n +11 1 +.names FC_0_.BLIF fc_c_0__n +1 1 +.names VPA_SYNC_1_sqmuxa.BLIF VPA_SYNC_1_sqmuxa_i +0 1 +.names RST_i.BLIF IPL_030DFFSH_1_reg.AP +1 1 +.names FC_1_.BLIF fc_c_1__n +1 1 +.names AS_030_i.BLIF VPA_SYNC_1_sqmuxa_i.BLIF VPA_SYNC_1_sqmuxa_1 +11 1 +.names gnd_n_n.BLIF AMIGA_BUS_ENABLE +1 1 +.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n +0 1 +.names RW_i.BLIF AMIGA_BUS_DATA_DIR +1 1 +.names N_124.BLIF sm_amiga_i_7__n.BLIF N_136 +11 1 +.names vcc_n_n.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +.names N_125.BLIF sm_amiga_i_6__n.BLIF N_137 +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C +1 1 +.names sm_amiga_i_6__n.BLIF sm_amiga_i_7__n.BLIF N_133 +11 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_174_1 +11 1 +.names AS_030_i.BLIF DSACK_INT_1_sqmuxa_i.BLIF DSACK_INT_1_sqmuxa_1 +11 1 +.names RST_i.BLIF IPL_030DFFSH_2_reg.AP +1 1 +.names N_174_1.BLIF cpu_est_i_2__n.BLIF N_174 +11 1 +.names state_machine_un42_clk_030_n.BLIF state_machine_un42_clk_030_i_n +0 1 +.names G_124.BLIF G_122.BLIF clk_exp_1 +11 1 +.names AS_030_i.BLIF state_machine_un42_clk_030_n.BLIF un1_as_030_2_0 +11 1 +.names clk_exp_1.BLIF G_123.BLIF clk_exp +11 1 +.names AS_030_i.BLIF CLK_030_i.BLIF state_machine_un17_clk_030_0_n +11 1 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_6__n.BLIF N_130_2 +11 1 +.names CLK_030_c.BLIF CLK_030_i +0 1 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +.names N_130_1.BLIF N_130_2.BLIF N_130 +11 1 +.names BG_030_c_i.BLIF CLK_030_c.BLIF state_machine_un1_clk_030_0_n +11 1 +.names inst_CLK_000_D.BLIF DS_030_i.BLIF UDS_000_INT_0_sqmuxa_1 +11 1 +.names BGACK_000_c.BLIF RISING_CLK_AMIGA_i.BLIF state_machine_un4_bgack_000_0_n +11 1 +.names RST_i.BLIF inst_AS_030_000_SYNC.AP +1 1 +.names RW_i.BLIF SM_AMIGA_4_.BLIF UDS_000_INT_0_sqmuxa_2 +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names UDS_000_INT_0_sqmuxa_1.BLIF UDS_000_INT_0_sqmuxa_2.BLIF UDS_000_INT_0_sqmuxa +11 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names DTACK_i.BLIF inst_VPA_D.BLIF DTACK_SYNC_1_sqmuxa_1_0 +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names DTACK_SYNC_1_sqmuxa_1_0.BLIF VPA_SYNC_1_sqmuxa_1_0.BLIF DTACK_SYNC_1_sqmuxa +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_2__un3_n +0 1 +.names CLK_OSZI_c.BLIF inst_VPA_SYNC.C +1 1 +.names N_164_i.BLIF VPA_D_i.BLIF VPA_SYNC_1_sqmuxa_1_1 +11 1 +.names ipl_c_2__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_2__un1_n +11 1 +.names VPA_SYNC_1_sqmuxa_1_0.BLIF cpu_est_0_.BLIF VPA_SYNC_1_sqmuxa_2 +11 1 +.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names RST_i.BLIF inst_VPA_SYNC.AP +1 1 +.names VPA_SYNC_1_sqmuxa_1_1.BLIF VPA_SYNC_1_sqmuxa_2.BLIF VPA_SYNC_1_sqmuxa_3 +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D +1- 1 +-1 1 +.names VPA_SYNC_1_sqmuxa_3.BLIF cpu_est_2_.BLIF VPA_SYNC_1_sqmuxa +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_1__un3_n +0 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_171_1 +11 1 +.names ipl_c_1__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_1__un1_n +11 1 +.names N_171_1.BLIF cpu_est_i_2__n.BLIF N_171 +11 1 +.names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +1 1 +.names N_149_2_i.BLIF cpu_est_0_.BLIF N_170_1 +11 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D +1- 1 +-1 1 +.names N_170_1.BLIF cpu_est_i_3__n.BLIF N_170 +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_0__un3_n +0 1 +.names RST_i.BLIF BG_000DFFSHreg.AP +1 1 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_149_2_0 +11 1 +.names ipl_c_0__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_0__un1_n +11 1 +.names N_149_1.BLIF N_149_2_0.BLIF N_149 +11 1 +.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names N_150.BLIF sm_amiga_i_0__n.BLIF N_132_1 +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D +1- 1 +-1 1 +.names N_132_1.BLIF sm_amiga_i_3__n.BLIF N_132 +11 1 +.names CLK_000_D_i.BLIF SM_AMIGA_2_.BLIF N_143 +11 1 +.names CLK_OSZI_c.BLIF inst_AS_000_INTreg.C +1 1 +.names N_151.BLIF sm_amiga_i_0__n.BLIF N_131_1 +11 1 +.names state_machine_un25_clk_000_d_n.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF state_machine_lds_000_int_8_0_n +11 1 +.names N_131_1.BLIF sm_amiga_i_1__n.BLIF N_131 +11 1 +.names a_c_i_0__n.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF state_machine_uds_000_int_8_0_n +11 1 +.names RST_i.BLIF inst_AS_000_INTreg.AP +1 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF state_machine_un42_clk_030_1_n +11 1 +.names AS_030_i.BLIF un1_UDS_000_INT_0_sqmuxa_2.BLIF LDS_000_INT_0_sqmuxa +11 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF state_machine_un42_clk_030_2_n +11 1 +.names N_132.BLIF N_132_i +0 1 +.names fc_c_1__n.BLIF BGACK_000_c.BLIF state_machine_un42_clk_030_3_n +11 1 +.names RST_c.BLIF sm_amiga_d_0_2__un3_n +0 1 +.names state_machine_un42_clk_030_1_n.BLIF state_machine_un42_clk_030_2_n.BLIF state_machine_un42_clk_030_4_n +11 1 +.names N_132_i.BLIF RST_c.BLIF sm_amiga_d_0_2__un1_n +11 1 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +.names state_machine_un42_clk_030_3_n.BLIF fc_c_0__n.BLIF state_machine_un42_clk_030_5_n +11 1 +.names SM_AMIGA_D_2_.BLIF sm_amiga_d_0_2__un3_n.BLIF sm_amiga_d_0_2__un0_n +11 1 +.names state_machine_un42_clk_030_4_n.BLIF state_machine_un42_clk_030_5_n.BLIF state_machine_un42_clk_030_n +11 1 +.names sm_amiga_d_0_2__un1_n.BLIF sm_amiga_d_0_2__un0_n.BLIF SM_AMIGA_D_2_.D +1- 1 +-1 1 +.names RST_i.BLIF inst_VMA_INTreg.AP +1 1 +.names CLK_000_D_i.BLIF N_121.BLIF N_142_1 +11 1 +.names N_131.BLIF N_131_i +0 1 +.names N_142_1.BLIF SM_AMIGA_3_.BLIF N_142 +11 1 +.names RST_c.BLIF sm_amiga_d_0_1__un3_n +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_2__n.BLIF N_130_1 +11 1 +.names N_131_i.BLIF RST_c.BLIF sm_amiga_d_0_1__un1_n +11 1 +.names a_i_24__n.BLIF a_i_25__n.BLIF N_251_1 +11 1 +.names SM_AMIGA_D_1_.BLIF sm_amiga_d_0_1__un3_n.BLIF sm_amiga_d_0_1__un0_n +11 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +.names a_i_26__n.BLIF a_i_27__n.BLIF N_251_2 +11 1 +.names sm_amiga_d_0_1__un1_n.BLIF sm_amiga_d_0_1__un0_n.BLIF SM_AMIGA_D_1_.D +1- 1 +-1 1 +.names a_i_28__n.BLIF a_i_29__n.BLIF N_251_3 +11 1 +.names LDS_000_INT_0_sqmuxa.BLIF lds_000_int_0_un3_n +0 1 +.names RST_i.BLIF inst_BGACK_030_INTreg.AP +1 1 +.names a_i_30__n.BLIF a_i_31__n.BLIF N_251_4 +11 1 +.names inst_LDS_000_INTreg.BLIF LDS_000_INT_0_sqmuxa.BLIF lds_000_int_0_un1_n +11 1 +.names N_251_1.BLIF N_251_2.BLIF N_251_5 +11 1 +.names state_machine_lds_000_int_8_n.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names N_251_3.BLIF N_251_4.BLIF N_251_6 +11 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INTreg.D +1- 1 +-1 1 +.names N_251_5.BLIF N_251_6.BLIF N_251 +11 1 +.names LDS_000_INT_0_sqmuxa.BLIF uds_000_int_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C +1 1 +.names a_c_20__n.BLIF a_c_21__n.BLIF N_254_1 +11 1 +.names inst_UDS_000_INTreg.BLIF LDS_000_INT_0_sqmuxa.BLIF uds_000_int_0_un1_n +11 1 +.names a_c_22__n.BLIF a_c_23__n.BLIF N_254_2 +11 1 +.names state_machine_uds_000_int_8_n.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names N_254_1.BLIF N_254_2.BLIF N_254 +11 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INTreg.D +1- 1 +-1 1 +.names AS_030_000_SYNC_i.BLIF CLK_000_D_i.BLIF DSACK_INT_1_sqmuxa_1_0 +11 1 +.names CLK_000_D_i.BLIF SM_AMIGA_7_.BLIF N_125_0 +11 1 +.names CLK_OSZI_c.BLIF DSACK_INT_1_.C +1 1 +.names inst_CLK_OUT_PRE.BLIF SM_AMIGA_0_.BLIF DSACK_INT_1_sqmuxa_2 +11 1 +.names AS_030_000_SYNC_i.BLIF SM_AMIGA_6_.BLIF N_120_i +11 1 +.names DSACK_INT_1_sqmuxa_1_0.BLIF DSACK_INT_1_sqmuxa_2.BLIF DSACK_INT_1_sqmuxa_3 +11 1 +.names N_137_i.BLIF N_138_i.BLIF SM_AMIGA_6_.D +11 1 +.names RST_i.BLIF DSACK_INT_1_.AP +1 1 +.names DSACK_INT_1_sqmuxa_3.BLIF state_machine_un78_clk_000_d_n.BLIF DSACK_INT_1_sqmuxa +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names N_149_2.BLIF VPA_SYNC_i.BLIF N_149_1 +11 1 +.names AS_030_000_SYNC_i.BLIF inst_CLK_000_D.BLIF N_138 +11 1 +.names state_machine_un25_clk_000_d_i_1_n.BLIF size_c_i_1__n.BLIF state_machine_un25_clk_000_d_i_n +11 1 +.names DTACK_SYNC_i.BLIF state_machine_un69_clk_000_d_n.BLIF state_machine_un67_clk_000_d_n +11 1 +.names N_143_i.BLIF N_144_i.BLIF N_116_i_1 +11 1 +.names VPA_SYNC_i.BLIF state_machine_un69_clk_000_d_n.BLIF state_machine_un80_clk_000_d_n +11 1 +.names CLK_OSZI_c.BLIF inst_UDS_000_INTreg.C +1 1 +.names N_116_i_1.BLIF N_150_i.BLIF SM_AMIGA_1_.D +11 1 +.names CLK_000_CNT_2_.BLIF N_184.BLIF N_186 +11 1 +.names BG_030_c_i.BLIF CPU_SPACE_i.BLIF un1_bg_030_0_1 +11 1 +.names CLK_000_CNT_1_.BLIF un1_clk_000_cnt_3__n.BLIF N_184 +11 1 +.names RST_i.BLIF inst_UDS_000_INTreg.AP +1 1 +.names AS_030_c.BLIF N_133_i.BLIF un1_bg_030_0_2 +11 1 +.names un1_bg_030_0_1.BLIF un1_bg_030_0_2.BLIF un1_bg_030_0 +11 1 +.names AS_030_i.BLIF CPU_SPACE_i.BLIF state_machine_as_030_000_sync_3_2_1_n +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names state_machine_as_030_000_sync_3_2_1_n.BLIF state_machine_un42_clk_030_i_n.BLIF state_machine_as_030_000_sync_3_2_n +11 1 +.names N_144_1.BLIF sm_amiga_i_2__n.BLIF N_144 +11 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INTreg.C +1 1 +.names N_122.BLIF sm_amiga_i_5__n.BLIF un1_UDS_000_INT_0_sqmuxa_i_1 +11 1 +.names N_144_1.BLIF SM_AMIGA_1_.BLIF N_146 +11 1 +.names un1_UDS_000_INT_0_sqmuxa_i_1.BLIF UDS_000_INT_0_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa_i +11 1 +.names N_145_i.BLIF N_146_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names RST_i.BLIF inst_LDS_000_INTreg.AP +1 1 +.names N_174_i.BLIF N_172_i.BLIF clk_cpu_est_11_0_1_3__n +11 1 +.names CLK_000_D_i.BLIF N_151_i.BLIF SM_AMIGA_4_.D +11 1 +.names clk_cpu_est_11_0_1_3__n.BLIF N_173_i.BLIF clk_cpu_est_11_0_3__n +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names N_169_i.BLIF N_167_i.BLIF clk_cpu_est_11_0_1_1__n +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names N_166_i.BLIF N_168_i.BLIF clk_cpu_est_11_0_2_1__n +11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_150 +11 1 +.names CLK_OSZI_c.BLIF inst_DTACK_SYNC.C +1 1 +.names clk_cpu_est_11_0_1_1__n.BLIF clk_cpu_est_11_0_2_1__n.BLIF clk_cpu_est_11_0_1__n +11 1 +.names N_123.BLIF SM_AMIGA_0_.BLIF N_145 +11 1 +.names state_machine_un67_clk_000_d_n.BLIF state_machine_un67_clk_000_d_i_n +0 1 +.names RST_i.BLIF inst_DTACK_SYNC.AP +1 1 +.names state_machine_un78_clk_000_d_0_n.BLIF state_machine_un78_clk_000_d_n +0 1 +.names clk_rising_clk_amiga_1_n.BLIF clk_rising_clk_amiga_1_i_n +0 1 +.names N_135.BLIF N_135_i +0 1 +.names CLK_000_CNT_3_.BLIF N_104_i.BLIF un1_clk_000_cnt_0__n +11 1 +.names N_149.BLIF N_149_i +0 1 +.names CLK_000_CNT_2_.BLIF N_104_i.BLIF un1_clk_000_cnt_1__n +11 1 +.names CLK_OSZI_c.BLIF inst_FPU_CS_INTreg.C +1 1 +.names N_119_0.BLIF N_119 +0 1 +.names CLK_000_CNT_1_.BLIF N_104_i.BLIF un1_clk_000_cnt_2__n +11 1 +.names CLK_000_CNT_1_.BLIF clk_000_cnt_i_1__n +0 1 +.names CLK_000_CNT_0_.BLIF N_104_i.BLIF un1_clk_000_cnt_3__n +11 1 +.names RST_i.BLIF inst_FPU_CS_INTreg.AP +1 1 +.names CLK_000_CNT_0_.BLIF clk_000_cnt_i_0__n +0 1 +.names CLK_000_CNT_3_.BLIF clk_000_cnt_i_3__n +0 1 +.names CLK_000_i.BLIF N_119.BLIF N_144_1 +11 1 +.names CLK_000_CNT_2_.BLIF clk_000_cnt_i_2__n +0 1 +.names inst_DTACK_SYNC.BLIF N_149_i.BLIF N_119_0 +11 1 +.names state_machine_un69_clk_000_d_0_n.BLIF state_machine_un69_clk_000_d_n +0 1 +.names N_135_i.BLIF clk_rising_clk_amiga_1_i_n.BLIF N_104_i +11 1 +.names CLK_OSZI_c.BLIF inst_DTACK_DMA.C +1 1 +.names clk_000_cnt_i_0__n.BLIF clk_000_cnt_i_1__n.BLIF state_machine_un69_clk_000_d_0_1_n +11 1 +.names CLK_000_c.BLIF CLK_000_i +0 1 +.names clk_000_cnt_i_2__n.BLIF clk_000_cnt_i_3__n.BLIF state_machine_un69_clk_000_d_0_2_n +11 1 +.names inst_CLK_000_D.BLIF CLK_000_i.BLIF N_135 +11 1 +.names RST_i.BLIF inst_DTACK_DMA.AP +1 1 +.names state_machine_un69_clk_000_d_0_1_n.BLIF state_machine_un69_clk_000_d_0_2_n.BLIF state_machine_un69_clk_000_d_0_n +11 1 +.names CLK_000_D_i.BLIF CLK_000_c.BLIF clk_rising_clk_amiga_1_n +11 1 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF state_machine_un25_clk_000_d_i_1_n +11 1 +.names state_machine_un67_clk_000_d_i_n.BLIF state_machine_un80_clk_000_d_i_n.BLIF state_machine_un78_clk_000_d_0_n +11 1 +.names state_machine_as_030_000_sync_3_2_n.BLIF state_machine_as_030_000_sync_3_n +0 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names N_133.BLIF N_133_i +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names CLK_OSZI_c.BLIF CLK_CNT_0_.C +1 1 +.names un1_bg_030_0.BLIF un1_bg_030 +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names a_c_0__n.BLIF a_c_i_0__n +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names state_machine_uds_000_int_8_0_n.BLIF state_machine_uds_000_int_8_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names clk_rising_clk_amiga_1_n.BLIF inst_RISING_CLK_AMIGA.D +1 1 +.names state_machine_lds_000_int_8_0_n.BLIF state_machine_lds_000_int_8_n +0 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names N_151.BLIF N_151_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names CLK_OSZI_c.BLIF inst_RISING_CLK_AMIGA.C +1 1 +.names N_146.BLIF N_146_i +0 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names N_145.BLIF N_145_i +0 1 +.names state_machine_un14_as_000_int_n.BLIF inst_DTACK_DMA.D +0 1 +.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D +0 1 +.names RST_c.BLIF RST_i +0 1 +.names N_144.BLIF N_144_i +0 1 +.names CLK_CNT_0_.BLIF CLK_CNT_0_.D +0 1 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +.names N_150.BLIF N_150_i +0 1 +.names un1_clk_000_cnt_3__n.BLIF CLK_000_CNT_0_.D +0 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names state_machine_un25_clk_000_d_i_n.BLIF state_machine_un25_clk_000_d_n +0 1 +.names CPU_SPACE_c.BLIF CPU_SPACE_i +0 1 +.names CLK_000_c.BLIF inst_CLK_000_D.D +1 1 +.names state_machine_un80_clk_000_d_n.BLIF state_machine_un80_clk_000_d_i_n +0 1 +.names inst_FPU_CS_INTreg.BLIF FPU_CS_INT_i +0 1 +.names un1_UDS_000_INT_0_sqmuxa_2_0.BLIF un1_UDS_000_INT_0_sqmuxa_2 +0 1 +.names gnd_n_n +.names CLK_OSZI_c.BLIF inst_CLK_000_D.C +1 1 +.names UDS_000_INT_0_sqmuxa.BLIF UDS_000_INT_0_sqmuxa_i +0 1 +.names vcc_n_n +1 +.names un1_UDS_000_INT_0_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa +0 1 +.names A_15_.BLIF a_15__n +1 1 +.names N_124_0.BLIF N_124 +0 1 +.names A_14_.BLIF a_14__n +1 1 +.names RST_c.BLIF RESETDFFreg.D +1 1 +.names N_136.BLIF N_136_i +0 1 +.names A_13_.BLIF a_13__n +1 1 +.names inst_RISING_CLK_AMIGA.BLIF RISING_CLK_AMIGA_i +0 1 +.names A_12_.BLIF a_12__n +1 1 +.names CLK_OSZI_c.BLIF RESETDFFreg.C +1 1 +.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n +0 1 +.names A_11_.BLIF a_11__n +1 1 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names A_10_.BLIF a_10__n +1 1 +.names state_machine_un1_clk_030_0_n.BLIF state_machine_un1_clk_030_n +0 1 +.names A_9_.BLIF a_9__n +1 1 +.names inst_CLK_000_D.BLIF inst_CLK_000_DD.D +1 1 +.names state_machine_un17_clk_030_0_n.BLIF state_machine_un17_clk_030_n +0 1 +.names A_8_.BLIF a_8__n +1 1 +.names un1_as_030_2_0.BLIF un1_as_030_2 +0 1 +.names A_7_.BLIF a_7__n +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_DD.C +1 1 +.names N_137.BLIF N_137_i +0 1 +.names A_6_.BLIF a_6__n +1 1 +.names N_138.BLIF N_138_i +0 1 +.names A_5_.BLIF a_5__n +1 1 +.names N_120_i.BLIF N_120 +0 1 +.names A_4_.BLIF a_4__n +1 1 +.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_INTreg.D +1 1 +.names N_125_0.BLIF N_125 +0 1 +.names A_3_.BLIF a_3__n +1 1 +.names N_173.BLIF N_173_i +0 1 +.names A_2_.BLIF a_2__n +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_INTreg.C +1 1 +.names N_172.BLIF N_172_i +0 1 +.names A_1_.BLIF a_1__n +1 1 +.names N_174.BLIF N_174_i +0 1 +.end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 new file mode 100644 index 0000000..7a9dc45 --- /dev/null +++ b/Logic/BUS68030.bl1 @@ -0,0 +1,1588 @@ +#$ TOOL ispLEVER Classic 1.7.00.05.28.13 +#$ DATE Thu May 15 19:20:52 2014 +#$ MODULE bus68030 +#$ PINS 74 A_30_ A_29_ SIZE_1_ A_28_ A_27_ A_31_ A_26_ A_25_ IPL_030_2_ A_24_ A_23_ \ +# IPL_2_ A_22_ A_21_ DSACK_1_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 A_15_ \ +# UDS_000 A_14_ LDS_000 A_13_ CPU_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ BGACK_030 \ +# A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ CLK_EXP A_2_ \ +# FPU_CS A_1_ DTACK A_0_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E IPL_1_ VPA IPL_0_ VMA DSACK_0_ \ +# RST FC_0_ RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ +# SIZE_0_ +#$ NODES 414 a_15__n a_c_22__n a_14__n a_c_23__n a_13__n a_c_24__n a_12__n \ +# inst_BGACK_030_INTreg a_c_25__n inst_CLK_OUT_INTreg a_11__n inst_FPU_CS_INTreg \ +# a_c_26__n cpu_est_3_reg a_10__n inst_VMA_INTreg a_c_27__n gnd_n_n a_9__n cpu_est_1_ \ +# a_c_28__n inst_AS_000_INTreg a_8__n inst_AS_030_000_SYNC a_c_29__n inst_DTACK_SYNC \ +# a_7__n inst_VPA_D a_c_30__n inst_VPA_SYNC a_6__n inst_CLK_000_D a_c_31__n \ +# inst_CLK_000_DD a_5__n inst_CLK_OUT_PRE CPU_SPACE_c vcc_n_n a_4__n cpu_est_0_ \ +# cpu_est_2_ BG_030_c a_3__n CLK_CNT_0_ SM_AMIGA_6_ BG_000DFFSHreg a_2__n SM_AMIGA_7_ \ +# inst_UDS_000_INTreg a_1__n inst_LDS_000_INTreg BGACK_000_c inst_RISING_CLK_AMIGA \ +# DSACK_INT_1_ CLK_030_c inst_DTACK_DMA SM_AMIGA_4_ CLK_000_c SM_AMIGA_3_ SM_AMIGA_5_ \ +# CLK_OSZI_c un1_clk_000_cnt_3__n CLK_000_CNT_0_ CLK_000_CNT_1_ CLK_000_CNT_2_ \ +# CLK_000_CNT_3_ IPL_030DFFSH_0_reg state_machine_un14_as_000_int_n SM_AMIGA_2_ \ +# IPL_030DFFSH_1_reg SM_AMIGA_1_ SM_AMIGA_0_ IPL_030DFFSH_2_reg SM_AMIGA_D_0_ \ +# SM_AMIGA_D_1_ ipl_c_0__n SM_AMIGA_D_2_ clk_exp ipl_c_1__n G_128 G_130 ipl_c_2__n G_132 \ +# dsack_c_1__n DTACK_c RST_c RESETDFFreg RW_c cpu_est_0_0_ fc_c_0__n fc_c_1__n \ +# CLK_OUT_PRE_0 N_123 N_148_i clk_rising_clk_amiga_1_n N_147_i G_122 \ +# VMA_INT_1_sqmuxa_0 G_123 N_170_i G_124 N_171_i DSACK_INT_1_sqmuxa N_161_i N_120 \ +# N_164_i N_144_1 N_165_i N_251 N_168_i N_254 N_166_i N_186 N_167_i un1_clk_000_cnt_0__n \ +# N_169_i N_184 clk_cpu_est_11_0_1__n un1_clk_000_cnt_1__n N_173_i \ +# un1_clk_000_cnt_2__n N_172_i state_machine_un69_clk_000_d_n N_174_i \ +# state_machine_un78_clk_000_d_n clk_cpu_est_11_0_3__n N_149 N_121_i N_119 N_126_0 \ +# N_135 N_123_0 state_machine_un67_clk_000_d_n N_122_0 \ +# state_machine_un80_clk_000_d_n N_142_i N_132 N_143_i N_131 sm_amiga_ns_0_5__n \ +# state_machine_un25_clk_000_d_n N_141_i N_150 N_140_i N_151 sm_amiga_ns_0_4__n N_144 \ +# N_146 LDS_000_INT_1_sqmuxa_i N_143 un1_UDS_000_INT_0_sqmuxa_2_0 N_145 \ +# UDS_000_INT_0_sqmuxa_i state_machine_lds_000_int_8_n un1_UDS_000_INT_0_sqmuxa_i \ +# un1_UDS_000_INT_0_sqmuxa_2 N_124_0 state_machine_uds_000_int_8_n N_136_i \ +# LDS_000_INT_0_sqmuxa state_machine_un42_clk_030_n RISING_CLK_AMIGA_i un1_bg_030 \ +# state_machine_un4_bgack_000_0_n N_133 BG_030_c_i \ +# state_machine_as_030_000_sync_3_n state_machine_un1_clk_030_0_n N_125 \ +# state_machine_un17_clk_030_0_n N_137 un1_as_030_2_0 N_138 N_137_i \ +# DSACK_INT_1_sqmuxa_1 N_138_i un1_as_030_2 state_machine_un17_clk_030_n N_120_i \ +# state_machine_un1_clk_030_n N_125_0 state_machine_un4_bgack_000_n \ +# state_machine_as_030_000_sync_3_2_n VPA_SYNC_1_sqmuxa N_133_i VPA_SYNC_1_sqmuxa_1 \ +# un1_bg_030_0 N_122 a_c_i_0__n AS_000_INT_1_sqmuxa state_machine_uds_000_int_8_0_n \ +# N_136 state_machine_lds_000_int_8_0_n N_124 N_151_i N_130 \ +# state_machine_un15_clk_000_d_n N_146_i un1_UDS_000_INT_0_sqmuxa N_145_i \ +# UDS_000_INT_0_sqmuxa sm_amiga_ns_0_7__n LDS_000_INT_1_sqmuxa N_144_i N_139 N_150_i \ +# N_140 N_126 size_c_i_1__n N_141 state_machine_un25_clk_000_d_i_n N_121 \ +# state_machine_un80_clk_000_d_i_n N_142 state_machine_un67_clk_000_d_i_n \ +# VPA_SYNC_1_sqmuxa_1_0 state_machine_un78_clk_000_d_0_n DTACK_SYNC_1_sqmuxa \ +# clk_rising_clk_amiga_1_i_n DTACK_SYNC_1_sqmuxa_1 N_135_i N_149_2 N_104_i \ +# clk_un3_clk_000_dd_n N_149_i N_164 N_119_0 N_171 clk_000_cnt_i_1__n N_170 \ +# clk_000_cnt_i_0__n clk_cpu_est_11_3__n clk_000_cnt_i_3__n N_174 clk_000_cnt_i_2__n \ +# N_172 state_machine_un69_clk_000_d_0_n N_173 state_machine_un69_clk_000_d_0_1_n \ +# clk_cpu_est_11_1__n state_machine_un69_clk_000_d_0_2_n N_169 \ +# state_machine_un25_clk_000_d_i_1_n N_167 N_116_i_1 N_166 un1_bg_030_0_1 N_168 \ +# un1_bg_030_0_2 N_165 state_machine_as_030_000_sync_3_2_1_n VMA_INT_1_sqmuxa \ +# un1_UDS_000_INT_0_sqmuxa_i_1 N_147 clk_cpu_est_11_0_1_3__n N_148 \ +# clk_cpu_est_11_0_1_1__n RW_i clk_cpu_est_11_0_2_1__n clk_exp_i N_251_1 CLK_000_DD_i \ +# N_251_2 CLK_000_D_i N_251_3 AS_000_INT_i N_251_4 cpu_est_i_0__n N_251_5 \ +# cpu_est_i_2__n N_251_6 cpu_est_i_3__n N_254_1 cpu_est_i_1__n N_254_2 N_149_2_i \ +# DSACK_INT_1_sqmuxa_1_0 VPA_D_i DSACK_INT_1_sqmuxa_2 DTACK_i DSACK_INT_1_sqmuxa_3 \ +# VPA_SYNC_i N_149_1 DTACK_SYNC_i N_149_2_0 AS_030_i N_132_1 DTACK_SYNC_1_sqmuxa_i \ +# N_131_1 DS_030_i state_machine_un42_clk_030_1_n sm_amiga_i_4__n \ +# state_machine_un42_clk_030_2_n sm_amiga_i_6__n state_machine_un42_clk_030_3_n \ +# sm_amiga_i_5__n state_machine_un42_clk_030_4_n N_139_i \ +# state_machine_un42_clk_030_5_n state_machine_un15_clk_000_d_i_n N_142_1 N_130_i \ +# N_130_1 sm_amiga_i_0__n N_130_2 sm_amiga_i_7__n UDS_000_INT_0_sqmuxa_1 \ +# VPA_SYNC_1_sqmuxa_i UDS_000_INT_0_sqmuxa_2 dsack_i_1__n DTACK_SYNC_1_sqmuxa_1_0 \ +# DSACK_INT_1_sqmuxa_i VPA_SYNC_1_sqmuxa_1_1 a_i_18__n VPA_SYNC_1_sqmuxa_2 a_i_16__n \ +# VPA_SYNC_1_sqmuxa_3 a_i_19__n N_171_1 CLK_030_i N_170_1 \ +# state_machine_un42_clk_030_i_n N_174_1 AS_030_000_SYNC_i clk_exp_1 N_131_i \ +# cpu_est_0_1__un3_n N_132_i cpu_est_0_1__un1_n sm_amiga_i_2__n cpu_est_0_1__un0_n \ +# sm_amiga_i_1__n vma_int_0_un3_n sm_amiga_i_3__n vma_int_0_un1_n CLK_000_i \ +# vma_int_0_un0_n a_i_30__n cpu_est_0_3__un3_n a_i_31__n cpu_est_0_3__un1_n a_i_28__n \ +# cpu_est_0_3__un0_n a_i_29__n cpu_est_0_2__un3_n a_i_26__n cpu_est_0_2__un1_n \ +# a_i_27__n cpu_est_0_2__un0_n a_i_24__n dtack_sync_0_un3_n a_i_25__n \ +# dtack_sync_0_un1_n dtack_sync_0_un0_n sm_amiga_d_0_0__un3_n RST_i \ +# sm_amiga_d_0_0__un1_n sm_amiga_d_0_0__un0_n FPU_CS_INT_i bgack_030_int_0_un3_n \ +# CPU_SPACE_i bgack_030_int_0_un1_n BGACK_030_INT_i bgack_030_int_0_un0_n AS_030_c \ +# bg_000_0_un3_n bg_000_0_un1_n bg_000_0_un0_n DS_030_c as_030_000_sync_0_un3_n \ +# as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n fpu_cs_int_0_un3_n size_c_0__n \ +# fpu_cs_int_0_un1_n fpu_cs_int_0_un0_n size_c_1__n dsack_int_0_1__un3_n \ +# dsack_int_0_1__un1_n a_c_0__n dsack_int_0_1__un0_n vpa_sync_0_un3_n \ +# vpa_sync_0_un1_n vpa_sync_0_un0_n as_000_int_0_un3_n as_000_int_0_un1_n \ +# as_000_int_0_un0_n ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ +# ipl_030_0_1__un3_n ipl_030_0_1__un1_n ipl_030_0_1__un0_n ipl_030_0_0__un3_n \ +# ipl_030_0_0__un1_n ipl_030_0_0__un0_n sm_amiga_d_0_2__un3_n a_c_16__n \ +# sm_amiga_d_0_2__un1_n sm_amiga_d_0_2__un0_n a_c_17__n sm_amiga_d_0_1__un3_n \ +# sm_amiga_d_0_1__un1_n a_c_18__n sm_amiga_d_0_1__un0_n lds_000_int_0_un3_n \ +# a_c_19__n lds_000_int_0_un1_n lds_000_int_0_un0_n a_c_20__n uds_000_int_0_un3_n \ +# uds_000_int_0_un1_n a_c_21__n uds_000_int_0_un0_n +.model bus68030 +.inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \ +CPU_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ +CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \ +A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF \ +A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF \ +A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF \ +A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF A_1_.BLIF \ +A_0_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF DSACK_1_.BLIF DTACK.BLIF \ +DSACK_0_.BLIF a_15__n.BLIF a_c_22__n.BLIF a_14__n.BLIF a_c_23__n.BLIF \ +a_13__n.BLIF a_c_24__n.BLIF a_12__n.BLIF inst_BGACK_030_INTreg.BLIF \ +a_c_25__n.BLIF inst_CLK_OUT_INTreg.BLIF a_11__n.BLIF inst_FPU_CS_INTreg.BLIF \ +a_c_26__n.BLIF cpu_est_3_reg.BLIF a_10__n.BLIF inst_VMA_INTreg.BLIF \ +a_c_27__n.BLIF gnd_n_n.BLIF a_9__n.BLIF cpu_est_1_.BLIF a_c_28__n.BLIF \ +inst_AS_000_INTreg.BLIF a_8__n.BLIF inst_AS_030_000_SYNC.BLIF a_c_29__n.BLIF \ +inst_DTACK_SYNC.BLIF a_7__n.BLIF inst_VPA_D.BLIF a_c_30__n.BLIF \ +inst_VPA_SYNC.BLIF a_6__n.BLIF inst_CLK_000_D.BLIF a_c_31__n.BLIF \ +inst_CLK_000_DD.BLIF a_5__n.BLIF inst_CLK_OUT_PRE.BLIF CPU_SPACE_c.BLIF \ +vcc_n_n.BLIF a_4__n.BLIF cpu_est_0_.BLIF cpu_est_2_.BLIF BG_030_c.BLIF \ +a_3__n.BLIF CLK_CNT_0_.BLIF SM_AMIGA_6_.BLIF BG_000DFFSHreg.BLIF a_2__n.BLIF \ +SM_AMIGA_7_.BLIF inst_UDS_000_INTreg.BLIF a_1__n.BLIF inst_LDS_000_INTreg.BLIF \ +BGACK_000_c.BLIF inst_RISING_CLK_AMIGA.BLIF DSACK_INT_1_.BLIF CLK_030_c.BLIF \ +inst_DTACK_DMA.BLIF SM_AMIGA_4_.BLIF CLK_000_c.BLIF SM_AMIGA_3_.BLIF \ +SM_AMIGA_5_.BLIF CLK_OSZI_c.BLIF un1_clk_000_cnt_3__n.BLIF CLK_000_CNT_0_.BLIF \ +CLK_000_CNT_1_.BLIF CLK_000_CNT_2_.BLIF CLK_000_CNT_3_.BLIF \ +IPL_030DFFSH_0_reg.BLIF state_machine_un14_as_000_int_n.BLIF SM_AMIGA_2_.BLIF \ +IPL_030DFFSH_1_reg.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ +IPL_030DFFSH_2_reg.BLIF SM_AMIGA_D_0_.BLIF SM_AMIGA_D_1_.BLIF ipl_c_0__n.BLIF \ +SM_AMIGA_D_2_.BLIF clk_exp.BLIF ipl_c_1__n.BLIF G_128.BLIF G_130.BLIF \ +ipl_c_2__n.BLIF G_132.BLIF dsack_c_1__n.BLIF DTACK_c.BLIF RST_c.BLIF \ +RESETDFFreg.BLIF RW_c.BLIF cpu_est_0_0_.BLIF fc_c_0__n.BLIF fc_c_1__n.BLIF \ +CLK_OUT_PRE_0.BLIF N_123.BLIF N_148_i.BLIF clk_rising_clk_amiga_1_n.BLIF \ +N_147_i.BLIF G_122.BLIF VMA_INT_1_sqmuxa_0.BLIF G_123.BLIF N_170_i.BLIF \ +G_124.BLIF N_171_i.BLIF DSACK_INT_1_sqmuxa.BLIF N_161_i.BLIF N_120.BLIF \ +N_164_i.BLIF N_144_1.BLIF N_165_i.BLIF N_251.BLIF N_168_i.BLIF N_254.BLIF \ +N_166_i.BLIF N_186.BLIF N_167_i.BLIF un1_clk_000_cnt_0__n.BLIF N_169_i.BLIF \ +N_184.BLIF clk_cpu_est_11_0_1__n.BLIF un1_clk_000_cnt_1__n.BLIF N_173_i.BLIF \ +un1_clk_000_cnt_2__n.BLIF N_172_i.BLIF state_machine_un69_clk_000_d_n.BLIF \ +N_174_i.BLIF state_machine_un78_clk_000_d_n.BLIF clk_cpu_est_11_0_3__n.BLIF \ +N_149.BLIF N_121_i.BLIF N_119.BLIF N_126_0.BLIF N_135.BLIF N_123_0.BLIF \ +state_machine_un67_clk_000_d_n.BLIF N_122_0.BLIF \ +state_machine_un80_clk_000_d_n.BLIF N_142_i.BLIF N_132.BLIF N_143_i.BLIF \ +N_131.BLIF sm_amiga_ns_0_5__n.BLIF state_machine_un25_clk_000_d_n.BLIF \ +N_141_i.BLIF N_150.BLIF N_140_i.BLIF N_151.BLIF sm_amiga_ns_0_4__n.BLIF \ +N_144.BLIF N_146.BLIF LDS_000_INT_1_sqmuxa_i.BLIF N_143.BLIF \ +un1_UDS_000_INT_0_sqmuxa_2_0.BLIF N_145.BLIF UDS_000_INT_0_sqmuxa_i.BLIF \ +state_machine_lds_000_int_8_n.BLIF un1_UDS_000_INT_0_sqmuxa_i.BLIF \ +un1_UDS_000_INT_0_sqmuxa_2.BLIF N_124_0.BLIF \ +state_machine_uds_000_int_8_n.BLIF N_136_i.BLIF LDS_000_INT_0_sqmuxa.BLIF \ +state_machine_un42_clk_030_n.BLIF RISING_CLK_AMIGA_i.BLIF un1_bg_030.BLIF \ +state_machine_un4_bgack_000_0_n.BLIF N_133.BLIF BG_030_c_i.BLIF \ +state_machine_as_030_000_sync_3_n.BLIF state_machine_un1_clk_030_0_n.BLIF \ +N_125.BLIF state_machine_un17_clk_030_0_n.BLIF N_137.BLIF un1_as_030_2_0.BLIF \ +N_138.BLIF N_137_i.BLIF DSACK_INT_1_sqmuxa_1.BLIF N_138_i.BLIF \ +un1_as_030_2.BLIF state_machine_un17_clk_030_n.BLIF N_120_i.BLIF \ +state_machine_un1_clk_030_n.BLIF N_125_0.BLIF \ +state_machine_un4_bgack_000_n.BLIF state_machine_as_030_000_sync_3_2_n.BLIF \ +VPA_SYNC_1_sqmuxa.BLIF N_133_i.BLIF VPA_SYNC_1_sqmuxa_1.BLIF un1_bg_030_0.BLIF \ +N_122.BLIF a_c_i_0__n.BLIF AS_000_INT_1_sqmuxa.BLIF \ +state_machine_uds_000_int_8_0_n.BLIF N_136.BLIF \ +state_machine_lds_000_int_8_0_n.BLIF N_124.BLIF N_151_i.BLIF N_130.BLIF \ +state_machine_un15_clk_000_d_n.BLIF N_146_i.BLIF un1_UDS_000_INT_0_sqmuxa.BLIF \ +N_145_i.BLIF UDS_000_INT_0_sqmuxa.BLIF sm_amiga_ns_0_7__n.BLIF \ +LDS_000_INT_1_sqmuxa.BLIF N_144_i.BLIF N_139.BLIF N_150_i.BLIF N_140.BLIF \ +N_126.BLIF size_c_i_1__n.BLIF N_141.BLIF state_machine_un25_clk_000_d_i_n.BLIF \ +N_121.BLIF state_machine_un80_clk_000_d_i_n.BLIF N_142.BLIF \ +state_machine_un67_clk_000_d_i_n.BLIF VPA_SYNC_1_sqmuxa_1_0.BLIF \ +state_machine_un78_clk_000_d_0_n.BLIF DTACK_SYNC_1_sqmuxa.BLIF \ +clk_rising_clk_amiga_1_i_n.BLIF DTACK_SYNC_1_sqmuxa_1.BLIF N_135_i.BLIF \ +N_149_2.BLIF N_104_i.BLIF clk_un3_clk_000_dd_n.BLIF N_149_i.BLIF N_164.BLIF \ +N_119_0.BLIF N_171.BLIF clk_000_cnt_i_1__n.BLIF N_170.BLIF \ +clk_000_cnt_i_0__n.BLIF clk_cpu_est_11_3__n.BLIF clk_000_cnt_i_3__n.BLIF \ +N_174.BLIF clk_000_cnt_i_2__n.BLIF N_172.BLIF \ +state_machine_un69_clk_000_d_0_n.BLIF N_173.BLIF \ +state_machine_un69_clk_000_d_0_1_n.BLIF clk_cpu_est_11_1__n.BLIF \ +state_machine_un69_clk_000_d_0_2_n.BLIF N_169.BLIF \ +state_machine_un25_clk_000_d_i_1_n.BLIF N_167.BLIF N_116_i_1.BLIF N_166.BLIF \ +un1_bg_030_0_1.BLIF N_168.BLIF un1_bg_030_0_2.BLIF N_165.BLIF \ +state_machine_as_030_000_sync_3_2_1_n.BLIF VMA_INT_1_sqmuxa.BLIF \ +un1_UDS_000_INT_0_sqmuxa_i_1.BLIF N_147.BLIF clk_cpu_est_11_0_1_3__n.BLIF \ +N_148.BLIF clk_cpu_est_11_0_1_1__n.BLIF RW_i.BLIF clk_cpu_est_11_0_2_1__n.BLIF \ +clk_exp_i.BLIF N_251_1.BLIF CLK_000_DD_i.BLIF N_251_2.BLIF CLK_000_D_i.BLIF \ +N_251_3.BLIF AS_000_INT_i.BLIF N_251_4.BLIF cpu_est_i_0__n.BLIF N_251_5.BLIF \ +cpu_est_i_2__n.BLIF N_251_6.BLIF cpu_est_i_3__n.BLIF N_254_1.BLIF \ +cpu_est_i_1__n.BLIF N_254_2.BLIF N_149_2_i.BLIF DSACK_INT_1_sqmuxa_1_0.BLIF \ +VPA_D_i.BLIF DSACK_INT_1_sqmuxa_2.BLIF DTACK_i.BLIF DSACK_INT_1_sqmuxa_3.BLIF \ +VPA_SYNC_i.BLIF N_149_1.BLIF DTACK_SYNC_i.BLIF N_149_2_0.BLIF AS_030_i.BLIF \ +N_132_1.BLIF DTACK_SYNC_1_sqmuxa_i.BLIF N_131_1.BLIF DS_030_i.BLIF \ +state_machine_un42_clk_030_1_n.BLIF sm_amiga_i_4__n.BLIF \ +state_machine_un42_clk_030_2_n.BLIF sm_amiga_i_6__n.BLIF \ +state_machine_un42_clk_030_3_n.BLIF sm_amiga_i_5__n.BLIF \ +state_machine_un42_clk_030_4_n.BLIF N_139_i.BLIF \ +state_machine_un42_clk_030_5_n.BLIF state_machine_un15_clk_000_d_i_n.BLIF \ +N_142_1.BLIF N_130_i.BLIF N_130_1.BLIF sm_amiga_i_0__n.BLIF N_130_2.BLIF \ +sm_amiga_i_7__n.BLIF UDS_000_INT_0_sqmuxa_1.BLIF VPA_SYNC_1_sqmuxa_i.BLIF \ +UDS_000_INT_0_sqmuxa_2.BLIF dsack_i_1__n.BLIF DTACK_SYNC_1_sqmuxa_1_0.BLIF \ +DSACK_INT_1_sqmuxa_i.BLIF VPA_SYNC_1_sqmuxa_1_1.BLIF a_i_18__n.BLIF \ +VPA_SYNC_1_sqmuxa_2.BLIF a_i_16__n.BLIF VPA_SYNC_1_sqmuxa_3.BLIF \ +a_i_19__n.BLIF N_171_1.BLIF CLK_030_i.BLIF N_170_1.BLIF \ +state_machine_un42_clk_030_i_n.BLIF N_174_1.BLIF AS_030_000_SYNC_i.BLIF \ +clk_exp_1.BLIF N_131_i.BLIF cpu_est_0_1__un3_n.BLIF N_132_i.BLIF \ +cpu_est_0_1__un1_n.BLIF sm_amiga_i_2__n.BLIF cpu_est_0_1__un0_n.BLIF \ +sm_amiga_i_1__n.BLIF vma_int_0_un3_n.BLIF sm_amiga_i_3__n.BLIF \ +vma_int_0_un1_n.BLIF CLK_000_i.BLIF vma_int_0_un0_n.BLIF a_i_30__n.BLIF \ +cpu_est_0_3__un3_n.BLIF a_i_31__n.BLIF cpu_est_0_3__un1_n.BLIF a_i_28__n.BLIF \ +cpu_est_0_3__un0_n.BLIF a_i_29__n.BLIF cpu_est_0_2__un3_n.BLIF a_i_26__n.BLIF \ +cpu_est_0_2__un1_n.BLIF a_i_27__n.BLIF cpu_est_0_2__un0_n.BLIF a_i_24__n.BLIF \ +dtack_sync_0_un3_n.BLIF a_i_25__n.BLIF dtack_sync_0_un1_n.BLIF \ +dtack_sync_0_un0_n.BLIF sm_amiga_d_0_0__un3_n.BLIF RST_i.BLIF \ +sm_amiga_d_0_0__un1_n.BLIF sm_amiga_d_0_0__un0_n.BLIF FPU_CS_INT_i.BLIF \ +bgack_030_int_0_un3_n.BLIF CPU_SPACE_i.BLIF bgack_030_int_0_un1_n.BLIF \ +BGACK_030_INT_i.BLIF bgack_030_int_0_un0_n.BLIF AS_030_c.BLIF \ +bg_000_0_un3_n.BLIF bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF DS_030_c.BLIF \ +as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un1_n.BLIF \ +as_030_000_sync_0_un0_n.BLIF fpu_cs_int_0_un3_n.BLIF size_c_0__n.BLIF \ +fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF size_c_1__n.BLIF \ +dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un1_n.BLIF a_c_0__n.BLIF \ +dsack_int_0_1__un0_n.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un1_n.BLIF \ +vpa_sync_0_un0_n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un1_n.BLIF \ +as_000_int_0_un0_n.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un1_n.BLIF \ +ipl_030_0_2__un0_n.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un1_n.BLIF \ +ipl_030_0_1__un0_n.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un1_n.BLIF \ +ipl_030_0_0__un0_n.BLIF sm_amiga_d_0_2__un3_n.BLIF a_c_16__n.BLIF \ +sm_amiga_d_0_2__un1_n.BLIF sm_amiga_d_0_2__un0_n.BLIF a_c_17__n.BLIF \ +sm_amiga_d_0_1__un3_n.BLIF sm_amiga_d_0_1__un1_n.BLIF a_c_18__n.BLIF \ +sm_amiga_d_0_1__un0_n.BLIF lds_000_int_0_un3_n.BLIF a_c_19__n.BLIF \ +lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF a_c_20__n.BLIF \ +uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF a_c_21__n.BLIF \ +uds_000_int_0_un0_n.BLIF DSACK_1_.PIN.BLIF DTACK.PIN.BLIF +.outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \ +CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ +AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_4_.D SM_AMIGA_4_.C \ +SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR \ +SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_0_.D cpu_est_0_.C \ +cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D \ +cpu_est_3_reg.C SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D \ +SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR \ +CLK_000_CNT_0_.D CLK_000_CNT_0_.C CLK_000_CNT_1_.D CLK_000_CNT_1_.C \ +CLK_000_CNT_2_.D CLK_000_CNT_2_.C CLK_000_CNT_3_.D CLK_000_CNT_3_.C \ +SM_AMIGA_D_0_.D SM_AMIGA_D_0_.C SM_AMIGA_D_1_.D SM_AMIGA_D_1_.C \ +SM_AMIGA_D_2_.D SM_AMIGA_D_2_.C IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C \ +IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C \ +IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C \ +IPL_030DFFSH_2_reg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +inst_AS_030_000_SYNC.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP \ +BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_AS_000_INTreg.D \ +inst_AS_000_INTreg.C inst_AS_000_INTreg.AP inst_VMA_INTreg.D inst_VMA_INTreg.C \ +inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ +inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C DSACK_INT_1_.D \ +DSACK_INT_1_.C DSACK_INT_1_.AP inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C \ +inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C \ +inst_LDS_000_INTreg.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP \ +inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP \ +inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP CLK_CNT_0_.D CLK_CNT_0_.C \ +inst_RISING_CLK_AMIGA.D inst_RISING_CLK_AMIGA.C inst_VPA_D.D inst_VPA_D.C \ +inst_CLK_000_D.D inst_CLK_000_D.C RESETDFFreg.D RESETDFFreg.C \ +inst_CLK_000_DD.D inst_CLK_000_DD.C inst_CLK_OUT_INTreg.D \ +inst_CLK_OUT_INTreg.C DSACK_1_ DTACK DSACK_0_ a_15__n a_c_22__n a_14__n \ +a_c_23__n a_13__n a_c_24__n a_12__n a_c_25__n a_11__n a_c_26__n a_10__n \ +a_c_27__n gnd_n_n a_9__n a_c_28__n a_8__n a_c_29__n a_7__n a_c_30__n a_6__n \ +a_c_31__n a_5__n CPU_SPACE_c vcc_n_n a_4__n BG_030_c a_3__n a_2__n a_1__n \ +BGACK_000_c CLK_030_c CLK_000_c CLK_OSZI_c un1_clk_000_cnt_3__n \ +state_machine_un14_as_000_int_n ipl_c_0__n clk_exp ipl_c_1__n ipl_c_2__n \ +dsack_c_1__n DTACK_c RST_c RW_c fc_c_0__n fc_c_1__n N_123 N_148_i \ +clk_rising_clk_amiga_1_n N_147_i VMA_INT_1_sqmuxa_0 N_170_i N_171_i \ +DSACK_INT_1_sqmuxa N_161_i N_120 N_164_i N_144_1 N_165_i N_251 N_168_i N_254 \ +N_166_i N_186 N_167_i un1_clk_000_cnt_0__n N_169_i N_184 clk_cpu_est_11_0_1__n \ +un1_clk_000_cnt_1__n N_173_i un1_clk_000_cnt_2__n N_172_i \ +state_machine_un69_clk_000_d_n N_174_i state_machine_un78_clk_000_d_n \ +clk_cpu_est_11_0_3__n N_149 N_121_i N_119 N_126_0 N_135 N_123_0 \ +state_machine_un67_clk_000_d_n N_122_0 state_machine_un80_clk_000_d_n N_142_i \ +N_132 N_143_i N_131 sm_amiga_ns_0_5__n state_machine_un25_clk_000_d_n N_141_i \ +N_150 N_140_i N_151 sm_amiga_ns_0_4__n N_144 N_146 LDS_000_INT_1_sqmuxa_i \ +N_143 un1_UDS_000_INT_0_sqmuxa_2_0 N_145 UDS_000_INT_0_sqmuxa_i \ +state_machine_lds_000_int_8_n un1_UDS_000_INT_0_sqmuxa_i \ +un1_UDS_000_INT_0_sqmuxa_2 N_124_0 state_machine_uds_000_int_8_n N_136_i \ +LDS_000_INT_0_sqmuxa state_machine_un42_clk_030_n RISING_CLK_AMIGA_i \ +un1_bg_030 state_machine_un4_bgack_000_0_n N_133 BG_030_c_i \ +state_machine_as_030_000_sync_3_n state_machine_un1_clk_030_0_n N_125 \ +state_machine_un17_clk_030_0_n N_137 un1_as_030_2_0 N_138 N_137_i \ +DSACK_INT_1_sqmuxa_1 N_138_i un1_as_030_2 state_machine_un17_clk_030_n N_120_i \ +state_machine_un1_clk_030_n N_125_0 state_machine_un4_bgack_000_n \ +state_machine_as_030_000_sync_3_2_n VPA_SYNC_1_sqmuxa N_133_i \ +VPA_SYNC_1_sqmuxa_1 un1_bg_030_0 N_122 a_c_i_0__n AS_000_INT_1_sqmuxa \ +state_machine_uds_000_int_8_0_n N_136 state_machine_lds_000_int_8_0_n N_124 \ +N_151_i N_130 state_machine_un15_clk_000_d_n N_146_i un1_UDS_000_INT_0_sqmuxa \ +N_145_i UDS_000_INT_0_sqmuxa sm_amiga_ns_0_7__n LDS_000_INT_1_sqmuxa N_144_i \ +N_139 N_150_i N_140 N_126 size_c_i_1__n N_141 state_machine_un25_clk_000_d_i_n \ +N_121 state_machine_un80_clk_000_d_i_n N_142 state_machine_un67_clk_000_d_i_n \ +VPA_SYNC_1_sqmuxa_1_0 state_machine_un78_clk_000_d_0_n DTACK_SYNC_1_sqmuxa \ +clk_rising_clk_amiga_1_i_n DTACK_SYNC_1_sqmuxa_1 N_135_i N_149_2 N_104_i \ +clk_un3_clk_000_dd_n N_149_i N_164 N_119_0 N_171 clk_000_cnt_i_1__n N_170 \ +clk_000_cnt_i_0__n clk_cpu_est_11_3__n clk_000_cnt_i_3__n N_174 \ +clk_000_cnt_i_2__n N_172 state_machine_un69_clk_000_d_0_n N_173 \ +state_machine_un69_clk_000_d_0_1_n clk_cpu_est_11_1__n \ +state_machine_un69_clk_000_d_0_2_n N_169 state_machine_un25_clk_000_d_i_1_n \ +N_167 N_116_i_1 N_166 un1_bg_030_0_1 N_168 un1_bg_030_0_2 N_165 \ +state_machine_as_030_000_sync_3_2_1_n VMA_INT_1_sqmuxa \ +un1_UDS_000_INT_0_sqmuxa_i_1 N_147 clk_cpu_est_11_0_1_3__n N_148 \ +clk_cpu_est_11_0_1_1__n RW_i clk_cpu_est_11_0_2_1__n clk_exp_i N_251_1 \ +CLK_000_DD_i N_251_2 CLK_000_D_i N_251_3 AS_000_INT_i N_251_4 cpu_est_i_0__n \ +N_251_5 cpu_est_i_2__n N_251_6 cpu_est_i_3__n N_254_1 cpu_est_i_1__n N_254_2 \ +N_149_2_i DSACK_INT_1_sqmuxa_1_0 VPA_D_i DSACK_INT_1_sqmuxa_2 DTACK_i \ +DSACK_INT_1_sqmuxa_3 VPA_SYNC_i N_149_1 DTACK_SYNC_i N_149_2_0 AS_030_i \ +N_132_1 DTACK_SYNC_1_sqmuxa_i N_131_1 DS_030_i state_machine_un42_clk_030_1_n \ +sm_amiga_i_4__n state_machine_un42_clk_030_2_n sm_amiga_i_6__n \ +state_machine_un42_clk_030_3_n sm_amiga_i_5__n state_machine_un42_clk_030_4_n \ +N_139_i state_machine_un42_clk_030_5_n state_machine_un15_clk_000_d_i_n \ +N_142_1 N_130_i N_130_1 sm_amiga_i_0__n N_130_2 sm_amiga_i_7__n \ +UDS_000_INT_0_sqmuxa_1 VPA_SYNC_1_sqmuxa_i UDS_000_INT_0_sqmuxa_2 dsack_i_1__n \ +DTACK_SYNC_1_sqmuxa_1_0 DSACK_INT_1_sqmuxa_i VPA_SYNC_1_sqmuxa_1_1 a_i_18__n \ +VPA_SYNC_1_sqmuxa_2 a_i_16__n VPA_SYNC_1_sqmuxa_3 a_i_19__n N_171_1 CLK_030_i \ +N_170_1 state_machine_un42_clk_030_i_n N_174_1 AS_030_000_SYNC_i clk_exp_1 \ +N_131_i cpu_est_0_1__un3_n N_132_i cpu_est_0_1__un1_n sm_amiga_i_2__n \ +cpu_est_0_1__un0_n sm_amiga_i_1__n vma_int_0_un3_n sm_amiga_i_3__n \ +vma_int_0_un1_n CLK_000_i vma_int_0_un0_n a_i_30__n cpu_est_0_3__un3_n \ +a_i_31__n cpu_est_0_3__un1_n a_i_28__n cpu_est_0_3__un0_n a_i_29__n \ +cpu_est_0_2__un3_n a_i_26__n cpu_est_0_2__un1_n a_i_27__n cpu_est_0_2__un0_n \ +a_i_24__n dtack_sync_0_un3_n a_i_25__n dtack_sync_0_un1_n dtack_sync_0_un0_n \ +sm_amiga_d_0_0__un3_n RST_i sm_amiga_d_0_0__un1_n sm_amiga_d_0_0__un0_n \ +FPU_CS_INT_i bgack_030_int_0_un3_n CPU_SPACE_i bgack_030_int_0_un1_n \ +BGACK_030_INT_i bgack_030_int_0_un0_n AS_030_c bg_000_0_un3_n bg_000_0_un1_n \ +bg_000_0_un0_n DS_030_c as_030_000_sync_0_un3_n as_030_000_sync_0_un1_n \ +as_030_000_sync_0_un0_n fpu_cs_int_0_un3_n size_c_0__n fpu_cs_int_0_un1_n \ +fpu_cs_int_0_un0_n size_c_1__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n \ +a_c_0__n dsack_int_0_1__un0_n vpa_sync_0_un3_n vpa_sync_0_un1_n \ +vpa_sync_0_un0_n as_000_int_0_un3_n as_000_int_0_un1_n as_000_int_0_un0_n \ +ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n ipl_030_0_1__un3_n \ +ipl_030_0_1__un1_n ipl_030_0_1__un0_n ipl_030_0_0__un3_n ipl_030_0_0__un1_n \ +ipl_030_0_0__un0_n sm_amiga_d_0_2__un3_n a_c_16__n sm_amiga_d_0_2__un1_n \ +sm_amiga_d_0_2__un0_n a_c_17__n sm_amiga_d_0_1__un3_n sm_amiga_d_0_1__un1_n \ +a_c_18__n sm_amiga_d_0_1__un0_n lds_000_int_0_un3_n a_c_19__n \ +lds_000_int_0_un1_n lds_000_int_0_un0_n a_c_20__n uds_000_int_0_un3_n \ +uds_000_int_0_un1_n a_c_21__n uds_000_int_0_un0_n DSACK_1_.OE DTACK.OE \ +AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE G_128 \ +G_130 G_132 cpu_est_0_0_ CLK_OUT_PRE_0 G_122 G_123 G_124 +.names CLK_000_D_i.BLIF N_151_i.BLIF SM_AMIGA_4_.D +11 1 +.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D +0 1 +.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D +0 1 +.names N_116_i_1.BLIF N_150_i.BLIF SM_AMIGA_1_.D +11 1 +.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D +0 1 +.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D +1- 1 +-1 1 +.names inst_CLK_000_D.BLIF N_136_i.BLIF SM_AMIGA_7_.D +11 1 +.names N_137_i.BLIF N_138_i.BLIF SM_AMIGA_6_.D +11 1 +.names inst_CLK_000_D.BLIF N_139_i.BLIF SM_AMIGA_5_.D +11 1 +.names un1_clk_000_cnt_3__n.BLIF CLK_000_CNT_0_.D +0 1 +.names sm_amiga_d_0_0__un1_n.BLIF sm_amiga_d_0_0__un0_n.BLIF SM_AMIGA_D_0_.D +1- 1 +-1 1 +.names sm_amiga_d_0_1__un1_n.BLIF sm_amiga_d_0_1__un0_n.BLIF SM_AMIGA_D_1_.D +1- 1 +-1 1 +.names sm_amiga_d_0_2__un1_n.BLIF sm_amiga_d_0_2__un0_n.BLIF SM_AMIGA_D_2_.D +1- 1 +-1 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D +1- 1 +-1 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D +1- 1 +-1 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D +1- 1 +-1 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ +inst_AS_030_000_SYNC.D +1- 1 +-1 1 +.names vpa_sync_0_un1_n.BLIF vpa_sync_0_un0_n.BLIF inst_VPA_SYNC.D +1- 1 +-1 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D +1- 1 +-1 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INTreg.D +1- 1 +-1 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D +1- 1 +-1 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ +inst_BGACK_030_INTreg.D +1- 1 +-1 1 +.names dsack_int_0_1__un1_n.BLIF dsack_int_0_1__un0_n.BLIF DSACK_INT_1_.D +1- 1 +-1 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INTreg.D +1- 1 +-1 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INTreg.D +1- 1 +-1 1 +.names dtack_sync_0_un1_n.BLIF dtack_sync_0_un0_n.BLIF inst_DTACK_SYNC.D +1- 1 +-1 1 +.names fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF inst_FPU_CS_INTreg.D +1- 1 +-1 1 +.names state_machine_un14_as_000_int_n.BLIF inst_DTACK_DMA.D +0 1 +.names CLK_CNT_0_.BLIF CLK_CNT_0_.D +0 1 +.names gnd_n_n +.names vcc_n_n + 1 +.names CLK_000_CNT_0_.BLIF N_104_i.BLIF un1_clk_000_cnt_3__n +11 1 +.names AS_000_INT_i.BLIF dsack_i_1__n.BLIF state_machine_un14_as_000_int_n +11 1 +.names clk_exp_1.BLIF G_123.BLIF clk_exp +11 1 +.names N_123_0.BLIF N_123 +0 1 +.names N_148.BLIF N_148_i +0 1 +.names CLK_000_D_i.BLIF CLK_000_c.BLIF clk_rising_clk_amiga_1_n +11 1 +.names N_147.BLIF N_147_i +0 1 +.names N_147_i.BLIF N_148_i.BLIF VMA_INT_1_sqmuxa_0 +11 1 +.names N_170.BLIF N_170_i +0 1 +.names N_171.BLIF N_171_i +0 1 +.names DSACK_INT_1_sqmuxa_3.BLIF state_machine_un78_clk_000_d_n.BLIF \ +DSACK_INT_1_sqmuxa +11 1 +.names N_170_i.BLIF N_171_i.BLIF N_161_i +11 1 +.names N_120_i.BLIF N_120 +0 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_164_i +11 1 +.names CLK_000_i.BLIF N_119.BLIF N_144_1 +11 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_165_i +11 1 +.names N_251_5.BLIF N_251_6.BLIF N_251 +11 1 +.names N_168.BLIF N_168_i +0 1 +.names N_254_1.BLIF N_254_2.BLIF N_254 +11 1 +.names N_166.BLIF N_166_i +0 1 +.names CLK_000_CNT_2_.BLIF N_184.BLIF N_186 +11 1 +.names N_167.BLIF N_167_i +0 1 +.names CLK_000_CNT_3_.BLIF N_104_i.BLIF un1_clk_000_cnt_0__n +11 1 +.names N_169.BLIF N_169_i +0 1 +.names CLK_000_CNT_1_.BLIF un1_clk_000_cnt_3__n.BLIF N_184 +11 1 +.names clk_cpu_est_11_0_1_1__n.BLIF clk_cpu_est_11_0_2_1__n.BLIF \ +clk_cpu_est_11_0_1__n +11 1 +.names CLK_000_CNT_2_.BLIF N_104_i.BLIF un1_clk_000_cnt_1__n +11 1 +.names N_173.BLIF N_173_i +0 1 +.names CLK_000_CNT_1_.BLIF N_104_i.BLIF un1_clk_000_cnt_2__n +11 1 +.names N_172.BLIF N_172_i +0 1 +.names state_machine_un69_clk_000_d_0_n.BLIF state_machine_un69_clk_000_d_n +0 1 +.names N_174.BLIF N_174_i +0 1 +.names state_machine_un78_clk_000_d_0_n.BLIF state_machine_un78_clk_000_d_n +0 1 +.names clk_cpu_est_11_0_1_3__n.BLIF N_173_i.BLIF clk_cpu_est_11_0_3__n +11 1 +.names N_149_1.BLIF N_149_2_0.BLIF N_149 +11 1 +.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF N_121_i +11 1 +.names N_119_0.BLIF N_119 +0 1 +.names sm_amiga_i_3__n.BLIF sm_amiga_i_4__n.BLIF N_126_0 +11 1 +.names inst_CLK_000_D.BLIF CLK_000_i.BLIF N_135 +11 1 +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D.BLIF N_123_0 +11 1 +.names DTACK_SYNC_i.BLIF state_machine_un69_clk_000_d_n.BLIF \ +state_machine_un67_clk_000_d_n +11 1 +.names inst_CLK_000_D.BLIF N_120_i.BLIF N_122_0 +11 1 +.names VPA_SYNC_i.BLIF state_machine_un69_clk_000_d_n.BLIF \ +state_machine_un80_clk_000_d_n +11 1 +.names N_142.BLIF N_142_i +0 1 +.names N_132_1.BLIF sm_amiga_i_3__n.BLIF N_132 +11 1 +.names N_143.BLIF N_143_i +0 1 +.names N_131_1.BLIF sm_amiga_i_1__n.BLIF N_131 +11 1 +.names N_142_i.BLIF N_143_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names state_machine_un25_clk_000_d_i_n.BLIF state_machine_un25_clk_000_d_n +0 1 +.names N_141.BLIF N_141_i +0 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_150 +11 1 +.names N_140.BLIF N_140_i +0 1 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_151 +11 1 +.names N_140_i.BLIF N_141_i.BLIF sm_amiga_ns_0_4__n +11 1 +.names N_144_1.BLIF sm_amiga_i_2__n.BLIF N_144 +11 1 +.names N_144_1.BLIF SM_AMIGA_1_.BLIF N_146 +11 1 +.names LDS_000_INT_1_sqmuxa.BLIF LDS_000_INT_1_sqmuxa_i +0 1 +.names CLK_000_D_i.BLIF SM_AMIGA_2_.BLIF N_143 +11 1 +.names LDS_000_INT_1_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa.BLIF \ +un1_UDS_000_INT_0_sqmuxa_2_0 +11 1 +.names N_123.BLIF SM_AMIGA_0_.BLIF N_145 +11 1 +.names UDS_000_INT_0_sqmuxa.BLIF UDS_000_INT_0_sqmuxa_i +0 1 +.names state_machine_lds_000_int_8_0_n.BLIF state_machine_lds_000_int_8_n +0 1 +.names un1_UDS_000_INT_0_sqmuxa_i_1.BLIF UDS_000_INT_0_sqmuxa_i.BLIF \ +un1_UDS_000_INT_0_sqmuxa_i +11 1 +.names un1_UDS_000_INT_0_sqmuxa_2_0.BLIF un1_UDS_000_INT_0_sqmuxa_2 +0 1 +.names inst_AS_000_INTreg.BLIF SM_AMIGA_0_.BLIF N_124_0 +11 1 +.names state_machine_uds_000_int_8_0_n.BLIF state_machine_uds_000_int_8_n +0 1 +.names N_136.BLIF N_136_i +0 1 +.names AS_030_i.BLIF un1_UDS_000_INT_0_sqmuxa_2.BLIF LDS_000_INT_0_sqmuxa +11 1 +.names state_machine_un42_clk_030_4_n.BLIF state_machine_un42_clk_030_5_n.BLIF \ +state_machine_un42_clk_030_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF RISING_CLK_AMIGA_i +0 1 +.names un1_bg_030_0.BLIF un1_bg_030 +0 1 +.names BGACK_000_c.BLIF RISING_CLK_AMIGA_i.BLIF \ +state_machine_un4_bgack_000_0_n +11 1 +.names sm_amiga_i_6__n.BLIF sm_amiga_i_7__n.BLIF N_133 +11 1 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names state_machine_as_030_000_sync_3_2_n.BLIF \ +state_machine_as_030_000_sync_3_n +0 1 +.names BG_030_c_i.BLIF CLK_030_c.BLIF state_machine_un1_clk_030_0_n +11 1 +.names N_125_0.BLIF N_125 +0 1 +.names AS_030_i.BLIF CLK_030_i.BLIF state_machine_un17_clk_030_0_n +11 1 +.names N_125.BLIF sm_amiga_i_6__n.BLIF N_137 +11 1 +.names AS_030_i.BLIF state_machine_un42_clk_030_n.BLIF un1_as_030_2_0 +11 1 +.names AS_030_000_SYNC_i.BLIF inst_CLK_000_D.BLIF N_138 +11 1 +.names N_137.BLIF N_137_i +0 1 +.names AS_030_i.BLIF DSACK_INT_1_sqmuxa_i.BLIF DSACK_INT_1_sqmuxa_1 +11 1 +.names N_138.BLIF N_138_i +0 1 +.names un1_as_030_2_0.BLIF un1_as_030_2 +0 1 +.names state_machine_un17_clk_030_0_n.BLIF state_machine_un17_clk_030_n +0 1 +.names AS_030_000_SYNC_i.BLIF SM_AMIGA_6_.BLIF N_120_i +11 1 +.names state_machine_un1_clk_030_0_n.BLIF state_machine_un1_clk_030_n +0 1 +.names CLK_000_D_i.BLIF SM_AMIGA_7_.BLIF N_125_0 +11 1 +.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n +0 1 +.names state_machine_as_030_000_sync_3_2_1_n.BLIF \ +state_machine_un42_clk_030_i_n.BLIF state_machine_as_030_000_sync_3_2_n +11 1 +.names VPA_SYNC_1_sqmuxa_3.BLIF cpu_est_2_.BLIF VPA_SYNC_1_sqmuxa +11 1 +.names N_133.BLIF N_133_i +0 1 +.names AS_030_i.BLIF VPA_SYNC_1_sqmuxa_i.BLIF VPA_SYNC_1_sqmuxa_1 +11 1 +.names un1_bg_030_0_1.BLIF un1_bg_030_0_2.BLIF un1_bg_030_0 +11 1 +.names N_122_0.BLIF N_122 +0 1 +.names a_c_0__n.BLIF a_c_i_0__n +0 1 +.names AS_030_i.BLIF N_122.BLIF AS_000_INT_1_sqmuxa +11 1 +.names a_c_i_0__n.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF \ +state_machine_uds_000_int_8_0_n +11 1 +.names N_124.BLIF sm_amiga_i_7__n.BLIF N_136 +11 1 +.names state_machine_un25_clk_000_d_n.BLIF un1_UDS_000_INT_0_sqmuxa_2_0.BLIF \ +state_machine_lds_000_int_8_0_n +11 1 +.names N_124_0.BLIF N_124 +0 1 +.names N_151.BLIF N_151_i +0 1 +.names N_130_1.BLIF N_130_2.BLIF N_130 +11 1 +.names DS_030_i.BLIF RW_c.BLIF state_machine_un15_clk_000_d_n +11 1 +.names N_146.BLIF N_146_i +0 1 +.names un1_UDS_000_INT_0_sqmuxa_i.BLIF un1_UDS_000_INT_0_sqmuxa +0 1 +.names N_145.BLIF N_145_i +0 1 +.names UDS_000_INT_0_sqmuxa_1.BLIF UDS_000_INT_0_sqmuxa_2.BLIF \ +UDS_000_INT_0_sqmuxa +11 1 +.names N_145_i.BLIF N_146_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names N_139_i.BLIF state_machine_un15_clk_000_d_i_n.BLIF LDS_000_INT_1_sqmuxa +11 1 +.names N_144.BLIF N_144_i +0 1 +.names N_120.BLIF sm_amiga_i_5__n.BLIF N_139 +11 1 +.names N_150.BLIF N_150_i +0 1 +.names inst_CLK_000_D.BLIF N_126.BLIF N_140 +11 1 +.names N_126_0.BLIF N_126 +0 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names N_121_i.BLIF SM_AMIGA_3_.BLIF N_141 +11 1 +.names state_machine_un25_clk_000_d_i_1_n.BLIF size_c_i_1__n.BLIF \ +state_machine_un25_clk_000_d_i_n +11 1 +.names N_121_i.BLIF N_121 +0 1 +.names state_machine_un80_clk_000_d_n.BLIF state_machine_un80_clk_000_d_i_n +0 1 +.names N_142_1.BLIF SM_AMIGA_3_.BLIF N_142 +11 1 +.names state_machine_un67_clk_000_d_n.BLIF state_machine_un67_clk_000_d_i_n +0 1 +.names inst_CLK_000_D.BLIF SM_AMIGA_3_.BLIF VPA_SYNC_1_sqmuxa_1_0 +11 1 +.names state_machine_un67_clk_000_d_i_n.BLIF \ +state_machine_un80_clk_000_d_i_n.BLIF state_machine_un78_clk_000_d_0_n +11 1 +.names DTACK_SYNC_1_sqmuxa_1_0.BLIF VPA_SYNC_1_sqmuxa_1_0.BLIF \ +DTACK_SYNC_1_sqmuxa +11 1 +.names clk_rising_clk_amiga_1_n.BLIF clk_rising_clk_amiga_1_i_n +0 1 +.names AS_030_i.BLIF DTACK_SYNC_1_sqmuxa_i.BLIF DTACK_SYNC_1_sqmuxa_1 +11 1 +.names N_135.BLIF N_135_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_149_2 +11 1 +.names N_135_i.BLIF clk_rising_clk_amiga_1_i_n.BLIF N_104_i +11 1 +.names inst_CLK_000_D.BLIF CLK_000_DD_i.BLIF clk_un3_clk_000_dd_n +11 1 +.names N_149.BLIF N_149_i +0 1 +.names N_164_i.BLIF N_164 +0 1 +.names inst_DTACK_SYNC.BLIF N_149_i.BLIF N_119_0 +11 1 +.names N_171_1.BLIF cpu_est_i_2__n.BLIF N_171 +11 1 +.names CLK_000_CNT_1_.BLIF clk_000_cnt_i_1__n +0 1 +.names N_170_1.BLIF cpu_est_i_3__n.BLIF N_170 +11 1 +.names CLK_000_CNT_0_.BLIF clk_000_cnt_i_0__n +0 1 +.names clk_cpu_est_11_0_3__n.BLIF clk_cpu_est_11_3__n +0 1 +.names CLK_000_CNT_3_.BLIF clk_000_cnt_i_3__n +0 1 +.names N_174_1.BLIF cpu_est_i_2__n.BLIF N_174 +11 1 +.names CLK_000_CNT_2_.BLIF clk_000_cnt_i_2__n +0 1 +.names N_165.BLIF cpu_est_3_reg.BLIF N_172 +11 1 +.names state_machine_un69_clk_000_d_0_1_n.BLIF \ +state_machine_un69_clk_000_d_0_2_n.BLIF state_machine_un69_clk_000_d_0_n +11 1 +.names N_165_i.BLIF cpu_est_i_2__n.BLIF N_173 +11 1 +.names clk_000_cnt_i_0__n.BLIF clk_000_cnt_i_1__n.BLIF \ +state_machine_un69_clk_000_d_0_1_n +11 1 +.names clk_cpu_est_11_0_1__n.BLIF clk_cpu_est_11_1__n +0 1 +.names clk_000_cnt_i_2__n.BLIF clk_000_cnt_i_3__n.BLIF \ +state_machine_un69_clk_000_d_0_2_n +11 1 +.names N_149_2.BLIF cpu_est_3_reg.BLIF N_169 +11 1 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF state_machine_un25_clk_000_d_i_1_n +11 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_167 +11 1 +.names N_143_i.BLIF N_144_i.BLIF N_116_i_1 +11 1 +.names N_164.BLIF cpu_est_i_0__n.BLIF N_166 +11 1 +.names BG_030_c_i.BLIF CPU_SPACE_i.BLIF un1_bg_030_0_1 +11 1 +.names N_164_i.BLIF cpu_est_0_.BLIF N_168 +11 1 +.names AS_030_c.BLIF N_133_i.BLIF un1_bg_030_0_2 +11 1 +.names N_165_i.BLIF N_165 +0 1 +.names AS_030_i.BLIF CPU_SPACE_i.BLIF state_machine_as_030_000_sync_3_2_1_n +11 1 +.names VMA_INT_1_sqmuxa_0.BLIF VMA_INT_1_sqmuxa +0 1 +.names N_122.BLIF sm_amiga_i_5__n.BLIF un1_UDS_000_INT_0_sqmuxa_i_1 +11 1 +.names CLK_000_D_i.BLIF inst_VPA_SYNC.BLIF N_147 +11 1 +.names N_174_i.BLIF N_172_i.BLIF clk_cpu_est_11_0_1_3__n +11 1 +.names AS_000_INT_i.BLIF inst_CLK_000_D.BLIF N_148 +11 1 +.names N_169_i.BLIF N_167_i.BLIF clk_cpu_est_11_0_1_1__n +11 1 +.names RW_c.BLIF RW_i +0 1 +.names N_166_i.BLIF N_168_i.BLIF clk_cpu_est_11_0_2_1__n +11 1 +.names clk_exp.BLIF clk_exp_i +0 1 +.names a_i_24__n.BLIF a_i_25__n.BLIF N_251_1 +11 1 +.names inst_CLK_000_DD.BLIF CLK_000_DD_i +0 1 +.names a_i_26__n.BLIF a_i_27__n.BLIF N_251_2 +11 1 +.names inst_CLK_000_D.BLIF CLK_000_D_i +0 1 +.names a_i_28__n.BLIF a_i_29__n.BLIF N_251_3 +11 1 +.names inst_AS_000_INTreg.BLIF AS_000_INT_i +0 1 +.names a_i_30__n.BLIF a_i_31__n.BLIF N_251_4 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_251_1.BLIF N_251_2.BLIF N_251_5 +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names N_251_3.BLIF N_251_4.BLIF N_251_6 +11 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n +0 1 +.names a_c_20__n.BLIF a_c_21__n.BLIF N_254_1 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names a_c_22__n.BLIF a_c_23__n.BLIF N_254_2 +11 1 +.names N_149_2.BLIF N_149_2_i +0 1 +.names AS_030_000_SYNC_i.BLIF CLK_000_D_i.BLIF DSACK_INT_1_sqmuxa_1_0 +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names inst_CLK_OUT_PRE.BLIF SM_AMIGA_0_.BLIF DSACK_INT_1_sqmuxa_2 +11 1 +.names DTACK_c.BLIF DTACK_i +0 1 +.names DSACK_INT_1_sqmuxa_1_0.BLIF DSACK_INT_1_sqmuxa_2.BLIF \ +DSACK_INT_1_sqmuxa_3 +11 1 +.names inst_VPA_SYNC.BLIF VPA_SYNC_i +0 1 +.names N_149_2.BLIF VPA_SYNC_i.BLIF N_149_1 +11 1 +.names inst_DTACK_SYNC.BLIF DTACK_SYNC_i +0 1 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_149_2_0 +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_150.BLIF sm_amiga_i_0__n.BLIF N_132_1 +11 1 +.names DTACK_SYNC_1_sqmuxa.BLIF DTACK_SYNC_1_sqmuxa_i +0 1 +.names N_151.BLIF sm_amiga_i_0__n.BLIF N_131_1 +11 1 +.names DS_030_c.BLIF DS_030_i +0 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF state_machine_un42_clk_030_1_n +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF state_machine_un42_clk_030_2_n +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names fc_c_1__n.BLIF BGACK_000_c.BLIF state_machine_un42_clk_030_3_n +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names state_machine_un42_clk_030_1_n.BLIF state_machine_un42_clk_030_2_n.BLIF \ +state_machine_un42_clk_030_4_n +11 1 +.names N_139.BLIF N_139_i +0 1 +.names state_machine_un42_clk_030_3_n.BLIF fc_c_0__n.BLIF \ +state_machine_un42_clk_030_5_n +11 1 +.names state_machine_un15_clk_000_d_n.BLIF state_machine_un15_clk_000_d_i_n +0 1 +.names CLK_000_D_i.BLIF N_121.BLIF N_142_1 +11 1 +.names N_130.BLIF N_130_i +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_2__n.BLIF N_130_1 +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_6__n.BLIF N_130_2 +11 1 +.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n +0 1 +.names inst_CLK_000_D.BLIF DS_030_i.BLIF UDS_000_INT_0_sqmuxa_1 +11 1 +.names VPA_SYNC_1_sqmuxa.BLIF VPA_SYNC_1_sqmuxa_i +0 1 +.names RW_i.BLIF SM_AMIGA_4_.BLIF UDS_000_INT_0_sqmuxa_2 +11 1 +.names dsack_c_1__n.BLIF dsack_i_1__n +0 1 +.names DTACK_i.BLIF inst_VPA_D.BLIF DTACK_SYNC_1_sqmuxa_1_0 +11 1 +.names DSACK_INT_1_sqmuxa.BLIF DSACK_INT_1_sqmuxa_i +0 1 +.names N_164_i.BLIF VPA_D_i.BLIF VPA_SYNC_1_sqmuxa_1_1 +11 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names VPA_SYNC_1_sqmuxa_1_0.BLIF cpu_est_0_.BLIF VPA_SYNC_1_sqmuxa_2 +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names VPA_SYNC_1_sqmuxa_1_1.BLIF VPA_SYNC_1_sqmuxa_2.BLIF VPA_SYNC_1_sqmuxa_3 +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_171_1 +11 1 +.names CLK_030_c.BLIF CLK_030_i +0 1 +.names N_149_2_i.BLIF cpu_est_0_.BLIF N_170_1 +11 1 +.names state_machine_un42_clk_030_n.BLIF state_machine_un42_clk_030_i_n +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_174_1 +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names G_124.BLIF G_122.BLIF clk_exp_1 +11 1 +.names N_131.BLIF N_131_i +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_1__un3_n +0 1 +.names N_132.BLIF N_132_i +0 1 +.names clk_cpu_est_11_1__n.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_1__un1_n +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names VMA_INT_1_sqmuxa.BLIF vma_int_0_un3_n +0 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_1_sqmuxa.BLIF vma_int_0_un1_n +11 1 +.names CLK_000_c.BLIF CLK_000_i +0 1 +.names inst_CLK_000_D.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_3__un3_n +0 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names clk_cpu_est_11_3__n.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_3__un1_n +11 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names clk_un3_clk_000_dd_n.BLIF cpu_est_0_2__un3_n +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_161_i.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_2__un1_n +11 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names DTACK_SYNC_1_sqmuxa_1.BLIF dtack_sync_0_un3_n +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names inst_DTACK_SYNC.BLIF DTACK_SYNC_1_sqmuxa_1.BLIF dtack_sync_0_un1_n +11 1 +.names DTACK_SYNC_1_sqmuxa_i.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un0_n +11 1 +.names RST_c.BLIF sm_amiga_d_0_0__un3_n +0 1 +.names RST_c.BLIF RST_i +0 1 +.names N_130_i.BLIF RST_c.BLIF sm_amiga_d_0_0__un1_n +11 1 +.names SM_AMIGA_D_0_.BLIF sm_amiga_d_0_0__un3_n.BLIF sm_amiga_d_0_0__un0_n +11 1 +.names inst_FPU_CS_INTreg.BLIF FPU_CS_INT_i +0 1 +.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names CPU_SPACE_c.BLIF CPU_SPACE_i +0 1 +.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF \ +bgack_030_int_0_un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n +11 1 +.names state_machine_un1_clk_030_n.BLIF bg_000_0_un3_n +0 1 +.names un1_bg_030.BLIF state_machine_un1_clk_030_n.BLIF bg_000_0_un1_n +11 1 +.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names state_machine_un17_clk_030_n.BLIF as_030_000_sync_0_un3_n +0 1 +.names state_machine_as_030_000_sync_3_n.BLIF \ +state_machine_un17_clk_030_n.BLIF as_030_000_sync_0_un1_n +11 1 +.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n +11 1 +.names state_machine_un17_clk_030_n.BLIF fpu_cs_int_0_un3_n +0 1 +.names un1_as_030_2.BLIF state_machine_un17_clk_030_n.BLIF fpu_cs_int_0_un1_n +11 1 +.names inst_FPU_CS_INTreg.BLIF fpu_cs_int_0_un3_n.BLIF fpu_cs_int_0_un0_n +11 1 +.names DSACK_INT_1_sqmuxa_1.BLIF dsack_int_0_1__un3_n +0 1 +.names DSACK_INT_1_.BLIF DSACK_INT_1_sqmuxa_1.BLIF dsack_int_0_1__un1_n +11 1 +.names DSACK_INT_1_sqmuxa_i.BLIF dsack_int_0_1__un3_n.BLIF \ +dsack_int_0_1__un0_n +11 1 +.names VPA_SYNC_1_sqmuxa_1.BLIF vpa_sync_0_un3_n +0 1 +.names inst_VPA_SYNC.BLIF VPA_SYNC_1_sqmuxa_1.BLIF vpa_sync_0_un1_n +11 1 +.names VPA_SYNC_1_sqmuxa_i.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n +11 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 +.names inst_AS_000_INTreg.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names N_122.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_2__un3_n +0 1 +.names ipl_c_2__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_2__un1_n +11 1 +.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_1__un3_n +0 1 +.names ipl_c_1__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_1__un1_n +11 1 +.names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names inst_RISING_CLK_AMIGA.BLIF ipl_030_0_0__un3_n +0 1 +.names ipl_c_0__n.BLIF inst_RISING_CLK_AMIGA.BLIF ipl_030_0_0__un1_n +11 1 +.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names RST_c.BLIF sm_amiga_d_0_2__un3_n +0 1 +.names N_132_i.BLIF RST_c.BLIF sm_amiga_d_0_2__un1_n +11 1 +.names SM_AMIGA_D_2_.BLIF sm_amiga_d_0_2__un3_n.BLIF sm_amiga_d_0_2__un0_n +11 1 +.names RST_c.BLIF sm_amiga_d_0_1__un3_n +0 1 +.names N_131_i.BLIF RST_c.BLIF sm_amiga_d_0_1__un1_n +11 1 +.names SM_AMIGA_D_1_.BLIF sm_amiga_d_0_1__un3_n.BLIF sm_amiga_d_0_1__un0_n +11 1 +.names LDS_000_INT_0_sqmuxa.BLIF lds_000_int_0_un3_n +0 1 +.names inst_LDS_000_INTreg.BLIF LDS_000_INT_0_sqmuxa.BLIF lds_000_int_0_un1_n +11 1 +.names state_machine_lds_000_int_8_n.BLIF lds_000_int_0_un3_n.BLIF \ +lds_000_int_0_un0_n +11 1 +.names LDS_000_INT_0_sqmuxa.BLIF uds_000_int_0_un3_n +0 1 +.names inst_UDS_000_INTreg.BLIF LDS_000_INT_0_sqmuxa.BLIF uds_000_int_0_un1_n +11 1 +.names state_machine_uds_000_int_8_n.BLIF uds_000_int_0_un3_n.BLIF \ +uds_000_int_0_un0_n +11 1 +.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ +1 1 +0 0 +.names inst_AS_000_INTreg.BLIF AS_000 +1 1 +0 0 +.names inst_UDS_000_INTreg.BLIF UDS_000 +1 1 +0 0 +.names inst_LDS_000_INTreg.BLIF LDS_000 +1 1 +0 0 +.names gnd_n_n.BLIF BERR +1 1 +0 0 +.names BG_000DFFSHreg.BLIF BG_000 +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +0 0 +.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +0 0 +.names clk_exp_i.BLIF CLK_EXP +1 1 +0 0 +.names inst_FPU_CS_INTreg.BLIF FPU_CS +1 1 +0 0 +.names vcc_n_n.BLIF AVEC +1 1 +0 0 +.names gnd_n_n.BLIF AVEC_EXP +1 1 +0 0 +.names cpu_est_3_reg.BLIF E +1 1 +0 0 +.names inst_VMA_INTreg.BLIF VMA +1 1 +0 0 +.names RESETDFFreg.BLIF RESET +1 1 +0 0 +.names gnd_n_n.BLIF AMIGA_BUS_ENABLE +1 1 +0 0 +.names RW_i.BLIF AMIGA_BUS_DATA_DIR +1 1 +0 0 +.names vcc_n_n.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +0 0 +.names N_254.BLIF CIIN +1 1 +0 0 +.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ +1 1 +0 0 +.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_4_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_3_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_2_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_1_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_0_.AR +1 1 +0 0 +.names cpu_est_0_0_.BLIF cpu_est_0_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_0_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF cpu_est_3_reg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_7_.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_6_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_0_.C +1 1 +0 0 +.names G_128.BLIF CLK_000_CNT_1_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_1_.C +1 1 +0 0 +.names G_130.BLIF CLK_000_CNT_2_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_2_.C +1 1 +0 0 +.names G_132.BLIF CLK_000_CNT_3_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_CNT_3_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_0_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_1_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_D_2_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C +1 1 +0 0 +.names RST_i.BLIF IPL_030DFFSH_0_reg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C +1 1 +0 0 +.names RST_i.BLIF IPL_030DFFSH_1_reg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C +1 1 +0 0 +.names RST_i.BLIF IPL_030DFFSH_2_reg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +0 0 +.names RST_i.BLIF inst_AS_030_000_SYNC.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_VPA_SYNC.C +1 1 +0 0 +.names RST_i.BLIF inst_VPA_SYNC.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +1 1 +0 0 +.names RST_i.BLIF BG_000DFFSHreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_AS_000_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_AS_000_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_VMA_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_BGACK_030_INTreg.AP +1 1 +0 0 +.names CLK_OUT_PRE_0.BLIF inst_CLK_OUT_PRE.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF DSACK_INT_1_.C +1 1 +0 0 +.names RST_i.BLIF DSACK_INT_1_.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_UDS_000_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_UDS_000_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_LDS_000_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_LDS_000_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DTACK_SYNC.C +1 1 +0 0 +.names RST_i.BLIF inst_DTACK_SYNC.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_FPU_CS_INTreg.C +1 1 +0 0 +.names RST_i.BLIF inst_FPU_CS_INTreg.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DTACK_DMA.C +1 1 +0 0 +.names RST_i.BLIF inst_DTACK_DMA.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_CNT_0_.C +1 1 +0 0 +.names clk_rising_clk_amiga_1_n.BLIF inst_RISING_CLK_AMIGA.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RISING_CLK_AMIGA.C +1 1 +0 0 +.names VPA.BLIF inst_VPA_D.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +0 0 +.names CLK_000_c.BLIF inst_CLK_000_D.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_D.C +1 1 +0 0 +.names RST_c.BLIF RESETDFFreg.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF RESETDFFreg.C +1 1 +0 0 +.names inst_CLK_000_D.BLIF inst_CLK_000_DD.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_DD.C +1 1 +0 0 +.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_INTreg.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_INTreg.C +1 1 +0 0 +.names DSACK_INT_1_.BLIF DSACK_1_ +1 1 +0 0 +.names inst_DTACK_DMA.BLIF DTACK +1 1 +0 0 +.names vcc_n_n.BLIF DSACK_0_ +1 1 +0 0 +.names A_15_.BLIF a_15__n +1 1 +0 0 +.names A_22_.BLIF a_c_22__n +1 1 +0 0 +.names A_14_.BLIF a_14__n +1 1 +0 0 +.names A_23_.BLIF a_c_23__n +1 1 +0 0 +.names A_13_.BLIF a_13__n +1 1 +0 0 +.names A_24_.BLIF a_c_24__n +1 1 +0 0 +.names A_12_.BLIF a_12__n +1 1 +0 0 +.names A_25_.BLIF a_c_25__n +1 1 +0 0 +.names A_11_.BLIF a_11__n +1 1 +0 0 +.names A_26_.BLIF a_c_26__n +1 1 +0 0 +.names A_10_.BLIF a_10__n +1 1 +0 0 +.names A_27_.BLIF a_c_27__n +1 1 +0 0 +.names A_9_.BLIF a_9__n +1 1 +0 0 +.names A_28_.BLIF a_c_28__n +1 1 +0 0 +.names A_8_.BLIF a_8__n +1 1 +0 0 +.names A_29_.BLIF a_c_29__n +1 1 +0 0 +.names A_7_.BLIF a_7__n +1 1 +0 0 +.names A_30_.BLIF a_c_30__n +1 1 +0 0 +.names A_6_.BLIF a_6__n +1 1 +0 0 +.names A_31_.BLIF a_c_31__n +1 1 +0 0 +.names A_5_.BLIF a_5__n +1 1 +0 0 +.names CPU_SPACE.BLIF CPU_SPACE_c +1 1 +0 0 +.names A_4_.BLIF a_4__n +1 1 +0 0 +.names BG_030.BLIF BG_030_c +1 1 +0 0 +.names A_3_.BLIF a_3__n +1 1 +0 0 +.names A_2_.BLIF a_2__n +1 1 +0 0 +.names A_1_.BLIF a_1__n +1 1 +0 0 +.names BGACK_000.BLIF BGACK_000_c +1 1 +0 0 +.names CLK_030.BLIF CLK_030_c +1 1 +0 0 +.names CLK_000.BLIF CLK_000_c +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +0 0 +.names IPL_0_.BLIF ipl_c_0__n +1 1 +0 0 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +0 0 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +0 0 +.names DSACK_1_.PIN.BLIF dsack_c_1__n +1 1 +0 0 +.names DTACK.PIN.BLIF DTACK_c +1 1 +0 0 +.names RST.BLIF RST_c +1 1 +0 0 +.names RW.BLIF RW_c +1 1 +0 0 +.names FC_0_.BLIF fc_c_0__n +1 1 +0 0 +.names FC_1_.BLIF fc_c_1__n +1 1 +0 0 +.names AS_030.BLIF AS_030_c +1 1 +0 0 +.names DS_030.BLIF DS_030_c +1 1 +0 0 +.names SIZE_0_.BLIF size_c_0__n +1 1 +0 0 +.names SIZE_1_.BLIF size_c_1__n +1 1 +0 0 +.names A_0_.BLIF a_c_0__n +1 1 +0 0 +.names A_16_.BLIF a_c_16__n +1 1 +0 0 +.names A_17_.BLIF a_c_17__n +1 1 +0 0 +.names A_18_.BLIF a_c_18__n +1 1 +0 0 +.names A_19_.BLIF a_c_19__n +1 1 +0 0 +.names A_20_.BLIF a_c_20__n +1 1 +0 0 +.names A_21_.BLIF a_c_21__n +1 1 +0 0 +.names CPU_SPACE_i.BLIF DSACK_1_.OE +1 1 +0 0 +.names BGACK_030_INT_i.BLIF DTACK.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF AS_000.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF UDS_000.OE +1 1 +0 0 +.names inst_BGACK_030_INTreg.BLIF LDS_000.OE +1 1 +0 0 +.names FPU_CS_INT_i.BLIF BERR.OE +1 1 +0 0 +.names CPU_SPACE_i.BLIF DSACK_0_.OE +1 1 +0 0 +.names FPU_CS_INT_i.BLIF AVEC_EXP.OE +1 1 +0 0 +.names N_251.BLIF CIIN.OE +1 1 +0 0 +.names un1_clk_000_cnt_3__n.BLIF un1_clk_000_cnt_2__n.BLIF G_128 +01 1 +10 1 +11 0 +00 0 +.names N_184.BLIF un1_clk_000_cnt_1__n.BLIF G_130 +01 1 +10 1 +11 0 +00 0 +.names N_186.BLIF un1_clk_000_cnt_0__n.BLIF G_132 +01 1 +10 1 +11 0 +00 0 +.names cpu_est_0_.BLIF clk_un3_clk_000_dd_n.BLIF cpu_est_0_0_ +01 1 +10 1 +11 0 +00 0 +.names inst_CLK_OUT_PRE.BLIF CLK_CNT_0_.BLIF CLK_OUT_PRE_0 +01 1 +10 1 +11 0 +00 0 +.names SM_AMIGA_D_0_.BLIF N_130.BLIF G_122 +01 1 +10 1 +11 0 +00 0 +.names SM_AMIGA_D_1_.BLIF N_131.BLIF G_123 +01 1 +10 1 +11 0 +00 0 +.names SM_AMIGA_D_2_.BLIF N_132.BLIF G_124 +01 1 +10 1 +11 0 +00 0 +.end diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi new file mode 100644 index 0000000..0b534fb --- /dev/null +++ b/Logic/BUS68030.edi @@ -0,0 +1,2927 @@ +(edif BUS68030 + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timeStamp 2014 5 15 19 20 48) + (author "Synopsys, Inc.") + (program "Synplify Pro" (version "G-2012.09LC-SP1 , mapper maplat, Build 621R")) + ) + ) + (external mach + (edifLevel 0) + (technology (numberDefinition )) + (cell AND2 (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + ) + ) + ) + (cell BI_DIR (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port IO (direction INOUT)) + (port OE (direction INPUT)) + ) + ) + ) + (cell BUFTH (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port OE (direction INPUT)) + ) + ) + ) + (cell DFF (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port CLK (direction INPUT)) + ) + ) + ) + (cell DFFRH (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port CLK (direction INPUT)) + (port R (direction INPUT)) + ) + ) + ) + (cell DFFSH (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port Q (direction OUTPUT)) + (port D (direction INPUT)) + (port CLK (direction INPUT)) + (port S (direction INPUT)) + ) + ) + ) + (cell IBUF (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + ) + ) + ) + (cell INV (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + ) + ) + ) + (cell OBUF (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + ) + ) + ) + (cell OR2 (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + ) + ) + ) + (cell XOR2 (cellType GENERIC) + (view prim (viewType NETLIST) + (interface + (port O (direction OUTPUT)) + (port I0 (direction INPUT)) + (port I1 (direction INPUT)) + ) + ) + ) + ) + (library work + (edifLevel 0) + (technology (numberDefinition )) + (cell BUS68030 (cellType GENERIC) + (view behavioral (viewType NETLIST) + (interface + (port (array (rename size "SIZE(1:0)") 2) (direction INPUT)) + (port (array (rename a "A(31:0)") 32) (direction INPUT)) + (port (array (rename ipl_030 "IPL_030(2:0)") 3) (direction OUTPUT)) + (port (array (rename ipl "IPL(2:0)") 3) (direction INPUT)) + (port (array (rename dsack "DSACK(1:0)") 2) (direction INOUT)) + (port (array (rename fc "FC(1:0)") 2) (direction INPUT)) + (port AS_030 (direction INPUT)) + (port AS_000 (direction OUTPUT)) + (port DS_030 (direction INPUT)) + (port UDS_000 (direction OUTPUT)) + (port LDS_000 (direction OUTPUT)) + (port CPU_SPACE (direction INPUT)) + (port BERR (direction OUTPUT)) + (port BG_030 (direction INPUT)) + (port BG_000 (direction OUTPUT)) + (port BGACK_030 (direction OUTPUT)) + (port BGACK_000 (direction INPUT)) + (port CLK_030 (direction INPUT)) + (port CLK_000 (direction INPUT)) + (port CLK_OSZI (direction INPUT)) + (port CLK_DIV_OUT (direction OUTPUT)) + (port CLK_EXP (direction OUTPUT)) + (port FPU_CS (direction OUTPUT)) + (port DTACK (direction INOUT)) + (port AVEC (direction OUTPUT)) + (port AVEC_EXP (direction OUTPUT)) + (port E (direction OUTPUT)) + (port VPA (direction INPUT)) + (port VMA (direction OUTPUT)) + (port RST (direction INPUT)) + (port RESET (direction OUTPUT)) + (port RW (direction INPUT)) + (port AMIGA_BUS_ENABLE (direction OUTPUT)) + (port AMIGA_BUS_DATA_DIR (direction OUTPUT)) + (port AMIGA_BUS_ENABLE_LOW (direction OUTPUT)) + (port CIIN (direction OUTPUT)) + ) + (contents + (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_3 "SM_AMIGA[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_2 "SM_AMIGA[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_1 "SM_AMIGA[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_0 "SM_AMIGA[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename cpu_est_0 "cpu_est[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename cpu_est_1 "cpu_est[1]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename cpu_est_2 "cpu_est[2]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename SM_AMIGA_7 "SM_AMIGA[7]") (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename CLK_000_CNT_0 "CLK_000_CNT[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_CNT_1 "CLK_000_CNT[1]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_CNT_2 "CLK_000_CNT[2]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_CNT_3 "CLK_000_CNT[3]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename SM_AMIGA_D_0 "SM_AMIGA_D[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename SM_AMIGA_D_1 "SM_AMIGA_D[1]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename SM_AMIGA_D_2 "SM_AMIGA_D[2]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename IPL_030DFFSH_0 "IPL_030DFFSH[0]") (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance (rename IPL_030DFFSH_1 "IPL_030DFFSH[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance (rename IPL_030DFFSH_2 "IPL_030DFFSH[2]") (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance AS_030_000_SYNC (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance VPA_SYNC (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance BG_000DFFSH (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance AS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance VMA_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance BGACK_030_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance CLK_OUT_PRE (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename DSACK_INT_1 "DSACK_INT[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance UDS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance LDS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance DTACK_SYNC (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance FPU_CS_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance DTACK_DMA (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance (rename CLK_CNT_0 "CLK_CNT[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RISING_CLK_AMIGA (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance VPA_D (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance CLK_000_D (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RESETDFF (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance CLK_000_DD (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance CLK_OUT_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance AS_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance AS_000 (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance DS_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance UDS_000 (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance LDS_000 (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance (rename SIZE_0 "SIZE[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename SIZE_1 "SIZE[1]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_0 "A[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_16 "A[16]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_17 "A[17]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_18 "A[18]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_19 "A[19]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_20 "A[20]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_21 "A[21]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_22 "A[22]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_23 "A[23]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_24 "A[24]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_25 "A[25]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_26 "A[26]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_27 "A[27]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_28 "A[28]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_29 "A[29]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_30 "A[30]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename A_31 "A[31]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance CPU_SPACE (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance BERR (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance BG_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance BG_000 (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance BGACK_030 (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance BGACK_000 (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance CLK_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance CLK_000 (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance CLK_OSZI (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance CLK_DIV_OUT (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance CLK_EXP (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance FPU_CS (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance (rename IPL_030_0 "IPL_030[0]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance (rename IPL_030_1 "IPL_030[1]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance (rename IPL_030_2 "IPL_030[2]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance (rename IPL_0 "IPL[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename IPL_1 "IPL[1]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename IPL_2 "IPL[2]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename DSACK_0 "DSACK[0]") (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance (rename DSACK_1 "DSACK[1]") (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) + (instance DTACK (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) + (instance AVEC (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance AVEC_EXP (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance E (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance VPA (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance VMA (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance RST (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance RESET (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance RW (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename FC_0 "FC[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance (rename FC_1 "FC[1]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) + (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_1_1_3 "clk.cpu_est_11_0_a4_1_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_1_3 "clk.cpu_est_11_0_a4_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_125_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_125 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un9_i_a3_2_2 "un9_i_a3_2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un9_i_a3_2 "un9_i_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance UDS_000_INT_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance UDS_000_INT_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance UDS_000_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DTACK_SYNC_1_sqmuxa_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DTACK_SYNC_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_SYNC_1_sqmuxa_1_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_SYNC_1_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_SYNC_1_sqmuxa_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_SYNC_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_i_a4_0_1_2 "clk.cpu_est_11_i_a4_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_i_a4_0_2 "clk.cpu_est_11_i_a4_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_i_a4_1_2 "clk.cpu_est_11_i_a4_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_i_a4_2 "clk.cpu_est_11_i_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a2_0_2_0_6 "SM_AMIGA_ns_i_a2_0_2_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a2_0_6 "SM_AMIGA_ns_i_a2_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un9_i_a3_1_0 "un9_i_a3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un9_i_a3_0 "un9_i_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un9_i_a3_1_1 "un9_i_a3_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un9_i_a3_1 "un9_i_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un42_clk_030_1 "state_machine.un42_clk_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un42_clk_030_2 "state_machine.un42_clk_030_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un42_clk_030_3 "state_machine.un42_clk_030_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un42_clk_030_4 "state_machine.un42_clk_030_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un42_clk_030_5 "state_machine.un42_clk_030_5") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un42_clk_030 "state_machine.un42_clk_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_1_5 "SM_AMIGA_ns_a3_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_5 "SM_AMIGA_ns_a3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un9_i_a3_1_2 "un9_i_a3_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un4_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un4_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un4_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK_INT_1_sqmuxa_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK_INT_1_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK_INT_1_sqmuxa_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a2_0_1_6 "SM_AMIGA_ns_i_a2_0_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un25_clk_000_d "state_machine.un25_clk_000_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_1_6 "SM_AMIGA_ns_i_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_6 "SM_AMIGA_ns_i[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_bg_030_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_bg_030_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_bg_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_AS_030_000_SYNC_3_1 "state_machine.AS_030_000_SYNC_3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_AS_030_000_SYNC_3 "state_machine.AS_030_000_SYNC_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_UDS_000_INT_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_UDS_000_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_1_3 "clk.cpu_est_11_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_3 "clk.cpu_est_11_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_1_1 "clk.cpu_est_11_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_2_1 "clk.cpu_est_11_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_1 "clk.cpu_est_11_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un67_clk_000_d_i "state_machine.un67_clk_000_d_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un78_clk_000_d_i "state_machine.un78_clk_000_d_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_RISING_CLK_AMIGA_1_i "clk.RISING_CLK_AMIGA_1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_135_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_149_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_i_6 "SM_AMIGA_ns_i_o2_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_CNT_i_1 "CLK_000_CNT_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_CNT_i_0 "CLK_000_CNT_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_CNT_i_3 "CLK_000_CNT_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_CNT_i_2 "CLK_000_CNT_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance G_150_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance G_150_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_150_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_150 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un25_clk_000_d_1 "state_machine.un25_clk_000_d_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_AS_030_000_SYNC_3_i "state_machine.AS_030_000_SYNC_3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_133_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_bg_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_c_i_0 "A_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_UDS_000_INT_8_i "state_machine.UDS_000_INT_8_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_LDS_000_INT_8_i "state_machine.LDS_000_INT_8_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_151_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_146_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_145_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_7 "SM_AMIGA_ns_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_144_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_150_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un25_clk_000_d_i_0 "state_machine.un25_clk_000_d_i_0") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un80_clk_000_d_i "state_machine.un80_clk_000_d_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_UDS_000_INT_0_sqmuxa_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_UDS_000_INT_0_sqmuxa_i_0 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_i_0 "SM_AMIGA_ns_i_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_136_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RISING_CLK_AMIGA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un4_bgack_000_i "state_machine.un4_bgack_000_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un1_clk_030_i "state_machine.un1_clk_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un17_clk_030_i "state_machine.un17_clk_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_as_030_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_137_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_138_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_i_2 "SM_AMIGA_ns_i_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_i_1 "SM_AMIGA_ns_i_o2_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_173_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_172_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_174_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_i_3 "clk.cpu_est_11_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o2_i_5 "SM_AMIGA_ns_o2_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o2_i_4 "SM_AMIGA_ns_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un9_clk_000_d_i_o3_i "state_machine.un9_clk_000_d_i_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_142_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_143_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_5 "SM_AMIGA_ns_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_141_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_140_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_4 "SM_AMIGA_ns_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_148_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_147_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_1_sqmuxa_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_170_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_171_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_o4_i_1 "clk.cpu_est_11_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_o4_i_3 "clk.cpu_est_11_0_o4_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_168_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_166_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_167_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_169_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_i_1 "clk.cpu_est_11_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_1__r "cpu_est_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance RW_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance clk_exp_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_1_1 "clk.cpu_est_11_0_a4_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_0_1 "clk.cpu_est_11_0_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_1 "clk.cpu_est_11_0_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VMA_INT_1_sqmuxa_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_1_sqmuxa_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_1_sqmuxa_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_DD_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_un3_clk_000_dd "clk.un3_clk_000_dd") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_est_0_2__r "cpu_est_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_2__m "cpu_est_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_2__n "cpu_est_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_2__p "cpu_est_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DTACK_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o2_5 "SM_AMIGA_ns_o2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_169 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_0 "cpu_est_0[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a2_0_2_6 "SM_AMIGA_ns_i_a2_0_2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_149_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_o4_3 "clk.cpu_est_11_0_o4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_o4_1 "clk.cpu_est_11_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_i_2 "clk.cpu_est_11_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_0_3 "clk.cpu_est_11_0_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_3 "clk.cpu_est_11_0_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_cpu_est_11_0_a4_2_1 "clk.cpu_est_11_0_a4_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_2 "SM_AMIGA_ns_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_4 "SM_AMIGA_ns[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_5 "SM_AMIGA_ns[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un9_clk_000_d_i_o3 "state_machine.un9_clk_000_d_i_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o2_4 "SM_AMIGA_ns_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_SYNC_1_sqmuxa_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_122 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename DTACK_SYNC_0_r "DTACK_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DTACK_SYNC_0_m "DTACK_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DTACK_SYNC_0_n "DTACK_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DTACK_SYNC_0_p "DTACK_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance AS_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DTACK_SYNC_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DTACK_SYNC_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_0 "SM_AMIGA_ns_i[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_0 "SM_AMIGA_ns_i_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_130_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_0__r "SM_AMIGA_D_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_0__m "SM_AMIGA_D_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_0__n "SM_AMIGA_D_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_0__p "SM_AMIGA_D_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename state_machine_un15_clk_000_d "state_machine.un15_clk_000_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_139_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un15_clk_000_d_i "state_machine.un15_clk_000_d_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_UDS_000_INT_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a3_2 "SM_AMIGA_ns_i_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_4 "SM_AMIGA_ns_a3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_0_4 "SM_AMIGA_ns_a3_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a2_3 "SM_AMIGA_ns_i_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename FPU_CS_INT_0_r "FPU_CS_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename FPU_CS_INT_0_m "FPU_CS_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename FPU_CS_INT_0_n "FPU_CS_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename FPU_CS_INT_0_p "FPU_CS_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DSACK_INT_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DSACK_INT_0_1__r "DSACK_INT_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DSACK_INT_0_1__m "DSACK_INT_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK_INT_0_1__n "DSACK_INT_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK_INT_0_1__p "DSACK_INT_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename VPA_SYNC_0_r "VPA_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VPA_SYNC_0_m "VPA_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VPA_SYNC_0_n "VPA_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VPA_SYNC_0_p "VPA_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_170 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un14_as_000_int "state_machine.un14_as_000_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_SYNC_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_SYNC_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a3_0 "SM_AMIGA_ns_i_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a3_1 "SM_AMIGA_ns_i_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un5_clk_030_i_a3 "state_machine.un5_clk_030_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK_INT_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un42_clk_030_i "state_machine.un42_clk_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_as_030_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un17_clk_030 "state_machine.un17_clk_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un1_clk_030 "state_machine.un1_clk_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un4_bgack_000 "state_machine.un4_bgack_000") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_0_5 "SM_AMIGA_ns_a3_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_LDS_000_INT_8 "state_machine.LDS_000_INT_8") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_UDS_000_INT_8 "state_machine.UDS_000_INT_8") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance LDS_000_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_132_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_2__r "SM_AMIGA_D_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_2__m "SM_AMIGA_D_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_2__n "SM_AMIGA_D_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_2__p "SM_AMIGA_D_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_131_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_1__r "SM_AMIGA_D_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_1__m "SM_AMIGA_D_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_1__n "SM_AMIGA_D_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_D_0_1__p "SM_AMIGA_D_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_1 "SM_AMIGA_ns_i_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_2 "SM_AMIGA_ns_i_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_1 "SM_AMIGA_ns_i[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a3_0_1 "SM_AMIGA_ns_i_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un67_clk_000_d "state_machine.un67_clk_000_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un80_clk_000_d "state_machine.un80_clk_000_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_131 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_129 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_124 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_123 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a3_6 "SM_AMIGA_ns_i_a3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_0_7 "SM_AMIGA_ns_a3_0[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_7 "SM_AMIGA_ns[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_3 "SM_AMIGA_ns_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a2_6 "SM_AMIGA_ns_i_a2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_7 "SM_AMIGA_ns_a3[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_132 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_130 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_128 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename un1_CLK_000_CNT_0 "un1_CLK_000_CNT[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_CLK_000_CNT_1 "un1_CLK_000_CNT[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_CLK_000_CNT_2 "un1_CLK_000_CNT[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_CLK_000_CNT_3 "un1_CLK_000_CNT[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_OUT_PRE_0 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a3_0_1_7 "SM_AMIGA_ns_a3_0_1[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o2_6 "SM_AMIGA_ns_i_o2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_un1_clk_000_i "clk.un1_clk_000_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename clk_un1_clk_000_i_a3 "clk.un1_clk_000_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_RISING_CLK_AMIGA_1_0_a3 "clk.RISING_CLK_AMIGA_1_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un78_clk_000_d "state_machine.un78_clk_000_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un14_as_000_int_i "state_machine.un14_as_000_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_CNT_i_0 "CLK_CNT_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_CLK_000_CNT_i_3 "un1_CLK_000_CNT_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CPU_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance FPU_CS_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (net BGACK_030_INT (joined + (portRef Q (instanceRef BGACK_030_INT)) + (portRef I0 (instanceRef BGACK_030_INT_i)) + (portRef I0 (instanceRef BGACK_030_INT_0_n)) + (portRef OE (instanceRef AS_000)) + (portRef I0 (instanceRef BGACK_030)) + (portRef OE (instanceRef LDS_000)) + (portRef OE (instanceRef UDS_000)) + )) + (net CLK_OUT_INT (joined + (portRef Q (instanceRef CLK_OUT_INT)) + (portRef I0 (instanceRef CLK_DIV_OUT)) + )) + (net FPU_CS_INT (joined + (portRef Q (instanceRef FPU_CS_INT)) + (portRef I0 (instanceRef FPU_CS_INT_i)) + (portRef I0 (instanceRef FPU_CS_INT_0_n)) + (portRef I0 (instanceRef FPU_CS)) + )) + (net (rename cpu_est_3 "cpu_est[3]") (joined + (portRef Q (instanceRef cpu_est_3)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_2_1)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_3)) + (portRef I0 (instanceRef cpu_est_0_3__n)) + (portRef I0 (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a2_0_2_0_6)) + (portRef I0 (instanceRef E)) + )) + (net VMA_INT (joined + (portRef Q (instanceRef VMA_INT)) + (portRef I0 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA)) + )) + (net GND (joined + (portRef I0 (instanceRef AMIGA_BUS_ENABLE)) + (portRef I0 (instanceRef AVEC_EXP)) + (portRef I0 (instanceRef BERR)) + )) + (net (rename cpu_est_1 "cpu_est[1]") (joined + (portRef Q (instanceRef cpu_est_1)) + (portRef I1 (instanceRef clk_cpu_est_11_0_o4_3)) + (portRef I0 (instanceRef cpu_est_i_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a2_0_2_6)) + (portRef I0 (instanceRef cpu_est_0_1__n)) + (portRef I0 (instanceRef clk_cpu_est_11_i_a4_0_1_2)) + )) + (net AS_000_INT (joined + (portRef Q (instanceRef AS_000_INT)) + (portRef I0 (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_0)) + (portRef I0 (instanceRef state_machine_un9_clk_000_d_i_o3)) + (portRef I0 (instanceRef AS_000_INT_i)) + (portRef I0 (instanceRef AS_000)) + )) + (net AS_030_000_SYNC (joined + (portRef Q (instanceRef AS_030_000_SYNC)) + (portRef I0 (instanceRef AS_030_000_SYNC_i)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) + )) + (net DTACK_SYNC (joined + (portRef Q (instanceRef DTACK_SYNC)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_6)) + (portRef I0 (instanceRef DTACK_SYNC_0_m)) + (portRef I0 (instanceRef SM_AMIGA_ns_o2_5)) + (portRef I0 (instanceRef DTACK_SYNC_i)) + )) + (net VPA_D (joined + (portRef Q (instanceRef VPA_D)) + (portRef I0 (instanceRef VPA_D_i)) + (portRef I1 (instanceRef DTACK_SYNC_1_sqmuxa_1_0)) + )) + (net VPA_SYNC (joined + (portRef Q (instanceRef VPA_SYNC)) + (portRef I0 (instanceRef VPA_SYNC_0_m)) + (portRef I0 (instanceRef VPA_SYNC_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_o2_5)) + (portRef I1 (instanceRef VMA_INT_1_sqmuxa_0_a3)) + )) + (net CLK_000_D (joined + (portRef Q (instanceRef CLK_000_D)) + (portRef I0 (instanceRef clk_un1_clk_000_i_a3)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a3_0_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_4)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_0)) + (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1_0)) + (portRef I1 (instanceRef state_machine_un9_clk_000_d_i_o3)) + (portRef I0 (instanceRef un1_SM_AMIGA_i_o2)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_2)) + (portRef I0 (instanceRef clk_un3_clk_000_dd)) + (portRef I0 (instanceRef CLK_000_D_i)) + (portRef I1 (instanceRef VMA_INT_1_sqmuxa_0_a3_0)) + (portRef I0 (instanceRef VMA_INT_0_n)) + (portRef I0 (instanceRef UDS_000_INT_0_sqmuxa_1)) + (portRef D (instanceRef CLK_000_DD)) + )) + (net CLK_000_DD (joined + (portRef Q (instanceRef CLK_000_DD)) + (portRef I0 (instanceRef CLK_000_DD_i)) + )) + (net CLK_OUT_PRE (joined + (portRef Q (instanceRef CLK_OUT_PRE)) + (portRef I1 (instanceRef CLK_OUT_PRE_0)) + (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_2)) + (portRef D (instanceRef CLK_OUT_INT)) + )) + (net VCC (joined + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_LOW)) + (portRef I0 (instanceRef AVEC)) + (portRef I0 (instanceRef DSACK_0)) + )) + (net (rename cpu_est_0 "cpu_est[0]") (joined + (portRef Q (instanceRef cpu_est_0)) + (portRef I0 (instanceRef clk_cpu_est_11_0_o4_3)) + (portRef I1 (instanceRef cpu_est_0_0)) + (portRef I0 (instanceRef cpu_est_i_0)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_1_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a2_0_2_0_6)) + (portRef I1 (instanceRef clk_cpu_est_11_i_a4_1_2)) + (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_2)) + )) + (net (rename cpu_est_2 "cpu_est[2]") (joined + (portRef Q (instanceRef cpu_est_2)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a2_0_2_6)) + (portRef I0 (instanceRef cpu_est_0_2__n)) + (portRef I0 (instanceRef cpu_est_i_2)) + (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa)) + )) + (net (rename CLK_CNT_0 "CLK_CNT[0]") (joined + (portRef Q (instanceRef CLK_CNT_0)) + (portRef I0 (instanceRef CLK_CNT_i_0)) + (portRef I0 (instanceRef CLK_OUT_PRE_0)) + )) + (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined + (portRef Q (instanceRef SM_AMIGA_6)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_o2_2)) + (portRef I0 (instanceRef SM_AMIGA_i_6)) + )) + (net (rename SM_AMIGA_7 "SM_AMIGA[7]") (joined + (portRef Q (instanceRef SM_AMIGA_7)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_o2_1)) + (portRef I0 (instanceRef SM_AMIGA_i_7)) + )) + (net UDS_000_INT (joined + (portRef Q (instanceRef UDS_000_INT)) + (portRef I0 (instanceRef UDS_000_INT_0_m)) + (portRef I0 (instanceRef UDS_000)) + )) + (net LDS_000_INT (joined + (portRef Q (instanceRef LDS_000_INT)) + (portRef I0 (instanceRef LDS_000_INT_0_m)) + (portRef I0 (instanceRef LDS_000)) + )) + (net RISING_CLK_AMIGA (joined + (portRef Q (instanceRef RISING_CLK_AMIGA)) + (portRef I1 (instanceRef IPL_030_0_0__m)) + (portRef I0 (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__r)) + (portRef I0 (instanceRef RISING_CLK_AMIGA_i)) + )) + (net (rename DSACK_INT_1 "DSACK_INT[1]") (joined + (portRef Q (instanceRef DSACK_INT_1)) + (portRef I0 (instanceRef DSACK_INT_0_1__m)) + (portRef I0 (instanceRef DSACK_1)) + )) + (net DTACK_DMA (joined + (portRef Q (instanceRef DTACK_DMA)) + (portRef I0 (instanceRef DTACK)) + )) + (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined + (portRef Q (instanceRef SM_AMIGA_4)) + (portRef I0 (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef UDS_000_INT_0_sqmuxa_2)) + )) + (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined + (portRef Q (instanceRef SM_AMIGA_3)) + (portRef I0 (instanceRef SM_AMIGA_i_3)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_0_4)) + (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_1_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_5)) + )) + (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined + (portRef Q (instanceRef SM_AMIGA_5)) + (portRef I0 (instanceRef SM_AMIGA_i_5)) + )) + (net (rename un1_CLK_000_CNT_3 "un1_CLK_000_CNT[3]") (joined + (portRef O (instanceRef un1_CLK_000_CNT_3)) + (portRef I0 (instanceRef un1_CLK_000_CNT_i_3)) + (portRef I1 (instanceRef G_128)) + (portRef I1 (instanceRef G_129)) + )) + (net (rename CLK_000_CNT_0 "CLK_000_CNT[0]") (joined + (portRef Q (instanceRef CLK_000_CNT_0)) + (portRef I0 (instanceRef un1_CLK_000_CNT_3)) + (portRef I0 (instanceRef CLK_000_CNT_i_0)) + )) + (net (rename CLK_000_CNT_1 "CLK_000_CNT[1]") (joined + (portRef Q (instanceRef CLK_000_CNT_1)) + (portRef I0 (instanceRef un1_CLK_000_CNT_2)) + (portRef I0 (instanceRef G_129)) + (portRef I0 (instanceRef CLK_000_CNT_i_1)) + )) + (net (rename CLK_000_CNT_2 "CLK_000_CNT[2]") (joined + (portRef Q (instanceRef CLK_000_CNT_2)) + (portRef I0 (instanceRef un1_CLK_000_CNT_1)) + (portRef I0 (instanceRef G_131)) + (portRef I0 (instanceRef CLK_000_CNT_i_2)) + )) + (net (rename CLK_000_CNT_3 "CLK_000_CNT[3]") (joined + (portRef Q (instanceRef CLK_000_CNT_3)) + (portRef I0 (instanceRef un1_CLK_000_CNT_0)) + (portRef I0 (instanceRef CLK_000_CNT_i_3)) + )) + (net (rename state_machine_un14_as_000_int "state_machine.un14_as_000_int") (joined + (portRef O (instanceRef state_machine_un14_as_000_int)) + (portRef I0 (instanceRef state_machine_un14_as_000_int_i)) + )) + (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined + (portRef Q (instanceRef SM_AMIGA_2)) + (portRef I0 (instanceRef SM_AMIGA_i_2)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_0_5)) + )) + (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined + (portRef Q (instanceRef SM_AMIGA_1)) + (portRef I0 (instanceRef SM_AMIGA_i_1)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_0_7)) + )) + (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined + (portRef Q (instanceRef SM_AMIGA_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_7)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_i_0)) + (portRef I1 (instanceRef DSACK_INT_1_sqmuxa_2)) + )) + (net (rename SM_AMIGA_D_0 "SM_AMIGA_D[0]") (joined + (portRef Q (instanceRef SM_AMIGA_D_0)) + (portRef I0 (instanceRef SM_AMIGA_D_0_0__n)) + (portRef I1 (instanceRef G_122)) + )) + (net (rename SM_AMIGA_D_1 "SM_AMIGA_D[1]") (joined + (portRef Q (instanceRef SM_AMIGA_D_1)) + (portRef I1 (instanceRef G_123)) + (portRef I0 (instanceRef SM_AMIGA_D_0_1__n)) + )) + (net (rename SM_AMIGA_D_2 "SM_AMIGA_D[2]") (joined + (portRef Q (instanceRef SM_AMIGA_D_2)) + (portRef I1 (instanceRef G_124)) + (portRef I0 (instanceRef SM_AMIGA_D_0_2__n)) + )) + (net (rename clk_expZ0 "clk_exp") (joined + (portRef O (instanceRef G_125)) + (portRef I0 (instanceRef clk_exp_i)) + )) + (net (rename clk_CLK_000_CNT_3_1 "clk.CLK_000_CNT_3[1]") (joined + (portRef O (instanceRef G_128)) + (portRef D (instanceRef CLK_000_CNT_1)) + )) + (net (rename clk_CLK_000_CNT_3_2 "clk.CLK_000_CNT_3[2]") (joined + (portRef O (instanceRef G_130)) + (portRef D (instanceRef CLK_000_CNT_2)) + )) + (net (rename clk_CLK_000_CNT_3_3 "clk.CLK_000_CNT_3[3]") (joined + (portRef O (instanceRef G_132)) + (portRef D (instanceRef CLK_000_CNT_3)) + )) + (net N_1 (joined + (portRef O (instanceRef DSACK_INT_0_1__p)) + (portRef D (instanceRef DSACK_INT_1)) + )) + (net N_2 (joined + (portRef O (instanceRef UDS_000_INT_0_p)) + (portRef D (instanceRef UDS_000_INT)) + )) + (net N_3 (joined + (portRef O (instanceRef LDS_000_INT_0_p)) + (portRef D (instanceRef LDS_000_INT)) + )) + (net N_4 (joined + (portRef O (instanceRef DTACK_SYNC_0_p)) + (portRef D (instanceRef DTACK_SYNC)) + )) + (net N_5 (joined + (portRef O (instanceRef FPU_CS_INT_0_p)) + (portRef D (instanceRef FPU_CS_INT)) + )) + (net N_6 (joined + (portRef O (instanceRef AS_030_000_SYNC_0_p)) + (portRef D (instanceRef AS_030_000_SYNC)) + )) + (net N_7 (joined + (portRef O (instanceRef SM_AMIGA_D_0_0__p)) + (portRef D (instanceRef SM_AMIGA_D_0)) + )) + (net N_8 (joined + (portRef O (instanceRef SM_AMIGA_D_0_1__p)) + (portRef D (instanceRef SM_AMIGA_D_1)) + )) + (net N_9 (joined + (portRef O (instanceRef SM_AMIGA_D_0_2__p)) + (portRef D (instanceRef SM_AMIGA_D_2)) + )) + (net N_10 (joined + (portRef O (instanceRef VPA_SYNC_0_p)) + (portRef D (instanceRef VPA_SYNC)) + )) + (net N_11 (joined + (portRef O (instanceRef BG_000_0_p)) + (portRef D (instanceRef BG_000DFFSH)) + )) + (net N_12 (joined + (portRef O (instanceRef AS_000_INT_0_p)) + (portRef D (instanceRef AS_000_INT)) + )) + (net N_13 (joined + (portRef O (instanceRef VMA_INT_0_p)) + (portRef D (instanceRef VMA_INT)) + )) + (net N_14 (joined + (portRef O (instanceRef IPL_030_0_0__p)) + (portRef D (instanceRef IPL_030DFFSH_0)) + )) + (net N_15 (joined + (portRef O (instanceRef IPL_030_0_1__p)) + (portRef D (instanceRef IPL_030DFFSH_1)) + )) + (net N_16 (joined + (portRef O (instanceRef IPL_030_0_2__p)) + (portRef D (instanceRef IPL_030DFFSH_2)) + )) + (net N_17 (joined + (portRef O (instanceRef cpu_est_0_0)) + (portRef D (instanceRef cpu_est_0)) + )) + (net N_18 (joined + (portRef O (instanceRef cpu_est_0_1__p)) + (portRef D (instanceRef cpu_est_1)) + )) + (net N_19 (joined + (portRef O (instanceRef cpu_est_0_2__p)) + (portRef D (instanceRef cpu_est_2)) + )) + (net N_20 (joined + (portRef O (instanceRef cpu_est_0_3__p)) + (portRef D (instanceRef cpu_est_3)) + )) + (net N_21 (joined + (portRef O (instanceRef BGACK_030_INT_0_p)) + (portRef D (instanceRef BGACK_030_INT)) + )) + (net N_22 (joined + (portRef O (instanceRef CLK_OUT_PRE_0)) + (portRef D (instanceRef CLK_OUT_PRE)) + )) + (net (rename SM_AMIGA_ns_4 "SM_AMIGA_ns[4]") (joined + (portRef O (instanceRef SM_AMIGA_ns_i_4)) + (portRef D (instanceRef SM_AMIGA_3)) + )) + (net (rename SM_AMIGA_ns_5 "SM_AMIGA_ns[5]") (joined + (portRef O (instanceRef SM_AMIGA_ns_i_5)) + (portRef D (instanceRef SM_AMIGA_2)) + )) + (net (rename SM_AMIGA_ns_7 "SM_AMIGA_ns[7]") (joined + (portRef O (instanceRef SM_AMIGA_ns_i_7)) + (portRef D (instanceRef SM_AMIGA_0)) + )) + (net N_123 (joined + (portRef O (instanceRef state_machine_un9_clk_000_d_i_o3_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_7)) + )) + (net (rename clk_RISING_CLK_AMIGA_1 "clk.RISING_CLK_AMIGA_1") (joined + (portRef O (instanceRef clk_RISING_CLK_AMIGA_1_0_a3)) + (portRef I0 (instanceRef clk_RISING_CLK_AMIGA_1_i)) + (portRef D (instanceRef RISING_CLK_AMIGA)) + )) + (net N_213 (joined + (portRef O (instanceRef G_122)) + (portRef I1 (instanceRef G_125_1)) + )) + (net N_214 (joined + (portRef O (instanceRef G_123)) + (portRef I1 (instanceRef G_125)) + )) + (net N_215 (joined + (portRef O (instanceRef G_124)) + (portRef I0 (instanceRef G_125_1)) + )) + (net DSACK_INT_1_sqmuxa (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa)) + (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_i)) + )) + (net N_120 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_i_2)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a3_2)) + )) + (net N_144_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_0_1_7)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_0_7)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a3_6)) + )) + (net N_251 (joined + (portRef O (instanceRef un8_ciin)) + (portRef OE (instanceRef CIIN)) + )) + (net N_254 (joined + (portRef O (instanceRef un4_ciin)) + (portRef I0 (instanceRef CIIN)) + )) + (net N_186 (joined + (portRef O (instanceRef G_131)) + (portRef I0 (instanceRef G_132)) + )) + (net (rename un1_CLK_000_CNT_0 "un1_CLK_000_CNT[0]") (joined + (portRef O (instanceRef un1_CLK_000_CNT_0)) + (portRef I1 (instanceRef G_132)) + )) + (net N_184 (joined + (portRef O (instanceRef G_129)) + (portRef I0 (instanceRef G_130)) + (portRef I1 (instanceRef G_131)) + )) + (net (rename un1_CLK_000_CNT_1 "un1_CLK_000_CNT[1]") (joined + (portRef O (instanceRef un1_CLK_000_CNT_1)) + (portRef I1 (instanceRef G_130)) + )) + (net (rename un1_CLK_000_CNT_2 "un1_CLK_000_CNT[2]") (joined + (portRef O (instanceRef un1_CLK_000_CNT_2)) + (portRef I0 (instanceRef G_128)) + )) + (net (rename state_machine_un69_clk_000_d "state_machine.un69_clk_000_d") (joined + (portRef O (instanceRef G_150_i)) + (portRef I1 (instanceRef state_machine_un80_clk_000_d)) + (portRef I1 (instanceRef state_machine_un67_clk_000_d)) + )) + (net (rename state_machine_un78_clk_000_d "state_machine.un78_clk_000_d") (joined + (portRef O (instanceRef state_machine_un78_clk_000_d_i)) + (portRef I1 (instanceRef DSACK_INT_1_sqmuxa)) + )) + (net N_149 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a2_0_6)) + (portRef I0 (instanceRef N_149_i)) + )) + (net N_119 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_i_6)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_0_1_7)) + )) + (net N_135 (joined + (portRef O (instanceRef clk_un1_clk_000_i_a3)) + (portRef I0 (instanceRef N_135_i)) + )) + (net (rename state_machine_un67_clk_000_d "state_machine.un67_clk_000_d") (joined + (portRef O (instanceRef state_machine_un67_clk_000_d)) + (portRef I0 (instanceRef state_machine_un67_clk_000_d_i)) + )) + (net (rename state_machine_un80_clk_000_d "state_machine.un80_clk_000_d") (joined + (portRef O (instanceRef state_machine_un80_clk_000_d)) + (portRef I0 (instanceRef state_machine_un80_clk_000_d_i)) + )) + (net N_132 (joined + (portRef O (instanceRef un9_i_a3_0)) + (portRef I0 (instanceRef G_124)) + (portRef I0 (instanceRef N_132_i)) + )) + (net N_131 (joined + (portRef O (instanceRef un9_i_a3_1)) + (portRef I0 (instanceRef G_123)) + (portRef I0 (instanceRef N_131_i)) + )) + (net (rename state_machine_un25_clk_000_d "state_machine.un25_clk_000_d") (joined + (portRef O (instanceRef state_machine_un25_clk_000_d_i_0)) + (portRef I0 (instanceRef state_machine_LDS_000_INT_8)) + )) + (net N_150 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a2_6)) + (portRef I0 (instanceRef N_150_i)) + (portRef I0 (instanceRef un9_i_a3_1_0)) + )) + (net N_151 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a2_3)) + (portRef I0 (instanceRef N_151_i)) + (portRef I0 (instanceRef un9_i_a3_1_1)) + )) + (net N_144 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a3_6)) + (portRef I0 (instanceRef N_144_i)) + )) + (net N_146 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_0_7)) + (portRef I0 (instanceRef N_146_i)) + )) + (net N_143 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_0_5)) + (portRef I0 (instanceRef N_143_i)) + )) + (net N_145 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_7)) + (portRef I0 (instanceRef N_145_i)) + )) + (net (rename state_machine_LDS_000_INT_8 "state_machine.LDS_000_INT_8") (joined + (portRef O (instanceRef state_machine_LDS_000_INT_8_i)) + (portRef I0 (instanceRef LDS_000_INT_0_n)) + )) + (net un1_UDS_000_INT_0_sqmuxa_2 (joined + (portRef O (instanceRef un1_UDS_000_INT_0_sqmuxa_2_i)) + (portRef I1 (instanceRef LDS_000_INT_0_sqmuxa)) + )) + (net (rename state_machine_UDS_000_INT_8 "state_machine.UDS_000_INT_8") (joined + (portRef O (instanceRef state_machine_UDS_000_INT_8_i)) + (portRef I0 (instanceRef UDS_000_INT_0_n)) + )) + (net LDS_000_INT_0_sqmuxa (joined + (portRef O (instanceRef LDS_000_INT_0_sqmuxa)) + (portRef I1 (instanceRef UDS_000_INT_0_m)) + (portRef I0 (instanceRef UDS_000_INT_0_r)) + (portRef I1 (instanceRef LDS_000_INT_0_m)) + (portRef I0 (instanceRef LDS_000_INT_0_r)) + )) + (net (rename state_machine_un42_clk_030 "state_machine.un42_clk_030") (joined + (portRef O (instanceRef state_machine_un42_clk_030)) + (portRef I1 (instanceRef un1_as_030_2)) + (portRef I0 (instanceRef state_machine_un42_clk_030_i)) + )) + (net un1_bg_030 (joined + (portRef O (instanceRef un1_bg_030_i)) + (portRef I0 (instanceRef BG_000_0_m)) + )) + (net N_133 (joined + (portRef O (instanceRef state_machine_un5_clk_030_i_a3)) + (portRef I0 (instanceRef N_133_i)) + )) + (net (rename state_machine_AS_030_000_SYNC_3 "state_machine.AS_030_000_SYNC_3") (joined + (portRef O (instanceRef state_machine_AS_030_000_SYNC_3_i)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) + )) + (net N_125 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_i_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a3_1)) + )) + (net N_137 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a3_1)) + (portRef I0 (instanceRef N_137_i)) + )) + (net N_138 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a3_0_1)) + (portRef I0 (instanceRef N_138_i)) + )) + (net DSACK_INT_1_sqmuxa_1 (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa_1)) + (portRef I1 (instanceRef DSACK_INT_0_1__m)) + (portRef I0 (instanceRef DSACK_INT_0_1__r)) + )) + (net un1_as_030_2 (joined + (portRef O (instanceRef un1_as_030_2_i)) + (portRef I0 (instanceRef FPU_CS_INT_0_m)) + )) + (net (rename state_machine_un17_clk_030 "state_machine.un17_clk_030") (joined + (portRef O (instanceRef state_machine_un17_clk_030_i)) + (portRef I1 (instanceRef FPU_CS_INT_0_m)) + (portRef I0 (instanceRef FPU_CS_INT_0_r)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) + )) + (net (rename state_machine_un1_clk_030 "state_machine.un1_clk_030") (joined + (portRef O (instanceRef state_machine_un1_clk_030_i)) + (portRef I1 (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_r)) + )) + (net (rename state_machine_un4_bgack_000 "state_machine.un4_bgack_000") (joined + (portRef O (instanceRef state_machine_un4_bgack_000_i)) + (portRef I1 (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_r)) + )) + (net VPA_SYNC_1_sqmuxa (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa)) + (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_i)) + )) + (net VPA_SYNC_1_sqmuxa_1 (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1)) + (portRef I1 (instanceRef VPA_SYNC_0_m)) + (portRef I0 (instanceRef VPA_SYNC_0_r)) + )) + (net N_122 (joined + (portRef O (instanceRef un1_SM_AMIGA_i_o2_i)) + (portRef I1 (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I0 (instanceRef AS_000_INT_0_n)) + (portRef I0 (instanceRef un1_UDS_000_INT_0_sqmuxa_1)) + )) + (net AS_000_INT_1_sqmuxa (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I1 (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_r)) + )) + (net N_136 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a3_0)) + (portRef I0 (instanceRef N_136_i)) + )) + (net N_124 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_i_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a3_0)) + )) + (net N_130 (joined + (portRef O (instanceRef un9_i_a3_2)) + (portRef I0 (instanceRef N_130_i)) + (portRef I0 (instanceRef G_122)) + )) + (net (rename state_machine_un15_clk_000_d "state_machine.un15_clk_000_d") (joined + (portRef O (instanceRef state_machine_un15_clk_000_d)) + (portRef I0 (instanceRef state_machine_un15_clk_000_d_i)) + )) + (net un1_UDS_000_INT_0_sqmuxa (joined + (portRef O (instanceRef un1_UDS_000_INT_0_sqmuxa_i_0)) + (portRef I1 (instanceRef un1_UDS_000_INT_0_sqmuxa_2)) + )) + (net UDS_000_INT_0_sqmuxa (joined + (portRef O (instanceRef UDS_000_INT_0_sqmuxa)) + (portRef I0 (instanceRef UDS_000_INT_0_sqmuxa_i)) + )) + (net LDS_000_INT_1_sqmuxa (joined + (portRef O (instanceRef LDS_000_INT_1_sqmuxa)) + (portRef I0 (instanceRef LDS_000_INT_1_sqmuxa_i)) + )) + (net N_139 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a3_2)) + (portRef I0 (instanceRef N_139_i)) + )) + (net N_140 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_4)) + (portRef I0 (instanceRef N_140_i)) + )) + (net N_126 (joined + (portRef O (instanceRef SM_AMIGA_ns_o2_i_4)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_4)) + )) + (net N_141 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_0_4)) + (portRef I0 (instanceRef N_141_i)) + )) + (net N_121 (joined + (portRef O (instanceRef SM_AMIGA_ns_o2_i_5)) + (portRef I1 (instanceRef SM_AMIGA_ns_a3_1_5)) + )) + (net N_142 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_5)) + (portRef I0 (instanceRef N_142_i)) + )) + (net VPA_SYNC_1_sqmuxa_1_0 (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_0)) + (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_2)) + (portRef I1 (instanceRef DTACK_SYNC_1_sqmuxa)) + )) + (net DTACK_SYNC_1_sqmuxa (joined + (portRef O (instanceRef DTACK_SYNC_1_sqmuxa)) + (portRef I0 (instanceRef DTACK_SYNC_1_sqmuxa_i)) + )) + (net DTACK_SYNC_1_sqmuxa_1 (joined + (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1)) + (portRef I1 (instanceRef DTACK_SYNC_0_m)) + (portRef I0 (instanceRef DTACK_SYNC_0_r)) + )) + (net N_149_2 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a2_0_2_6)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_2_1)) + (portRef I0 (instanceRef N_149_2_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a2_0_1_6)) + )) + (net (rename clk_un3_clk_000_dd "clk.un3_clk_000_dd") (joined + (portRef O (instanceRef clk_un3_clk_000_dd)) + (portRef I0 (instanceRef cpu_est_0_0)) + (portRef I1 (instanceRef cpu_est_0_2__m)) + (portRef I0 (instanceRef cpu_est_0_2__r)) + (portRef I1 (instanceRef cpu_est_0_3__m)) + (portRef I0 (instanceRef cpu_est_0_3__r)) + (portRef I1 (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_0_1__r)) + )) + (net N_164 (joined + (portRef O (instanceRef clk_cpu_est_11_0_o4_i_1)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_1)) + )) + (net N_171 (joined + (portRef O (instanceRef clk_cpu_est_11_i_a4_0_2)) + (portRef I0 (instanceRef N_171_i)) + )) + (net N_170 (joined + (portRef O (instanceRef clk_cpu_est_11_i_a4_2)) + (portRef I0 (instanceRef N_170_i)) + )) + (net (rename clk_cpu_est_11_3 "clk.cpu_est_11[3]") (joined + (portRef O (instanceRef clk_cpu_est_11_0_i_3)) + (portRef I0 (instanceRef cpu_est_0_3__m)) + )) + (net N_174 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_1_3)) + (portRef I0 (instanceRef N_174_i)) + )) + (net N_172 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_3)) + (portRef I0 (instanceRef N_172_i)) + )) + (net N_173 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef N_173_i)) + )) + (net (rename clk_cpu_est_11_1 "clk.cpu_est_11[1]") (joined + (portRef O (instanceRef clk_cpu_est_11_0_i_1)) + (portRef I0 (instanceRef cpu_est_0_1__m)) + )) + (net N_169 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_2_1)) + (portRef I0 (instanceRef N_169_i)) + )) + (net N_167 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_0_1)) + (portRef I0 (instanceRef N_167_i)) + )) + (net N_166 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_1)) + (portRef I0 (instanceRef N_166_i)) + )) + (net N_168 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_1_1)) + (portRef I0 (instanceRef N_168_i)) + )) + (net N_165 (joined + (portRef O (instanceRef clk_cpu_est_11_0_o4_i_3)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_3)) + )) + (net VMA_INT_1_sqmuxa (joined + (portRef O (instanceRef VMA_INT_1_sqmuxa_0_i)) + (portRef I1 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_r)) + )) + (net N_147 (joined + (portRef O (instanceRef VMA_INT_1_sqmuxa_0_a3)) + (portRef I0 (instanceRef N_147_i)) + )) + (net N_148 (joined + (portRef O (instanceRef VMA_INT_1_sqmuxa_0_a3_0)) + (portRef I0 (instanceRef N_148_i)) + )) + (net RW_i (joined + (portRef O (instanceRef RW_i)) + (portRef I0 (instanceRef UDS_000_INT_0_sqmuxa_2)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR)) + )) + (net clk_exp_i (joined + (portRef O (instanceRef clk_exp_i)) + (portRef I0 (instanceRef CLK_EXP)) + )) + (net CLK_000_DD_i (joined + (portRef O (instanceRef CLK_000_DD_i)) + (portRef I1 (instanceRef clk_un3_clk_000_dd)) + )) + (net CLK_000_D_i (joined + (portRef O (instanceRef CLK_000_D_i)) + (portRef I0 (instanceRef clk_RISING_CLK_AMIGA_1_0_a3)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_3)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_0_5)) + (portRef I0 (instanceRef VMA_INT_1_sqmuxa_0_a3)) + (portRef I1 (instanceRef DSACK_INT_1_sqmuxa_1_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_1_5)) + )) + (net AS_000_INT_i (joined + (portRef O (instanceRef AS_000_INT_i)) + (portRef I0 (instanceRef state_machine_un14_as_000_int)) + (portRef I0 (instanceRef VMA_INT_1_sqmuxa_0_a3_0)) + )) + (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined + (portRef O (instanceRef cpu_est_i_0)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_1)) + (portRef I1 (instanceRef clk_cpu_est_11_i_a4_0_1_2)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_1_1_3)) + )) + (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined + (portRef O (instanceRef cpu_est_i_2)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_0_1)) + (portRef I1 (instanceRef clk_cpu_est_11_i_a4_0_2)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_1_3)) + )) + (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined + (portRef O (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef clk_cpu_est_11_0_o4_1)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_0_1)) + (portRef I1 (instanceRef clk_cpu_est_11_i_a4_2)) + )) + (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined + (portRef O (instanceRef cpu_est_i_1)) + (portRef I0 (instanceRef clk_cpu_est_11_0_o4_1)) + (portRef I1 (instanceRef clk_cpu_est_11_0_a4_1_1_3)) + )) + (net N_149_2_i (joined + (portRef O (instanceRef N_149_2_i)) + (portRef I0 (instanceRef clk_cpu_est_11_i_a4_1_2)) + )) + (net VPA_D_i (joined + (portRef O (instanceRef VPA_D_i)) + (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_1_1)) + )) + (net DTACK_i (joined + (portRef O (instanceRef I_169)) + (portRef I0 (instanceRef DTACK_SYNC_1_sqmuxa_1_0)) + )) + (net VPA_SYNC_i (joined + (portRef O (instanceRef VPA_SYNC_i)) + (portRef I0 (instanceRef state_machine_un80_clk_000_d)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a2_0_1_6)) + )) + (net DTACK_SYNC_i (joined + (portRef O (instanceRef DTACK_SYNC_i)) + (portRef I0 (instanceRef state_machine_un67_clk_000_d)) + )) + (net AS_030_i (joined + (portRef O (instanceRef AS_030_i)) + (portRef I0 (instanceRef LDS_000_INT_0_sqmuxa)) + (portRef I0 (instanceRef state_machine_un17_clk_030)) + (portRef I0 (instanceRef un1_as_030_2)) + (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_1)) + (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I0 (instanceRef DTACK_SYNC_1_sqmuxa_1)) + (portRef I0 (instanceRef state_machine_AS_030_000_SYNC_3_1)) + )) + (net DTACK_SYNC_1_sqmuxa_i (joined + (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_i)) + (portRef I1 (instanceRef DTACK_SYNC_1_sqmuxa_1)) + (portRef I0 (instanceRef DTACK_SYNC_0_n)) + )) + (net DS_030_i (joined + (portRef O (instanceRef DS_030_i)) + (portRef I0 (instanceRef state_machine_un15_clk_000_d)) + (portRef I1 (instanceRef UDS_000_INT_0_sqmuxa_1)) + )) + (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined + (portRef O (instanceRef SM_AMIGA_i_4)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a2_3)) + (portRef I1 (instanceRef SM_AMIGA_ns_o2_4)) + (portRef I0 (instanceRef un9_i_a3_2_2)) + )) + (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined + (portRef O (instanceRef SM_AMIGA_i_6)) + (portRef I0 (instanceRef state_machine_un5_clk_030_i_a3)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a3_1)) + (portRef I1 (instanceRef un9_i_a3_2_2)) + )) + (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined + (portRef O (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a2_3)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a3_2)) + (portRef I1 (instanceRef un1_UDS_000_INT_0_sqmuxa_1)) + )) + (net N_139_i (joined + (portRef O (instanceRef N_139_i)) + (portRef I0 (instanceRef LDS_000_INT_1_sqmuxa)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_2)) + )) + (net (rename state_machine_un15_clk_000_d_i "state_machine.un15_clk_000_d_i") (joined + (portRef O (instanceRef state_machine_un15_clk_000_d_i)) + (portRef I1 (instanceRef LDS_000_INT_1_sqmuxa)) + )) + (net N_130_i (joined + (portRef O (instanceRef N_130_i)) + (portRef I0 (instanceRef SM_AMIGA_D_0_0__m)) + )) + (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined + (portRef O (instanceRef SM_AMIGA_i_0)) + (portRef I0 (instanceRef un9_i_a3_1_2)) + (portRef I1 (instanceRef un9_i_a3_1_1)) + (portRef I1 (instanceRef un9_i_a3_1_0)) + )) + (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined + (portRef O (instanceRef SM_AMIGA_i_7)) + (portRef I1 (instanceRef state_machine_un5_clk_030_i_a3)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a3_0)) + )) + (net VPA_SYNC_1_sqmuxa_i (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa_i)) + (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_1)) + (portRef I0 (instanceRef VPA_SYNC_0_n)) + )) + (net (rename DSACK_i_1 "DSACK_i[1]") (joined + (portRef O (instanceRef I_170)) + (portRef I1 (instanceRef state_machine_un14_as_000_int)) + )) + (net DSACK_INT_1_sqmuxa_i (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa_i)) + (portRef I1 (instanceRef DSACK_INT_1_sqmuxa_1)) + (portRef I0 (instanceRef DSACK_INT_0_1__n)) + )) + (net (rename A_i_18 "A_i[18]") (joined + (portRef O (instanceRef A_i_18)) + (portRef I0 (instanceRef state_machine_un42_clk_030_2)) + )) + (net (rename A_i_16 "A_i[16]") (joined + (portRef O (instanceRef A_i_16)) + (portRef I1 (instanceRef state_machine_un42_clk_030_1)) + )) + (net (rename A_i_19 "A_i[19]") (joined + (portRef O (instanceRef A_i_19)) + (portRef I1 (instanceRef state_machine_un42_clk_030_2)) + )) + (net CLK_030_i (joined + (portRef O (instanceRef CLK_030_i)) + (portRef I1 (instanceRef state_machine_un17_clk_030)) + )) + (net (rename state_machine_un42_clk_030_i "state_machine.un42_clk_030_i") (joined + (portRef O (instanceRef state_machine_un42_clk_030_i)) + (portRef I1 (instanceRef state_machine_AS_030_000_SYNC_3)) + )) + (net AS_030_000_SYNC_i (joined + (portRef O (instanceRef AS_030_000_SYNC_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a3_0_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_2)) + (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_1_0)) + )) + (net N_131_i (joined + (portRef O (instanceRef N_131_i)) + (portRef I0 (instanceRef SM_AMIGA_D_0_1__m)) + )) + (net N_132_i (joined + (portRef O (instanceRef N_132_i)) + (portRef I0 (instanceRef SM_AMIGA_D_0_2__m)) + )) + (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined + (portRef O (instanceRef SM_AMIGA_i_2)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a2_6)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a3_6)) + (portRef I1 (instanceRef un9_i_a3_1_2)) + )) + (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined + (portRef O (instanceRef SM_AMIGA_i_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a2_6)) + (portRef I1 (instanceRef un9_i_a3_1)) + )) + (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined + (portRef O (instanceRef SM_AMIGA_i_3)) + (portRef I0 (instanceRef SM_AMIGA_ns_o2_4)) + (portRef I1 (instanceRef un9_i_a3_0)) + )) + (net CLK_000_i (joined + (portRef O (instanceRef CLK_000_i)) + (portRef I1 (instanceRef clk_un1_clk_000_i_a3)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_0_1_7)) + )) + (net (rename A_i_30 "A_i[30]") (joined + (portRef O (instanceRef A_i_30)) + (portRef I0 (instanceRef un8_ciin_4)) + )) + (net (rename A_i_31 "A_i[31]") (joined + (portRef O (instanceRef A_i_31)) + (portRef I1 (instanceRef un8_ciin_4)) + )) + (net (rename A_i_28 "A_i[28]") (joined + (portRef O (instanceRef A_i_28)) + (portRef I0 (instanceRef un8_ciin_3)) + )) + (net (rename A_i_29 "A_i[29]") (joined + (portRef O (instanceRef A_i_29)) + (portRef I1 (instanceRef un8_ciin_3)) + )) + (net (rename A_i_26 "A_i[26]") (joined + (portRef O (instanceRef A_i_26)) + (portRef I0 (instanceRef un8_ciin_2)) + )) + (net (rename A_i_27 "A_i[27]") (joined + (portRef O (instanceRef A_i_27)) + (portRef I1 (instanceRef un8_ciin_2)) + )) + (net (rename A_i_24 "A_i[24]") (joined + (portRef O (instanceRef A_i_24)) + (portRef I0 (instanceRef un8_ciin_1)) + )) + (net (rename A_i_25 "A_i[25]") (joined + (portRef O (instanceRef A_i_25)) + (portRef I1 (instanceRef un8_ciin_1)) + )) + (net (rename CLK_CNT_i_0 "CLK_CNT_i[0]") (joined + (portRef O (instanceRef CLK_CNT_i_0)) + (portRef D (instanceRef CLK_CNT_0)) + )) + (net (rename state_machine_un14_as_000_int_i "state_machine.un14_as_000_int_i") (joined + (portRef O (instanceRef state_machine_un14_as_000_int_i)) + (portRef D (instanceRef DTACK_DMA)) + )) + (net RST_i (joined + (portRef O (instanceRef RST_i)) + (portRef S (instanceRef AS_000_INT)) + (portRef S (instanceRef AS_030_000_SYNC)) + (portRef S (instanceRef BGACK_030_INT)) + (portRef S (instanceRef BG_000DFFSH)) + (portRef S (instanceRef DSACK_INT_1)) + (portRef S (instanceRef DTACK_DMA)) + (portRef S (instanceRef DTACK_SYNC)) + (portRef S (instanceRef FPU_CS_INT)) + (portRef S (instanceRef IPL_030DFFSH_0)) + (portRef S (instanceRef IPL_030DFFSH_1)) + (portRef S (instanceRef IPL_030DFFSH_2)) + (portRef S (instanceRef LDS_000_INT)) + (portRef R (instanceRef SM_AMIGA_0)) + (portRef R (instanceRef SM_AMIGA_1)) + (portRef R (instanceRef SM_AMIGA_2)) + (portRef R (instanceRef SM_AMIGA_3)) + (portRef R (instanceRef SM_AMIGA_4)) + (portRef R (instanceRef SM_AMIGA_5)) + (portRef R (instanceRef SM_AMIGA_6)) + (portRef S (instanceRef SM_AMIGA_7)) + (portRef S (instanceRef UDS_000_INT)) + (portRef S (instanceRef VMA_INT)) + (portRef S (instanceRef VPA_SYNC)) + )) + (net (rename un1_CLK_000_CNT_i_3 "un1_CLK_000_CNT_i[3]") (joined + (portRef O (instanceRef un1_CLK_000_CNT_i_3)) + (portRef D (instanceRef CLK_000_CNT_0)) + )) + (net FPU_CS_INT_i (joined + (portRef O (instanceRef FPU_CS_INT_i)) + (portRef OE (instanceRef AVEC_EXP)) + (portRef OE (instanceRef BERR)) + )) + (net CPU_SPACE_i (joined + (portRef O (instanceRef CPU_SPACE_i)) + (portRef I1 (instanceRef state_machine_AS_030_000_SYNC_3_1)) + (portRef I1 (instanceRef un1_bg_030_1)) + (portRef OE (instanceRef DSACK_0)) + (portRef OE (instanceRef DSACK_1)) + )) + (net BGACK_030_INT_i (joined + (portRef O (instanceRef BGACK_030_INT_i)) + (portRef OE (instanceRef DTACK)) + )) + (net AS_030_c (joined + (portRef O (instanceRef AS_030)) + (portRef I0 (instanceRef AS_030_i)) + (portRef I0 (instanceRef un1_bg_030_2)) + )) + (net AS_030 (joined + (portRef AS_030) + (portRef I0 (instanceRef AS_030)) + )) + (net AS_000 (joined + (portRef O (instanceRef AS_000)) + (portRef AS_000) + )) + (net DS_030_c (joined + (portRef O (instanceRef DS_030)) + (portRef I0 (instanceRef DS_030_i)) + )) + (net DS_030 (joined + (portRef DS_030) + (portRef I0 (instanceRef DS_030)) + )) + (net UDS_000 (joined + (portRef O (instanceRef UDS_000)) + (portRef UDS_000) + )) + (net LDS_000 (joined + (portRef O (instanceRef LDS_000)) + (portRef LDS_000) + )) + (net (rename SIZE_c_0 "SIZE_c[0]") (joined + (portRef O (instanceRef SIZE_0)) + (portRef I0 (instanceRef state_machine_un25_clk_000_d_1)) + )) + (net (rename SIZE_0 "SIZE[0]") (joined + (portRef (member size 1)) + (portRef I0 (instanceRef SIZE_0)) + )) + (net (rename SIZE_c_1 "SIZE_c[1]") (joined + (portRef O (instanceRef SIZE_1)) + (portRef I0 (instanceRef SIZE_c_i_1)) + )) + (net (rename SIZE_1 "SIZE[1]") (joined + (portRef (member size 0)) + (portRef I0 (instanceRef SIZE_1)) + )) + (net (rename A_c_0 "A_c[0]") (joined + (portRef O (instanceRef A_0)) + (portRef I0 (instanceRef A_c_i_0)) + )) + (net (rename A_0 "A[0]") (joined + (portRef (member a 31)) + (portRef I0 (instanceRef A_0)) + )) + (net (rename A_1 "A[1]") (joined + (portRef (member a 30)) + )) + (net (rename A_2 "A[2]") (joined + (portRef (member a 29)) + )) + (net (rename A_3 "A[3]") (joined + (portRef (member a 28)) + )) + (net (rename A_4 "A[4]") (joined + (portRef (member a 27)) + )) + (net (rename A_5 "A[5]") (joined + (portRef (member a 26)) + )) + (net (rename A_6 "A[6]") (joined + (portRef (member a 25)) + )) + (net (rename A_7 "A[7]") (joined + (portRef (member a 24)) + )) + (net (rename A_8 "A[8]") (joined + (portRef (member a 23)) + )) + (net (rename A_9 "A[9]") (joined + (portRef (member a 22)) + )) + (net (rename A_10 "A[10]") (joined + (portRef (member a 21)) + )) + (net (rename A_11 "A[11]") (joined + (portRef (member a 20)) + )) + (net (rename A_12 "A[12]") (joined + (portRef (member a 19)) + )) + (net (rename A_13 "A[13]") (joined + (portRef (member a 18)) + )) + (net (rename A_14 "A[14]") (joined + (portRef (member a 17)) + )) + (net (rename A_15 "A[15]") (joined + (portRef (member a 16)) + )) + (net (rename A_c_16 "A_c[16]") (joined + (portRef O (instanceRef A_16)) + (portRef I0 (instanceRef A_i_16)) + )) + (net (rename A_16 "A[16]") (joined + (portRef (member a 15)) + (portRef I0 (instanceRef A_16)) + )) + (net (rename A_c_17 "A_c[17]") (joined + (portRef O (instanceRef A_17)) + (portRef I0 (instanceRef state_machine_un42_clk_030_1)) + )) + (net (rename A_17 "A[17]") (joined + (portRef (member a 14)) + (portRef I0 (instanceRef A_17)) + )) + (net (rename A_c_18 "A_c[18]") (joined + (portRef O (instanceRef A_18)) + (portRef I0 (instanceRef A_i_18)) + )) + (net (rename A_18 "A[18]") (joined + (portRef (member a 13)) + (portRef I0 (instanceRef A_18)) + )) + (net (rename A_c_19 "A_c[19]") (joined + (portRef O (instanceRef A_19)) + (portRef I0 (instanceRef A_i_19)) + )) + (net (rename A_19 "A[19]") (joined + (portRef (member a 12)) + (portRef I0 (instanceRef A_19)) + )) + (net (rename A_c_20 "A_c[20]") (joined + (portRef O (instanceRef A_20)) + (portRef I0 (instanceRef un4_ciin_1)) + )) + (net (rename A_20 "A[20]") (joined + (portRef (member a 11)) + (portRef I0 (instanceRef A_20)) + )) + (net (rename A_c_21 "A_c[21]") (joined + (portRef O (instanceRef A_21)) + (portRef I1 (instanceRef un4_ciin_1)) + )) + (net (rename A_21 "A[21]") (joined + (portRef (member a 10)) + (portRef I0 (instanceRef A_21)) + )) + (net (rename A_c_22 "A_c[22]") (joined + (portRef O (instanceRef A_22)) + (portRef I0 (instanceRef un4_ciin_2)) + )) + (net (rename A_22 "A[22]") (joined + (portRef (member a 9)) + (portRef I0 (instanceRef A_22)) + )) + (net (rename A_c_23 "A_c[23]") (joined + (portRef O (instanceRef A_23)) + (portRef I1 (instanceRef un4_ciin_2)) + )) + (net (rename A_23 "A[23]") (joined + (portRef (member a 8)) + (portRef I0 (instanceRef A_23)) + )) + (net (rename A_c_24 "A_c[24]") (joined + (portRef O (instanceRef A_24)) + (portRef I0 (instanceRef A_i_24)) + )) + (net (rename A_24 "A[24]") (joined + (portRef (member a 7)) + (portRef I0 (instanceRef A_24)) + )) + (net (rename A_c_25 "A_c[25]") (joined + (portRef O (instanceRef A_25)) + (portRef I0 (instanceRef A_i_25)) + )) + (net (rename A_25 "A[25]") (joined + (portRef (member a 6)) + (portRef I0 (instanceRef A_25)) + )) + (net (rename A_c_26 "A_c[26]") (joined + (portRef O (instanceRef A_26)) + (portRef I0 (instanceRef A_i_26)) + )) + (net (rename A_26 "A[26]") (joined + (portRef (member a 5)) + (portRef I0 (instanceRef A_26)) + )) + (net (rename A_c_27 "A_c[27]") (joined + (portRef O (instanceRef A_27)) + (portRef I0 (instanceRef A_i_27)) + )) + (net (rename A_27 "A[27]") (joined + (portRef (member a 4)) + (portRef I0 (instanceRef A_27)) + )) + (net (rename A_c_28 "A_c[28]") (joined + (portRef O (instanceRef A_28)) + (portRef I0 (instanceRef A_i_28)) + )) + (net (rename A_28 "A[28]") (joined + (portRef (member a 3)) + (portRef I0 (instanceRef A_28)) + )) + (net (rename A_c_29 "A_c[29]") (joined + (portRef O (instanceRef A_29)) + (portRef I0 (instanceRef A_i_29)) + )) + (net (rename A_29 "A[29]") (joined + (portRef (member a 2)) + (portRef I0 (instanceRef A_29)) + )) + (net (rename A_c_30 "A_c[30]") (joined + (portRef O (instanceRef A_30)) + (portRef I0 (instanceRef A_i_30)) + )) + (net (rename A_30 "A[30]") (joined + (portRef (member a 1)) + (portRef I0 (instanceRef A_30)) + )) + (net (rename A_c_31 "A_c[31]") (joined + (portRef O (instanceRef A_31)) + (portRef I0 (instanceRef A_i_31)) + )) + (net (rename A_31 "A[31]") (joined + (portRef (member a 0)) + (portRef I0 (instanceRef A_31)) + )) + (net CPU_SPACE_c (joined + (portRef O (instanceRef CPU_SPACE)) + (portRef I0 (instanceRef CPU_SPACE_i)) + )) + (net CPU_SPACE (joined + (portRef CPU_SPACE) + (portRef I0 (instanceRef CPU_SPACE)) + )) + (net BERR (joined + (portRef O (instanceRef BERR)) + (portRef BERR) + )) + (net BG_030_c (joined + (portRef O (instanceRef BG_030)) + (portRef I0 (instanceRef BG_030_c_i)) + )) + (net BG_030 (joined + (portRef BG_030) + (portRef I0 (instanceRef BG_030)) + )) + (net BG_000_c (joined + (portRef Q (instanceRef BG_000DFFSH)) + (portRef I0 (instanceRef BG_000_0_n)) + (portRef I0 (instanceRef BG_000)) + )) + (net BG_000 (joined + (portRef O (instanceRef BG_000)) + (portRef BG_000) + )) + (net BGACK_030 (joined + (portRef O (instanceRef BGACK_030)) + (portRef BGACK_030) + )) + (net BGACK_000_c (joined + (portRef O (instanceRef BGACK_000)) + (portRef I0 (instanceRef state_machine_un4_bgack_000)) + (portRef I0 (instanceRef BGACK_030_INT_0_m)) + (portRef I1 (instanceRef state_machine_un42_clk_030_3)) + )) + (net BGACK_000 (joined + (portRef BGACK_000) + (portRef I0 (instanceRef BGACK_000)) + )) + (net CLK_030_c (joined + (portRef O (instanceRef CLK_030)) + (portRef I1 (instanceRef state_machine_un1_clk_030)) + (portRef I0 (instanceRef CLK_030_i)) + )) + (net CLK_030 (joined + (portRef CLK_030) + (portRef I0 (instanceRef CLK_030)) + )) + (net CLK_000_c (joined + (portRef O (instanceRef CLK_000)) + (portRef I1 (instanceRef clk_RISING_CLK_AMIGA_1_0_a3)) + (portRef I0 (instanceRef CLK_000_i)) + (portRef D (instanceRef CLK_000_D)) + )) + (net CLK_000 (joined + (portRef CLK_000) + (portRef I0 (instanceRef CLK_000)) + )) + (net CLK_OSZI_c (joined + (portRef O (instanceRef CLK_OSZI)) + (portRef CLK (instanceRef AS_000_INT)) + (portRef CLK (instanceRef AS_030_000_SYNC)) + (portRef CLK (instanceRef BGACK_030_INT)) + (portRef CLK (instanceRef BG_000DFFSH)) + (portRef CLK (instanceRef CLK_000_CNT_0)) + (portRef CLK (instanceRef CLK_000_CNT_1)) + (portRef CLK (instanceRef CLK_000_CNT_2)) + (portRef CLK (instanceRef CLK_000_CNT_3)) + (portRef CLK (instanceRef CLK_000_D)) + (portRef CLK (instanceRef CLK_000_DD)) + (portRef CLK (instanceRef CLK_CNT_0)) + (portRef CLK (instanceRef CLK_OUT_INT)) + (portRef CLK (instanceRef CLK_OUT_PRE)) + (portRef CLK (instanceRef DSACK_INT_1)) + (portRef CLK (instanceRef DTACK_DMA)) + (portRef CLK (instanceRef DTACK_SYNC)) + (portRef CLK (instanceRef FPU_CS_INT)) + (portRef CLK (instanceRef IPL_030DFFSH_0)) + (portRef CLK (instanceRef IPL_030DFFSH_1)) + (portRef CLK (instanceRef IPL_030DFFSH_2)) + (portRef CLK (instanceRef LDS_000_INT)) + (portRef CLK (instanceRef RESETDFF)) + (portRef CLK (instanceRef RISING_CLK_AMIGA)) + (portRef CLK (instanceRef SM_AMIGA_0)) + (portRef CLK (instanceRef SM_AMIGA_1)) + (portRef CLK (instanceRef SM_AMIGA_2)) + (portRef CLK (instanceRef SM_AMIGA_3)) + (portRef CLK (instanceRef SM_AMIGA_4)) + (portRef CLK (instanceRef SM_AMIGA_5)) + (portRef CLK (instanceRef SM_AMIGA_6)) + (portRef CLK (instanceRef SM_AMIGA_7)) + (portRef CLK (instanceRef SM_AMIGA_D_0)) + (portRef CLK (instanceRef SM_AMIGA_D_1)) + (portRef CLK (instanceRef SM_AMIGA_D_2)) + (portRef CLK (instanceRef UDS_000_INT)) + (portRef CLK (instanceRef VMA_INT)) + (portRef CLK (instanceRef VPA_D)) + (portRef CLK (instanceRef VPA_SYNC)) + (portRef CLK (instanceRef cpu_est_0)) + (portRef CLK (instanceRef cpu_est_1)) + (portRef CLK (instanceRef cpu_est_2)) + (portRef CLK (instanceRef cpu_est_3)) + )) + (net CLK_OSZI (joined + (portRef CLK_OSZI) + (portRef I0 (instanceRef CLK_OSZI)) + )) + (net CLK_DIV_OUT (joined + (portRef O (instanceRef CLK_DIV_OUT)) + (portRef CLK_DIV_OUT) + )) + (net CLK_EXP (joined + (portRef O (instanceRef CLK_EXP)) + (portRef CLK_EXP) + )) + (net FPU_CS (joined + (portRef O (instanceRef FPU_CS)) + (portRef FPU_CS) + )) + (net (rename IPL_030_c_0 "IPL_030_c[0]") (joined + (portRef Q (instanceRef IPL_030DFFSH_0)) + (portRef I0 (instanceRef IPL_030_0_0__n)) + (portRef I0 (instanceRef IPL_030_0)) + )) + (net (rename IPL_030_0 "IPL_030[0]") (joined + (portRef O (instanceRef IPL_030_0)) + (portRef (member ipl_030 2)) + )) + (net (rename IPL_030_c_1 "IPL_030_c[1]") (joined + (portRef Q (instanceRef IPL_030DFFSH_1)) + (portRef I0 (instanceRef IPL_030_0_1__n)) + (portRef I0 (instanceRef IPL_030_1)) + )) + (net (rename IPL_030_1 "IPL_030[1]") (joined + (portRef O (instanceRef IPL_030_1)) + (portRef (member ipl_030 1)) + )) + (net (rename IPL_030_c_2 "IPL_030_c[2]") (joined + (portRef Q (instanceRef IPL_030DFFSH_2)) + (portRef I0 (instanceRef IPL_030_0_2__n)) + (portRef I0 (instanceRef IPL_030_2)) + )) + (net (rename IPL_030_2 "IPL_030[2]") (joined + (portRef O (instanceRef IPL_030_2)) + (portRef (member ipl_030 0)) + )) + (net (rename IPL_c_0 "IPL_c[0]") (joined + (portRef O (instanceRef IPL_0)) + (portRef I0 (instanceRef IPL_030_0_0__m)) + )) + (net (rename IPL_0 "IPL[0]") (joined + (portRef (member ipl 2)) + (portRef I0 (instanceRef IPL_0)) + )) + (net (rename IPL_c_1 "IPL_c[1]") (joined + (portRef O (instanceRef IPL_1)) + (portRef I0 (instanceRef IPL_030_0_1__m)) + )) + (net (rename IPL_1 "IPL[1]") (joined + (portRef (member ipl 1)) + (portRef I0 (instanceRef IPL_1)) + )) + (net (rename IPL_c_2 "IPL_c[2]") (joined + (portRef O (instanceRef IPL_2)) + (portRef I0 (instanceRef IPL_030_0_2__m)) + )) + (net (rename IPL_2 "IPL[2]") (joined + (portRef (member ipl 0)) + (portRef I0 (instanceRef IPL_2)) + )) + (net (rename DSACK_0 "DSACK[0]") (joined + (portRef O (instanceRef DSACK_0)) + (portRef (member dsack 1)) + )) + (net (rename DSACK_c_1 "DSACK_c[1]") (joined + (portRef O (instanceRef DSACK_1)) + (portRef I0 (instanceRef I_170)) + )) + (net (rename DSACK_1 "DSACK[1]") (joined + (portRef (member dsack 0)) + (portRef IO (instanceRef DSACK_1)) + )) + (net DTACK_c (joined + (portRef O (instanceRef DTACK)) + (portRef I0 (instanceRef I_169)) + )) + (net DTACK (joined + (portRef IO (instanceRef DTACK)) + (portRef DTACK) + )) + (net AVEC (joined + (portRef O (instanceRef AVEC)) + (portRef AVEC) + )) + (net AVEC_EXP (joined + (portRef O (instanceRef AVEC_EXP)) + (portRef AVEC_EXP) + )) + (net E (joined + (portRef O (instanceRef E)) + (portRef E) + )) + (net VPA_c (joined + (portRef O (instanceRef VPA)) + (portRef D (instanceRef VPA_D)) + )) + (net VPA (joined + (portRef VPA) + (portRef I0 (instanceRef VPA)) + )) + (net VMA (joined + (portRef O (instanceRef VMA)) + (portRef VMA) + )) + (net RST_c (joined + (portRef O (instanceRef RST)) + (portRef I0 (instanceRef RST_i)) + (portRef I1 (instanceRef SM_AMIGA_D_0_1__m)) + (portRef I0 (instanceRef SM_AMIGA_D_0_1__r)) + (portRef I1 (instanceRef SM_AMIGA_D_0_2__m)) + (portRef I0 (instanceRef SM_AMIGA_D_0_2__r)) + (portRef I1 (instanceRef SM_AMIGA_D_0_0__m)) + (portRef I0 (instanceRef SM_AMIGA_D_0_0__r)) + (portRef D (instanceRef RESETDFF)) + )) + (net RST (joined + (portRef RST) + (portRef I0 (instanceRef RST)) + )) + (net RESET_c (joined + (portRef Q (instanceRef RESETDFF)) + (portRef I0 (instanceRef RESET)) + )) + (net RESET (joined + (portRef O (instanceRef RESET)) + (portRef RESET) + )) + (net RW_c (joined + (portRef O (instanceRef RW)) + (portRef I1 (instanceRef state_machine_un15_clk_000_d)) + (portRef I0 (instanceRef RW_i)) + )) + (net RW (joined + (portRef RW) + (portRef I0 (instanceRef RW)) + )) + (net (rename FC_c_0 "FC_c[0]") (joined + (portRef O (instanceRef FC_0)) + (portRef I1 (instanceRef state_machine_un42_clk_030_5)) + )) + (net (rename FC_0 "FC[0]") (joined + (portRef (member fc 1)) + (portRef I0 (instanceRef FC_0)) + )) + (net (rename FC_c_1 "FC_c[1]") (joined + (portRef O (instanceRef FC_1)) + (portRef I0 (instanceRef state_machine_un42_clk_030_3)) + )) + (net (rename FC_1 "FC[1]") (joined + (portRef (member fc 0)) + (portRef I0 (instanceRef FC_1)) + )) + (net AMIGA_BUS_ENABLE (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE)) + (portRef AMIGA_BUS_ENABLE) + )) + (net AMIGA_BUS_DATA_DIR (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR)) + (portRef AMIGA_BUS_DATA_DIR) + )) + (net AMIGA_BUS_ENABLE_LOW (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_LOW)) + (portRef AMIGA_BUS_ENABLE_LOW) + )) + (net CIIN (joined + (portRef O (instanceRef CIIN)) + (portRef CIIN) + )) + (net N_148_i (joined + (portRef O (instanceRef N_148_i)) + (portRef I1 (instanceRef VMA_INT_1_sqmuxa_0)) + )) + (net N_147_i (joined + (portRef O (instanceRef N_147_i)) + (portRef I0 (instanceRef VMA_INT_1_sqmuxa_0)) + )) + (net VMA_INT_1_sqmuxa_0 (joined + (portRef O (instanceRef VMA_INT_1_sqmuxa_0)) + (portRef I0 (instanceRef VMA_INT_1_sqmuxa_0_i)) + )) + (net N_170_i (joined + (portRef O (instanceRef N_170_i)) + (portRef I0 (instanceRef clk_cpu_est_11_i_2)) + )) + (net N_171_i (joined + (portRef O (instanceRef N_171_i)) + (portRef I1 (instanceRef clk_cpu_est_11_i_2)) + )) + (net N_161_i (joined + (portRef O (instanceRef clk_cpu_est_11_i_2)) + (portRef I0 (instanceRef cpu_est_0_2__m)) + )) + (net N_164_i (joined + (portRef O (instanceRef clk_cpu_est_11_0_o4_1)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_1_1)) + (portRef I0 (instanceRef clk_cpu_est_11_0_o4_i_1)) + (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1_1)) + )) + (net N_165_i (joined + (portRef O (instanceRef clk_cpu_est_11_0_o4_3)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef clk_cpu_est_11_0_o4_i_3)) + )) + (net N_168_i (joined + (portRef O (instanceRef N_168_i)) + (portRef I1 (instanceRef clk_cpu_est_11_0_2_1)) + )) + (net N_166_i (joined + (portRef O (instanceRef N_166_i)) + (portRef I0 (instanceRef clk_cpu_est_11_0_2_1)) + )) + (net N_167_i (joined + (portRef O (instanceRef N_167_i)) + (portRef I1 (instanceRef clk_cpu_est_11_0_1_1)) + )) + (net N_169_i (joined + (portRef O (instanceRef N_169_i)) + (portRef I0 (instanceRef clk_cpu_est_11_0_1_1)) + )) + (net (rename clk_cpu_est_11_0_1 "clk.cpu_est_11_0[1]") (joined + (portRef O (instanceRef clk_cpu_est_11_0_1)) + (portRef I0 (instanceRef clk_cpu_est_11_0_i_1)) + )) + (net N_173_i (joined + (portRef O (instanceRef N_173_i)) + (portRef I1 (instanceRef clk_cpu_est_11_0_3)) + )) + (net N_172_i (joined + (portRef O (instanceRef N_172_i)) + (portRef I1 (instanceRef clk_cpu_est_11_0_1_3)) + )) + (net N_174_i (joined + (portRef O (instanceRef N_174_i)) + (portRef I0 (instanceRef clk_cpu_est_11_0_1_3)) + )) + (net (rename clk_cpu_est_11_0_3 "clk.cpu_est_11_0[3]") (joined + (portRef O (instanceRef clk_cpu_est_11_0_3)) + (portRef I0 (instanceRef clk_cpu_est_11_0_i_3)) + )) + (net N_121_i (joined + (portRef O (instanceRef SM_AMIGA_ns_o2_5)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_0_4)) + (portRef I0 (instanceRef SM_AMIGA_ns_o2_i_5)) + )) + (net N_126_0 (joined + (portRef O (instanceRef SM_AMIGA_ns_o2_4)) + (portRef I0 (instanceRef SM_AMIGA_ns_o2_i_4)) + )) + (net N_123_0 (joined + (portRef O (instanceRef state_machine_un9_clk_000_d_i_o3)) + (portRef I0 (instanceRef state_machine_un9_clk_000_d_i_o3_i)) + )) + (net N_122_0 (joined + (portRef O (instanceRef un1_SM_AMIGA_i_o2)) + (portRef I0 (instanceRef un1_SM_AMIGA_i_o2_i)) + )) + (net N_142_i (joined + (portRef O (instanceRef N_142_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_5)) + )) + (net N_143_i (joined + (portRef O (instanceRef N_143_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_5)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_1_6)) + )) + (net (rename SM_AMIGA_ns_0_5 "SM_AMIGA_ns_0[5]") (joined + (portRef O (instanceRef SM_AMIGA_ns_5)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_5)) + )) + (net N_141_i (joined + (portRef O (instanceRef N_141_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_4)) + )) + (net N_140_i (joined + (portRef O (instanceRef N_140_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_4)) + )) + (net (rename SM_AMIGA_ns_0_4 "SM_AMIGA_ns_0[4]") (joined + (portRef O (instanceRef SM_AMIGA_ns_4)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_4)) + )) + (net N_110_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_2)) + (portRef D (instanceRef SM_AMIGA_5)) + )) + (net LDS_000_INT_1_sqmuxa_i (joined + (portRef O (instanceRef LDS_000_INT_1_sqmuxa_i)) + (portRef I0 (instanceRef un1_UDS_000_INT_0_sqmuxa_2)) + )) + (net un1_UDS_000_INT_0_sqmuxa_2_0 (joined + (portRef O (instanceRef un1_UDS_000_INT_0_sqmuxa_2)) + (portRef I1 (instanceRef state_machine_UDS_000_INT_8)) + (portRef I1 (instanceRef state_machine_LDS_000_INT_8)) + (portRef I0 (instanceRef un1_UDS_000_INT_0_sqmuxa_2_i)) + )) + (net UDS_000_INT_0_sqmuxa_i (joined + (portRef O (instanceRef UDS_000_INT_0_sqmuxa_i)) + (portRef I1 (instanceRef un1_UDS_000_INT_0_sqmuxa)) + )) + (net un1_UDS_000_INT_0_sqmuxa_i (joined + (portRef O (instanceRef un1_UDS_000_INT_0_sqmuxa)) + (portRef I0 (instanceRef un1_UDS_000_INT_0_sqmuxa_i_0)) + )) + (net N_124_0 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_i_0)) + )) + (net N_136_i (joined + (portRef O (instanceRef N_136_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_0)) + )) + (net N_106_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_0)) + (portRef D (instanceRef SM_AMIGA_7)) + )) + (net RISING_CLK_AMIGA_i (joined + (portRef O (instanceRef RISING_CLK_AMIGA_i)) + (portRef I1 (instanceRef state_machine_un4_bgack_000)) + )) + (net (rename state_machine_un4_bgack_000_0 "state_machine.un4_bgack_000_0") (joined + (portRef O (instanceRef state_machine_un4_bgack_000)) + (portRef I0 (instanceRef state_machine_un4_bgack_000_i)) + )) + (net BG_030_c_i (joined + (portRef O (instanceRef BG_030_c_i)) + (portRef I0 (instanceRef state_machine_un1_clk_030)) + (portRef I0 (instanceRef un1_bg_030_1)) + )) + (net (rename state_machine_un1_clk_030_0 "state_machine.un1_clk_030_0") (joined + (portRef O (instanceRef state_machine_un1_clk_030)) + (portRef I0 (instanceRef state_machine_un1_clk_030_i)) + )) + (net (rename state_machine_un17_clk_030_0 "state_machine.un17_clk_030_0") (joined + (portRef O (instanceRef state_machine_un17_clk_030)) + (portRef I0 (instanceRef state_machine_un17_clk_030_i)) + )) + (net un1_as_030_2_0 (joined + (portRef O (instanceRef un1_as_030_2)) + (portRef I0 (instanceRef un1_as_030_2_i)) + )) + (net N_137_i (joined + (portRef O (instanceRef N_137_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_1)) + )) + (net N_138_i (joined + (portRef O (instanceRef N_138_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_1)) + )) + (net N_108_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_1)) + (portRef D (instanceRef SM_AMIGA_6)) + )) + (net N_120_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_2)) + (portRef I1 (instanceRef un1_SM_AMIGA_i_o2)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_i_2)) + )) + (net N_125_0 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_i_1)) + )) + (net (rename state_machine_AS_030_000_SYNC_3_2 "state_machine.AS_030_000_SYNC_3_2") (joined + (portRef O (instanceRef state_machine_AS_030_000_SYNC_3)) + (portRef I0 (instanceRef state_machine_AS_030_000_SYNC_3_i)) + )) + (net N_133_i (joined + (portRef O (instanceRef N_133_i)) + (portRef I1 (instanceRef un1_bg_030_2)) + )) + (net un1_bg_030_0 (joined + (portRef O (instanceRef un1_bg_030)) + (portRef I0 (instanceRef un1_bg_030_i)) + )) + (net (rename A_c_i_0 "A_c_i[0]") (joined + (portRef O (instanceRef A_c_i_0)) + (portRef I0 (instanceRef state_machine_UDS_000_INT_8)) + (portRef I1 (instanceRef state_machine_un25_clk_000_d_1)) + )) + (net (rename state_machine_UDS_000_INT_8_0 "state_machine.UDS_000_INT_8_0") (joined + (portRef O (instanceRef state_machine_UDS_000_INT_8)) + (portRef I0 (instanceRef state_machine_UDS_000_INT_8_i)) + )) + (net (rename state_machine_LDS_000_INT_8_0 "state_machine.LDS_000_INT_8_0") (joined + (portRef O (instanceRef state_machine_LDS_000_INT_8)) + (portRef I0 (instanceRef state_machine_LDS_000_INT_8_i)) + )) + (net N_151_i (joined + (portRef O (instanceRef N_151_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_3)) + )) + (net N_112_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_3)) + (portRef D (instanceRef SM_AMIGA_4)) + )) + (net N_146_i (joined + (portRef O (instanceRef N_146_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_7)) + )) + (net N_145_i (joined + (portRef O (instanceRef N_145_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_7)) + )) + (net (rename SM_AMIGA_ns_0_7 "SM_AMIGA_ns_0[7]") (joined + (portRef O (instanceRef SM_AMIGA_ns_7)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_7)) + )) + (net N_144_i (joined + (portRef O (instanceRef N_144_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_1_6)) + )) + (net N_150_i (joined + (portRef O (instanceRef N_150_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_6)) + )) + (net N_116_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_6)) + (portRef D (instanceRef SM_AMIGA_1)) + )) + (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined + (portRef O (instanceRef SIZE_c_i_1)) + (portRef I1 (instanceRef state_machine_un25_clk_000_d)) + )) + (net (rename state_machine_un25_clk_000_d_i "state_machine.un25_clk_000_d_i") (joined + (portRef O (instanceRef state_machine_un25_clk_000_d)) + (portRef I0 (instanceRef state_machine_un25_clk_000_d_i_0)) + )) + (net (rename state_machine_un80_clk_000_d_i "state_machine.un80_clk_000_d_i") (joined + (portRef O (instanceRef state_machine_un80_clk_000_d_i)) + (portRef I1 (instanceRef state_machine_un78_clk_000_d)) + )) + (net (rename state_machine_un67_clk_000_d_i "state_machine.un67_clk_000_d_i") (joined + (portRef O (instanceRef state_machine_un67_clk_000_d_i)) + (portRef I0 (instanceRef state_machine_un78_clk_000_d)) + )) + (net (rename state_machine_un78_clk_000_d_0 "state_machine.un78_clk_000_d_0") (joined + (portRef O (instanceRef state_machine_un78_clk_000_d)) + (portRef I0 (instanceRef state_machine_un78_clk_000_d_i)) + )) + (net (rename clk_RISING_CLK_AMIGA_1_i "clk.RISING_CLK_AMIGA_1_i") (joined + (portRef O (instanceRef clk_RISING_CLK_AMIGA_1_i)) + (portRef I1 (instanceRef clk_un1_clk_000_i)) + )) + (net N_135_i (joined + (portRef O (instanceRef N_135_i)) + (portRef I0 (instanceRef clk_un1_clk_000_i)) + )) + (net N_104_i (joined + (portRef O (instanceRef clk_un1_clk_000_i)) + (portRef I1 (instanceRef un1_CLK_000_CNT_3)) + (portRef I1 (instanceRef un1_CLK_000_CNT_2)) + (portRef I1 (instanceRef un1_CLK_000_CNT_1)) + (portRef I1 (instanceRef un1_CLK_000_CNT_0)) + )) + (net N_149_i (joined + (portRef O (instanceRef N_149_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_o2_6)) + )) + (net N_119_0 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o2_6)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o2_i_6)) + )) + (net (rename CLK_000_CNT_i_1 "CLK_000_CNT_i[1]") (joined + (portRef O (instanceRef CLK_000_CNT_i_1)) + (portRef I1 (instanceRef G_150_1)) + )) + (net (rename CLK_000_CNT_i_0 "CLK_000_CNT_i[0]") (joined + (portRef O (instanceRef CLK_000_CNT_i_0)) + (portRef I0 (instanceRef G_150_1)) + )) + (net (rename CLK_000_CNT_i_3 "CLK_000_CNT_i[3]") (joined + (portRef O (instanceRef CLK_000_CNT_i_3)) + (portRef I1 (instanceRef G_150_2)) + )) + (net (rename CLK_000_CNT_i_2 "CLK_000_CNT_i[2]") (joined + (portRef O (instanceRef CLK_000_CNT_i_2)) + (portRef I0 (instanceRef G_150_2)) + )) + (net (rename state_machine_un69_clk_000_d_0 "state_machine.un69_clk_000_d_0") (joined + (portRef O (instanceRef G_150)) + (portRef I0 (instanceRef G_150_i)) + )) + (net (rename state_machine_un69_clk_000_d_0_1 "state_machine.un69_clk_000_d_0_1") (joined + (portRef O (instanceRef G_150_1)) + (portRef I0 (instanceRef G_150)) + )) + (net (rename state_machine_un69_clk_000_d_0_2 "state_machine.un69_clk_000_d_0_2") (joined + (portRef O (instanceRef G_150_2)) + (portRef I1 (instanceRef G_150)) + )) + (net (rename state_machine_un25_clk_000_d_i_1 "state_machine.un25_clk_000_d_i_1") (joined + (portRef O (instanceRef state_machine_un25_clk_000_d_1)) + (portRef I0 (instanceRef state_machine_un25_clk_000_d)) + )) + (net N_116_i_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_1_6)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_6)) + )) + (net un1_bg_030_0_1 (joined + (portRef O (instanceRef un1_bg_030_1)) + (portRef I0 (instanceRef un1_bg_030)) + )) + (net un1_bg_030_0_2 (joined + (portRef O (instanceRef un1_bg_030_2)) + (portRef I1 (instanceRef un1_bg_030)) + )) + (net (rename state_machine_AS_030_000_SYNC_3_2_1 "state_machine.AS_030_000_SYNC_3_2_1") (joined + (portRef O (instanceRef state_machine_AS_030_000_SYNC_3_1)) + (portRef I0 (instanceRef state_machine_AS_030_000_SYNC_3)) + )) + (net un1_UDS_000_INT_0_sqmuxa_i_1 (joined + (portRef O (instanceRef un1_UDS_000_INT_0_sqmuxa_1)) + (portRef I0 (instanceRef un1_UDS_000_INT_0_sqmuxa)) + )) + (net (rename clk_cpu_est_11_0_1_3 "clk.cpu_est_11_0_1[3]") (joined + (portRef O (instanceRef clk_cpu_est_11_0_1_3)) + (portRef I0 (instanceRef clk_cpu_est_11_0_3)) + )) + (net (rename clk_cpu_est_11_0_1_1 "clk.cpu_est_11_0_1[1]") (joined + (portRef O (instanceRef clk_cpu_est_11_0_1_1)) + (portRef I0 (instanceRef clk_cpu_est_11_0_1)) + )) + (net (rename clk_cpu_est_11_0_2_1 "clk.cpu_est_11_0_2[1]") (joined + (portRef O (instanceRef clk_cpu_est_11_0_2_1)) + (portRef I1 (instanceRef clk_cpu_est_11_0_1)) + )) + (net N_251_1 (joined + (portRef O (instanceRef un8_ciin_1)) + (portRef I0 (instanceRef un8_ciin_5)) + )) + (net N_251_2 (joined + (portRef O (instanceRef un8_ciin_2)) + (portRef I1 (instanceRef un8_ciin_5)) + )) + (net N_251_3 (joined + (portRef O (instanceRef un8_ciin_3)) + (portRef I0 (instanceRef un8_ciin_6)) + )) + (net N_251_4 (joined + (portRef O (instanceRef un8_ciin_4)) + (portRef I1 (instanceRef un8_ciin_6)) + )) + (net N_251_5 (joined + (portRef O (instanceRef un8_ciin_5)) + (portRef I0 (instanceRef un8_ciin)) + )) + (net N_251_6 (joined + (portRef O (instanceRef un8_ciin_6)) + (portRef I1 (instanceRef un8_ciin)) + )) + (net N_254_1 (joined + (portRef O (instanceRef un4_ciin_1)) + (portRef I0 (instanceRef un4_ciin)) + )) + (net N_254_2 (joined + (portRef O (instanceRef un4_ciin_2)) + (portRef I1 (instanceRef un4_ciin)) + )) + (net DSACK_INT_1_sqmuxa_1_0 (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa_1_0)) + (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_3)) + )) + (net DSACK_INT_1_sqmuxa_2 (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa_2)) + (portRef I1 (instanceRef DSACK_INT_1_sqmuxa_3)) + )) + (net DSACK_INT_1_sqmuxa_3 (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa_3)) + (portRef I0 (instanceRef DSACK_INT_1_sqmuxa)) + )) + (net N_149_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a2_0_1_6)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a2_0_6)) + )) + (net N_149_2_0 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a2_0_2_0_6)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a2_0_6)) + )) + (net N_132_1 (joined + (portRef O (instanceRef un9_i_a3_1_0)) + (portRef I0 (instanceRef un9_i_a3_0)) + )) + (net N_131_1 (joined + (portRef O (instanceRef un9_i_a3_1_1)) + (portRef I0 (instanceRef un9_i_a3_1)) + )) + (net (rename state_machine_un42_clk_030_1 "state_machine.un42_clk_030_1") (joined + (portRef O (instanceRef state_machine_un42_clk_030_1)) + (portRef I0 (instanceRef state_machine_un42_clk_030_4)) + )) + (net (rename state_machine_un42_clk_030_2 "state_machine.un42_clk_030_2") (joined + (portRef O (instanceRef state_machine_un42_clk_030_2)) + (portRef I1 (instanceRef state_machine_un42_clk_030_4)) + )) + (net (rename state_machine_un42_clk_030_3 "state_machine.un42_clk_030_3") (joined + (portRef O (instanceRef state_machine_un42_clk_030_3)) + (portRef I0 (instanceRef state_machine_un42_clk_030_5)) + )) + (net (rename state_machine_un42_clk_030_4 "state_machine.un42_clk_030_4") (joined + (portRef O (instanceRef state_machine_un42_clk_030_4)) + (portRef I0 (instanceRef state_machine_un42_clk_030)) + )) + (net (rename state_machine_un42_clk_030_5 "state_machine.un42_clk_030_5") (joined + (portRef O (instanceRef state_machine_un42_clk_030_5)) + (portRef I1 (instanceRef state_machine_un42_clk_030)) + )) + (net N_142_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_a3_1_5)) + (portRef I0 (instanceRef SM_AMIGA_ns_a3_5)) + )) + (net N_130_1 (joined + (portRef O (instanceRef un9_i_a3_1_2)) + (portRef I0 (instanceRef un9_i_a3_2)) + )) + (net N_130_2 (joined + (portRef O (instanceRef un9_i_a3_2_2)) + (portRef I1 (instanceRef un9_i_a3_2)) + )) + (net UDS_000_INT_0_sqmuxa_1 (joined + (portRef O (instanceRef UDS_000_INT_0_sqmuxa_1)) + (portRef I0 (instanceRef UDS_000_INT_0_sqmuxa)) + )) + (net UDS_000_INT_0_sqmuxa_2 (joined + (portRef O (instanceRef UDS_000_INT_0_sqmuxa_2)) + (portRef I1 (instanceRef UDS_000_INT_0_sqmuxa)) + )) + (net DTACK_SYNC_1_sqmuxa_1_0 (joined + (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1_0)) + (portRef I0 (instanceRef DTACK_SYNC_1_sqmuxa)) + )) + (net VPA_SYNC_1_sqmuxa_1_1 (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_1)) + (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_3)) + )) + (net VPA_SYNC_1_sqmuxa_2 (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa_2)) + (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_3)) + )) + (net VPA_SYNC_1_sqmuxa_3 (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa_3)) + (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa)) + )) + (net N_171_1 (joined + (portRef O (instanceRef clk_cpu_est_11_i_a4_0_1_2)) + (portRef I0 (instanceRef clk_cpu_est_11_i_a4_0_2)) + )) + (net N_170_1 (joined + (portRef O (instanceRef clk_cpu_est_11_i_a4_1_2)) + (portRef I0 (instanceRef clk_cpu_est_11_i_a4_2)) + )) + (net N_174_1 (joined + (portRef O (instanceRef clk_cpu_est_11_0_a4_1_1_3)) + (portRef I0 (instanceRef clk_cpu_est_11_0_a4_1_3)) + )) + (net clk_exp_1 (joined + (portRef O (instanceRef G_125_1)) + (portRef I0 (instanceRef G_125)) + )) + (net (rename cpu_est_0_1__un3 "cpu_est_0_1_.un3") (joined + (portRef O (instanceRef cpu_est_0_1__r)) + (portRef I1 (instanceRef cpu_est_0_1__n)) + )) + (net (rename cpu_est_0_1__un1 "cpu_est_0_1_.un1") (joined + (portRef O (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_0_1__p)) + )) + (net (rename cpu_est_0_1__un0 "cpu_est_0_1_.un0") (joined + (portRef O (instanceRef cpu_est_0_1__n)) + (portRef I1 (instanceRef cpu_est_0_1__p)) + )) + (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined + (portRef O (instanceRef VMA_INT_0_r)) + (portRef I1 (instanceRef VMA_INT_0_n)) + )) + (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined + (portRef O (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_p)) + )) + (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined + (portRef O (instanceRef VMA_INT_0_n)) + (portRef I1 (instanceRef VMA_INT_0_p)) + )) + (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined + (portRef O (instanceRef cpu_est_0_3__r)) + (portRef I1 (instanceRef cpu_est_0_3__n)) + )) + (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined + (portRef O (instanceRef cpu_est_0_3__m)) + (portRef I0 (instanceRef cpu_est_0_3__p)) + )) + (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined + (portRef O (instanceRef cpu_est_0_3__n)) + (portRef I1 (instanceRef cpu_est_0_3__p)) + )) + (net (rename cpu_est_0_2__un3 "cpu_est_0_2_.un3") (joined + (portRef O (instanceRef cpu_est_0_2__r)) + (portRef I1 (instanceRef cpu_est_0_2__n)) + )) + (net (rename cpu_est_0_2__un1 "cpu_est_0_2_.un1") (joined + (portRef O (instanceRef cpu_est_0_2__m)) + (portRef I0 (instanceRef cpu_est_0_2__p)) + )) + (net (rename cpu_est_0_2__un0 "cpu_est_0_2_.un0") (joined + (portRef O (instanceRef cpu_est_0_2__n)) + (portRef I1 (instanceRef cpu_est_0_2__p)) + )) + (net (rename DTACK_SYNC_0_un3 "DTACK_SYNC_0.un3") (joined + (portRef O (instanceRef DTACK_SYNC_0_r)) + (portRef I1 (instanceRef DTACK_SYNC_0_n)) + )) + (net (rename DTACK_SYNC_0_un1 "DTACK_SYNC_0.un1") (joined + (portRef O (instanceRef DTACK_SYNC_0_m)) + (portRef I0 (instanceRef DTACK_SYNC_0_p)) + )) + (net (rename DTACK_SYNC_0_un0 "DTACK_SYNC_0.un0") (joined + (portRef O (instanceRef DTACK_SYNC_0_n)) + (portRef I1 (instanceRef DTACK_SYNC_0_p)) + )) + (net (rename SM_AMIGA_D_0_0__un3 "SM_AMIGA_D_0_0_.un3") (joined + (portRef O (instanceRef SM_AMIGA_D_0_0__r)) + (portRef I1 (instanceRef SM_AMIGA_D_0_0__n)) + )) + (net (rename SM_AMIGA_D_0_0__un1 "SM_AMIGA_D_0_0_.un1") (joined + (portRef O (instanceRef SM_AMIGA_D_0_0__m)) + (portRef I0 (instanceRef SM_AMIGA_D_0_0__p)) + )) + (net (rename SM_AMIGA_D_0_0__un0 "SM_AMIGA_D_0_0_.un0") (joined + (portRef O (instanceRef SM_AMIGA_D_0_0__n)) + (portRef I1 (instanceRef SM_AMIGA_D_0_0__p)) + )) + (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined + (portRef O (instanceRef BGACK_030_INT_0_r)) + (portRef I1 (instanceRef BGACK_030_INT_0_n)) + )) + (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined + (portRef O (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined + (portRef O (instanceRef BGACK_030_INT_0_n)) + (portRef I1 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename BG_000_0_un3 "BG_000_0.un3") (joined + (portRef O (instanceRef BG_000_0_r)) + (portRef I1 (instanceRef BG_000_0_n)) + )) + (net (rename BG_000_0_un1 "BG_000_0.un1") (joined + (portRef O (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_p)) + )) + (net (rename BG_000_0_un0 "BG_000_0.un0") (joined + (portRef O (instanceRef BG_000_0_n)) + (portRef I1 (instanceRef BG_000_0_p)) + )) + (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_r)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) + )) + (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) + )) + (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_n)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) + )) + (net (rename FPU_CS_INT_0_un3 "FPU_CS_INT_0.un3") (joined + (portRef O (instanceRef FPU_CS_INT_0_r)) + (portRef I1 (instanceRef FPU_CS_INT_0_n)) + )) + (net (rename FPU_CS_INT_0_un1 "FPU_CS_INT_0.un1") (joined + (portRef O (instanceRef FPU_CS_INT_0_m)) + (portRef I0 (instanceRef FPU_CS_INT_0_p)) + )) + (net (rename FPU_CS_INT_0_un0 "FPU_CS_INT_0.un0") (joined + (portRef O (instanceRef FPU_CS_INT_0_n)) + (portRef I1 (instanceRef FPU_CS_INT_0_p)) + )) + (net (rename DSACK_INT_0_1__un3 "DSACK_INT_0_1_.un3") (joined + (portRef O (instanceRef DSACK_INT_0_1__r)) + (portRef I1 (instanceRef DSACK_INT_0_1__n)) + )) + (net (rename DSACK_INT_0_1__un1 "DSACK_INT_0_1_.un1") (joined + (portRef O (instanceRef DSACK_INT_0_1__m)) + (portRef I0 (instanceRef DSACK_INT_0_1__p)) + )) + (net (rename DSACK_INT_0_1__un0 "DSACK_INT_0_1_.un0") (joined + (portRef O (instanceRef DSACK_INT_0_1__n)) + (portRef I1 (instanceRef DSACK_INT_0_1__p)) + )) + (net (rename VPA_SYNC_0_un3 "VPA_SYNC_0.un3") (joined + (portRef O (instanceRef VPA_SYNC_0_r)) + (portRef I1 (instanceRef VPA_SYNC_0_n)) + )) + (net (rename VPA_SYNC_0_un1 "VPA_SYNC_0.un1") (joined + (portRef O (instanceRef VPA_SYNC_0_m)) + (portRef I0 (instanceRef VPA_SYNC_0_p)) + )) + (net (rename VPA_SYNC_0_un0 "VPA_SYNC_0.un0") (joined + (portRef O (instanceRef VPA_SYNC_0_n)) + (portRef I1 (instanceRef VPA_SYNC_0_p)) + )) + (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined + (portRef O (instanceRef AS_000_INT_0_r)) + (portRef I1 (instanceRef AS_000_INT_0_n)) + )) + (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined + (portRef O (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_p)) + )) + (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined + (portRef O (instanceRef AS_000_INT_0_n)) + (portRef I1 (instanceRef AS_000_INT_0_p)) + )) + (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined + (portRef O (instanceRef IPL_030_0_2__r)) + (portRef I1 (instanceRef IPL_030_0_2__n)) + )) + (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined + (portRef O (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__p)) + )) + (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined + (portRef O (instanceRef IPL_030_0_2__n)) + (portRef I1 (instanceRef IPL_030_0_2__p)) + )) + (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined + (portRef O (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_1__n)) + )) + (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined + (portRef O (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__p)) + )) + (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined + (portRef O (instanceRef IPL_030_0_1__n)) + (portRef I1 (instanceRef IPL_030_0_1__p)) + )) + (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined + (portRef O (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef IPL_030_0_0__n)) + )) + (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined + (portRef O (instanceRef IPL_030_0_0__m)) + (portRef I0 (instanceRef IPL_030_0_0__p)) + )) + (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined + (portRef O (instanceRef IPL_030_0_0__n)) + (portRef I1 (instanceRef IPL_030_0_0__p)) + )) + (net (rename SM_AMIGA_D_0_2__un3 "SM_AMIGA_D_0_2_.un3") (joined + (portRef O (instanceRef SM_AMIGA_D_0_2__r)) + (portRef I1 (instanceRef SM_AMIGA_D_0_2__n)) + )) + (net (rename SM_AMIGA_D_0_2__un1 "SM_AMIGA_D_0_2_.un1") (joined + (portRef O (instanceRef SM_AMIGA_D_0_2__m)) + (portRef I0 (instanceRef SM_AMIGA_D_0_2__p)) + )) + (net (rename SM_AMIGA_D_0_2__un0 "SM_AMIGA_D_0_2_.un0") (joined + (portRef O (instanceRef SM_AMIGA_D_0_2__n)) + (portRef I1 (instanceRef SM_AMIGA_D_0_2__p)) + )) + (net (rename SM_AMIGA_D_0_1__un3 "SM_AMIGA_D_0_1_.un3") (joined + (portRef O (instanceRef SM_AMIGA_D_0_1__r)) + (portRef I1 (instanceRef SM_AMIGA_D_0_1__n)) + )) + (net (rename SM_AMIGA_D_0_1__un1 "SM_AMIGA_D_0_1_.un1") (joined + (portRef O (instanceRef SM_AMIGA_D_0_1__m)) + (portRef I0 (instanceRef SM_AMIGA_D_0_1__p)) + )) + (net (rename SM_AMIGA_D_0_1__un0 "SM_AMIGA_D_0_1_.un0") (joined + (portRef O (instanceRef SM_AMIGA_D_0_1__n)) + (portRef I1 (instanceRef SM_AMIGA_D_0_1__p)) + )) + (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined + (portRef O (instanceRef LDS_000_INT_0_r)) + (portRef I1 (instanceRef LDS_000_INT_0_n)) + )) + (net (rename LDS_000_INT_0_un1 "LDS_000_INT_0.un1") (joined + (portRef O (instanceRef LDS_000_INT_0_m)) + (portRef I0 (instanceRef LDS_000_INT_0_p)) + )) + (net (rename LDS_000_INT_0_un0 "LDS_000_INT_0.un0") (joined + (portRef O (instanceRef LDS_000_INT_0_n)) + (portRef I1 (instanceRef LDS_000_INT_0_p)) + )) + (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined + (portRef O (instanceRef UDS_000_INT_0_r)) + (portRef I1 (instanceRef UDS_000_INT_0_n)) + )) + (net (rename UDS_000_INT_0_un1 "UDS_000_INT_0.un1") (joined + (portRef O (instanceRef UDS_000_INT_0_m)) + (portRef I0 (instanceRef UDS_000_INT_0_p)) + )) + (net (rename UDS_000_INT_0_un0 "UDS_000_INT_0.un0") (joined + (portRef O (instanceRef UDS_000_INT_0_n)) + (portRef I1 (instanceRef UDS_000_INT_0_p)) + )) + ) + (property orig_inst_of (string "BUS68030")) + ) + ) + ) + (design BUS68030 (cellRef BUS68030 (libraryRef work))) +) diff --git a/Logic/BUS68030.eq0 b/Logic/BUS68030.eq0 new file mode 100644 index 0000000..8d0e1b7 --- /dev/null +++ b/Logic/BUS68030.eq0 @@ -0,0 +1,976 @@ +EDIF2BLIF version IspLever 1.0 Linked Equations File +Copyright(C), 1992-2013, Lattice Semiconductor Corp. +All Rights Reserved. + +Design bus68030 created Thu Apr 24 11:58:27 2014 + + + P-Terms Fan-in Fan-out Type Name (attributes) +--------- ------ ------- ---- ----------------- + 1/1 1 1 Pin IPL_030_2_ + 1/1 1 1 Pin DSACK_1_ + 1/1 1 1 Pin DSACK_1_.OE + 1/1 1 1 Pin AS_000 + 1/1 1 1 Pin AS_000.OE + 1/1 1 1 Pin UDS_000 + 1/1 1 1 Pin UDS_000.OE + 1/1 1 1 Pin LDS_000 + 1/1 1 1 Pin LDS_000.OE + 1/1 1 1 Pin BERR + 1/1 1 1 Pin BERR.OE + 1/1 1 1 Pin BG_000 + 1/1 1 1 Pin BGACK_030 + 1/1 1 1 Pin CLK_DIV_OUT + 1/1 1 1 Pin CLK_EXP + 1/1 1 1 Pin FPU_CS + 1/1 1 1 Pin DTACK + 1/1 1 1 Pin DTACK.OE + 1/1 1 1 Pin AVEC + 1/1 1 1 Pin E + 1/1 1 1 Pin VMA + 1/1 1 1 Pin IPL_030_1_ + 1/1 1 1 Pin IPL_030_0_ + 1/1 1 1 Pin DSACK_0_ + 1/1 1 1 Pin DSACK_0_.OE + 1 2 1 Node N_41_1 + 1 2 1 Node N_40_1 + 1 1 1 Node vma_int_0_un3_n + 1 2 1 Node vma_int_0_un1_n + 1 2 1 Node vma_int_0_un0_n + 1 1 1 Node uds_000_int_0_un3_n + 1 2 1 Node uds_000_int_0_un1_n + 1 2 1 Node uds_000_int_0_un0_n + 1 1 1 Node cpu_est_3_reg.D + 1/1 1 1 Node cpu_est_3_reg.C + 1 1 1 Node lds_000_int_0_un3_n + 2 2 1 Node inst_VMA_INTreg.D + 1/1 1 1 Node inst_VMA_INTreg.C + 1 2 1 Node lds_000_int_0_un1_n + 1/1 1 1 Node cpu_est_0_.D + 1/1 1 1 Node cpu_est_0_.C + 1 2 1 Node lds_000_int_0_un0_n + 1 1 1 Node cpu_est_1_.D + 1/1 1 1 Node cpu_est_1_.C + 1/1 1 1 Node a_23__n + 1/1 1 1 Node inst_AS_000_INT_D.D + 1/1 1 1 Node inst_AS_000_INT_D.AP + 1/1 1 1 Node inst_AS_000_INT_D.C + 1/1 1 1 Node inst_AS_000_INT_DD.D + 1/1 1 1 Node inst_AS_000_INT_DD.AP + 1/1 1 1 Node inst_AS_000_INT_DD.C + 1/1 1 1 Node a_22__n + 1 2 1 Node inst_AS_030_AMIGA_ENABLE.D + 1/1 1 1 Node inst_AS_030_AMIGA_ENABLE.AP + 1/1 1 1 Node inst_AS_030_AMIGA_ENABLE.C + 1 0 1 Node vcc_n_n + 1/1 1 1 Node a_21__n + 0 0 1 Node gnd_n_n + 1 2 1 Node cpu_est_2_.D + 1/1 1 1 Node cpu_est_2_.C + 1/1 1 1 Node a_20__n + 1/1 1 1 Node inst_AS_030_delay.D + 1/1 1 1 Node inst_AS_030_delay.AP + 1/1 1 1 Node inst_AS_030_delay.C + 1 2 1 Node DSACK_INT_1_.D + 1/1 1 1 Node DSACK_INT_1_.AP + 1/1 1 1 Node DSACK_INT_1_.C + 1/1 1 1 Node a_15__n + 1 1 1 Node un1_as_000_int2 + 1/1 1 1 Node a_14__n + 1 2 1 Node un22_fpu_cs_int + 1 1 1 Node inst_AS_000_INT.D + 1/1 1 1 Node inst_AS_000_INT.AP + 1/1 1 1 Node inst_AS_000_INT.C + 1/1 1 1 Node a_13__n + 1 1 1 Node un1_as_000_int2_1 + 1/1 1 1 Node a_12__n + 1 2 1 Node UDS_000_INT_1_sqmuxa + 2 2 1 Node inst_LDS_000_INTreg.D + 1/1 1 1 Node inst_LDS_000_INTreg.AP + 1/1 1 1 Node inst_LDS_000_INTreg.C + 1/1 1 1 Node a_11__n + 2 2 1 Node inst_UDS_000_INTreg.D + 1/1 1 1 Node inst_UDS_000_INTreg.AP + 1/1 1 1 Node inst_UDS_000_INTreg.C + 1 2 1 Node un1_dtack_int + 1/1 1 1 Node a_10__n + 1/1 1 1 Node a_9__n + 1/1 1 1 Node a_8__n + 1 1 1 Node un5_lds_logic + 1/1 1 1 Node a_7__n + 1 1 1 Node N_11 + 1 1 1 Node N_22 + 1/1 1 1 Node a_6__n + 1 1 1 Node N_32 + 1 1 1 Node N_33 + 1/1 1 1 Node a_5__n + 1 2 1 Node N_48 + 1 2 1 Node N_39 + 1/1 1 1 Node a_4__n + 1 2 1 Node N_40 + 1 2 1 Node N_41 + 1/1 1 1 Node a_3__n + 1 2 1 Node N_42 + 1 2 1 Node N_43 + 1/1 1 1 Node a_2__n + 1 2 1 Node N_44 + 1 2 1 Node N_45 + 1/1 1 1 Node a_1__n + 1 2 1 Node N_46 + 1 2 1 Node N_51 + 1/1 1 1 Node d_31__n + 1 2 1 Node N_52 + 1 2 1 Node N_53 + 1/1 1 1 Node d_30__n + 1 2 1 Node N_55 + 1 2 1 Node N_57 + 1/1 1 1 Node d_29__n + 1 1 1 Node N_69 + 1 1 1 Node un22_fpu_cs_int_i + 1/1 1 1 Node d_28__n + 1 1 1 Node AS_000_i + 1 1 1 Node VPA_i + 1 1 1 Node cpu_est_i_0__n + 1 1 1 Node AS_030_i + 1 1 1 Node cpu_est_i_1__n + 1 1 1 Node cpu_est_i_2__n + 1 1 1 Node cpu_est_i_3__n + 1 1 1 Node VMA_INT_i + 1 1 1 Node AS_000_INT_DD_i + 1 1 1 Node DTACK_i + 1 1 1 Node dsack_i_1__n + 1 1 1 Node RW_i + 1 1 1 Node BGACK_000_i + 1 1 1 Node a_i_18__n + 1 1 1 Node a_i_19__n + 1 1 1 Node a_i_16__n + 1 1 1 Node a_i_30__n + 1 1 1 Node a_i_31__n + 1 1 1 Node a_i_28__n + 1 1 1 Node a_i_29__n + 1 1 1 Node a_i_26__n + 1 1 1 Node a_i_27__n + 1 1 1 Node a_i_24__n + 1 1 1 Node a_i_25__n + 1 1 1 Node CLK_030_i + 1 1 1 Node RST_i + 1 1 1 Node N_48_i + 1 1 1 Node CLK_000_i + 1 1 1 Node un1_dtack_int_i + 1/1 1 1 Node AS_030_c + 1/1 1 1 Node AS_000_c + 1/1 1 1 Node size_c_0__n + 1/1 1 1 Node size_c_1__n + 1/1 1 1 Node a_c_0__n + 1/1 1 1 Node a_c_16__n + 1/1 1 1 Node a_c_17__n + 1/1 1 1 Node a_c_18__n + 1/1 1 1 Node a_c_19__n + 1/1 1 1 Node a_c_24__n + 1/1 1 1 Node a_c_25__n + 1/1 1 1 Node a_c_26__n + 1/1 1 1 Node a_c_27__n + 1/1 1 1 Node a_c_28__n + 1/1 1 1 Node a_c_29__n + 1/1 1 1 Node a_c_30__n + 1/1 1 1 Node a_c_31__n + 1/1 1 1 Node CPU_SPACE_c + 1 1 1 Node BG_000DFFSHreg.D + 1/1 1 1 Node BG_000DFFSHreg.AP + 1/1 1 1 Node BG_000DFFSHreg.C + 1/1 1 1 Node BGACK_000_c + 1/1 1 1 Node CLK_030_c + 1/1 1 1 Node CLK_000_c + 1 1 1 Node CLK_OUT_INTreg.D + 1/1 1 1 Node CLK_OUT_INTreg.C + 1/1 1 1 Node IPL_030DFF_0_reg.D + 1/1 1 1 Node IPL_030DFF_0_reg.C + 1/1 1 1 Node IPL_030DFF_1_reg.D + 1/1 1 1 Node IPL_030DFF_1_reg.C + 1/1 1 1 Node IPL_030DFF_2_reg.D + 1/1 1 1 Node IPL_030DFF_2_reg.C + 1/1 1 1 Node dsack_c_1__n + 1/1 1 1 Node DTACK_c + 1/1 1 1 Node VPA_c + 1/1 1 1 Node RST_c + 1/1 1 1 Node RW_c + 1/1 1 1 Node fc_c_0__n + 1/1 1 1 Node fc_c_1__n + 1 2 1 Node N_70 + 1 2 1 Node cpu_est_11_0_1__n + 1 1 1 Node N_46_i + 1 1 1 Node N_55_i + 1 1 1 Node N_44_i + 1 1 1 Node N_45_i + 1 2 1 Node N_33_i + 1 2 1 Node N_32_i + 1 2 1 Node N_22_i + 1 1 1 Node N_51_i + 1 1 1 Node N_52_i + 1 1 1 Node N_53_i + 1 2 1 Node cpu_est_11_0_3__n + 1 1 1 Node N_42_i + 1 1 1 Node N_43_i + 1 1 1 Node N_40_i + 1 1 1 Node N_41_i + 1 1 1 Node N_39_i + 1 1 1 Node N_57_i + 1 2 1 Node N_11_0 + 1 2 1 Node bg_amiga_un1_as_030_0_n + 1 2 1 Node N_47_i + 1 2 1 Node un5_lds_logic_i + 1 1 1 Node a_c_i_0__n + 1 1 1 Node size_c_i_1__n + 1 2 1 Node un1_as_000_int2_1_0 + 1 2 1 Node un1_as_000_int2_0 + 1 1 1 Node N_70_i + 1 2 1 Node N_69_i + 1 2 1 Node un22_fpu_cs_int_1 + 1 2 1 Node un22_fpu_cs_int_2 + 1 2 1 Node un22_fpu_cs_int_3 + 1 2 1 Node un22_fpu_cs_int_4 + 1 2 1 Node un22_fpu_cs_int_5 + 1 2 1 Node UDS_000_INT_1_sqmuxa_1 + 1 2 1 Node un5_lds_logic_i_1 + 1 2 1 Node cpu_est_11_0_1_1__n + 1 2 1 Node cpu_est_11_0_2_1__n + 1 2 1 Node as_edge_un11_as_030_ne_1_n + 1 2 1 Node as_edge_un11_as_030_ne_2_n + 1 2 1 Node as_edge_un11_as_030_ne_3_n + 1 2 1 Node as_edge_un11_as_030_ne_4_n + 1 2 1 Node as_edge_un11_as_030_ne_5_n + 1 2 1 Node as_edge_un11_as_030_ne_6_n + 1 2 1 Node as_edge_un11_as_030_ne_7_n + 1 2 1 Node as_edge_un11_as_030_ne_8_n + 1 2 1 Node as_edge_un11_as_030_ne_9_n + 1 2 1 Node N_39_1 + 1 2 1 Node N_39_2 + 1 2 1 Node N_39_3 + 1 2 1 Node cpu_est_11_0_1_3__n + 1 2 1 Node N_15_i_1 + 1 2 1 Node N_53_1 + 1 2 1 Node N_43_1 + 1 2 1 Node N_42_1 +========= + 246/110 Best P-Term Total: 246 + Total Pins: 74 + Total Nodes: 192 + Average P-Term/Output: 1 + + +Equations: + +IPL_030_2_ = (IPL_030DFF_2_reg); + +DSACK_1_ = (DSACK_INT_1_); + +DSACK_1_.OE = (CPU_SPACE_c); + +AS_000 = (inst_AS_000_INT); + +AS_000.OE = (N_69_i); + +UDS_000 = (inst_UDS_000_INTreg); + +UDS_000.OE = (N_69_i); + +LDS_000 = (inst_LDS_000_INTreg); + +LDS_000.OE = (N_69_i); + +BERR = (gnd_n_n); + +BERR.OE = (un22_fpu_cs_int); + +BG_000 = (BG_000DFFSHreg); + +BGACK_030 = (N_69_i); + +CLK_DIV_OUT = (CLK_OUT_INTreg); + +CLK_EXP = (CLK_OUT_INTreg); + +FPU_CS = (un22_fpu_cs_int_i); + +DTACK = (un1_dtack_int_i); + +DTACK.OE = (N_69); + +AVEC = (N_47_i); + +E = (cpu_est_3_reg); + +VMA = (inst_VMA_INTreg); + +IPL_030_1_ = (IPL_030DFF_1_reg); + +IPL_030_0_ = (IPL_030DFF_0_reg); + +DSACK_0_ = (vcc_n_n); + +DSACK_0_.OE = (CPU_SPACE_c); + +N_41_1 = (cpu_est_1_ & cpu_est_i_0__n); + +N_40_1 = (N_22 & cpu_est_0_); + +vma_int_0_un3_n = (!N_11); + +vma_int_0_un1_n = (cpu_est_3_reg & N_11); + +vma_int_0_un0_n = (inst_VMA_INTreg & vma_int_0_un3_n); + +uds_000_int_0_un3_n = (!UDS_000_INT_1_sqmuxa); + +uds_000_int_0_un1_n = (inst_UDS_000_INTreg & UDS_000_INT_1_sqmuxa); + +uds_000_int_0_un0_n = (un1_as_000_int2 & uds_000_int_0_un3_n); + +cpu_est_3_reg.D = (!cpu_est_11_0_3__n); + +cpu_est_3_reg.C = (CLK_000_i); + +lds_000_int_0_un3_n = (!UDS_000_INT_1_sqmuxa); + +inst_VMA_INTreg.D = (vma_int_0_un1_n + # vma_int_0_un0_n); + +inst_VMA_INTreg.C = (CLK_000_i); + +lds_000_int_0_un1_n = (inst_LDS_000_INTreg & UDS_000_INT_1_sqmuxa); + +cpu_est_0_.D = (cpu_est_i_0__n); + +cpu_est_0_.C = (CLK_000_i); + +lds_000_int_0_un0_n = (un1_as_000_int2_1 & lds_000_int_0_un3_n); + +cpu_est_1_.D = (!cpu_est_11_0_1__n); + +cpu_est_1_.C = (CLK_000_i); + +a_23__n = (A_23_); + +inst_AS_000_INT_D.D = (inst_AS_000_INT); + +inst_AS_000_INT_D.AP = (N_48_i); + +inst_AS_000_INT_D.C = (CLK_000_c); + +inst_AS_000_INT_DD.D = (inst_AS_000_INT_D); + +inst_AS_000_INT_DD.AP = (N_48_i); + +inst_AS_000_INT_DD.C = (CLK_000_c); + +a_22__n = (A_22_); + +inst_AS_030_AMIGA_ENABLE.D = (as_edge_un11_as_030_ne_9_n & as_edge_un11_as_030_ne_8_n); + +inst_AS_030_AMIGA_ENABLE.AP = (RST_i); + +inst_AS_030_AMIGA_ENABLE.C = (CLK_030_i); + +vcc_n_n = (1); + +a_21__n = (A_21_); + +gnd_n_n = (0); + +cpu_est_2_.D = (N_40_i & N_41_i); + +cpu_est_2_.C = (CLK_000_i); + +a_20__n = (A_20_); + +inst_AS_030_delay.D = (AS_030_c); + +inst_AS_030_delay.AP = (RST_i); + +inst_AS_030_delay.C = (CLK_030_i); + +DSACK_INT_1_.D = (N_15_i_1 & N_43_i); + +DSACK_INT_1_.AP = (N_48_i); + +DSACK_INT_1_.C = (CLK_000_c); + +a_15__n = (A_15_); + +un1_as_000_int2 = (!un1_as_000_int2_0); + +a_14__n = (A_14_); + +un22_fpu_cs_int = (un22_fpu_cs_int_4 & un22_fpu_cs_int_5); + +inst_AS_000_INT.D = (!inst_AS_030_AMIGA_ENABLE); + +inst_AS_000_INT.AP = (N_48_i); + +inst_AS_000_INT.C = (CLK_000_c); + +a_13__n = (A_13_); + +un1_as_000_int2_1 = (!un1_as_000_int2_1_0); + +a_12__n = (A_12_); + +UDS_000_INT_1_sqmuxa = (UDS_000_INT_1_sqmuxa_1 & inst_AS_030_AMIGA_ENABLE); + +inst_LDS_000_INTreg.D = (lds_000_int_0_un1_n + # lds_000_int_0_un0_n); + +inst_LDS_000_INTreg.AP = (N_48_i); + +inst_LDS_000_INTreg.C = (CLK_000_c); + +a_11__n = (A_11_); + +inst_UDS_000_INTreg.D = (uds_000_int_0_un1_n + # uds_000_int_0_un0_n); + +inst_UDS_000_INTreg.AP = (N_48_i); + +inst_UDS_000_INTreg.C = (CLK_000_c); + +un1_dtack_int = (AS_000_i & dsack_i_1__n); + +a_10__n = (A_10_); + +a_9__n = (A_9_); + +a_8__n = (A_8_); + +un5_lds_logic = (!un5_lds_logic_i); + +a_7__n = (A_7_); + +N_11 = (!N_11_0); + +N_22 = (!N_22_i); + +a_6__n = (A_6_); + +N_32 = (!N_32_i); + +N_33 = (!N_33_i); + +a_5__n = (A_5_); + +N_48 = (AS_030_i & RST_c); + +N_39 = (N_39_3 & cpu_est_i_0__n); + +a_4__n = (A_4_); + +N_40 = (N_40_1 & cpu_est_i_3__n); + +N_41 = (N_41_1 & cpu_est_i_2__n); + +a_3__n = (A_3_); + +N_42 = (N_42_1 & VPA_c); + +N_43 = (N_43_1 & VPA_i); + +a_2__n = (A_2_); + +N_44 = (N_32 & cpu_est_i_0__n); + +N_45 = (cpu_est_i_2__n & cpu_est_i_3__n); + +a_1__n = (A_1_); + +N_46 = (N_32_i & cpu_est_0_); + +N_51 = (N_33 & cpu_est_3_reg); + +d_31__n = (D_31_); + +N_52 = (N_33_i & cpu_est_i_2__n); + +N_53 = (N_53_1 & cpu_est_i_2__n); + +d_30__n = (D_30_); + +N_55 = (N_22_i & cpu_est_3_reg); + +N_57 = (N_55 & cpu_est_0_); + +d_29__n = (D_29_); + +N_69 = (!N_69_i); + +un22_fpu_cs_int_i = (!un22_fpu_cs_int); + +d_28__n = (D_28_); + +AS_000_i = (!AS_000_c); + +VPA_i = (!VPA_c); + +cpu_est_i_0__n = (!cpu_est_0_); + +AS_030_i = (!AS_030_c); + +cpu_est_i_1__n = (!cpu_est_1_); + +cpu_est_i_2__n = (!cpu_est_2_); + +cpu_est_i_3__n = (!cpu_est_3_reg); + +VMA_INT_i = (!inst_VMA_INTreg); + +AS_000_INT_DD_i = (!inst_AS_000_INT_DD); + +DTACK_i = (!DTACK_c); + +dsack_i_1__n = (!dsack_c_1__n); + +RW_i = (!RW_c); + +BGACK_000_i = (!BGACK_000_c); + +a_i_18__n = (!a_c_18__n); + +a_i_19__n = (!a_c_19__n); + +a_i_16__n = (!a_c_16__n); + +a_i_30__n = (!a_c_30__n); + +a_i_31__n = (!a_c_31__n); + +a_i_28__n = (!a_c_28__n); + +a_i_29__n = (!a_c_29__n); + +a_i_26__n = (!a_c_26__n); + +a_i_27__n = (!a_c_27__n); + +a_i_24__n = (!a_c_24__n); + +a_i_25__n = (!a_c_25__n); + +CLK_030_i = (!CLK_030_c); + +RST_i = (!RST_c); + +N_48_i = (!N_48); + +CLK_000_i = (!CLK_000_c); + +un1_dtack_int_i = (!un1_dtack_int); + +AS_030_c = (AS_030); + +AS_000_c = (AS_000.PIN); + +size_c_0__n = (SIZE_0_); + +size_c_1__n = (SIZE_1_); + +a_c_0__n = (A_0_); + +a_c_16__n = (A_16_); + +a_c_17__n = (A_17_); + +a_c_18__n = (A_18_); + +a_c_19__n = (A_19_); + +a_c_24__n = (A_24_); + +a_c_25__n = (A_25_); + +a_c_26__n = (A_26_); + +a_c_27__n = (A_27_); + +a_c_28__n = (A_28_); + +a_c_29__n = (A_29_); + +a_c_30__n = (A_30_); + +a_c_31__n = (A_31_); + +CPU_SPACE_c = (CPU_SPACE); + +BG_000DFFSHreg.D = (!bg_amiga_un1_as_030_0_n); + +BG_000DFFSHreg.AP = (BG_030); + +BG_000DFFSHreg.C = (CLK_000_i); + +BGACK_000_c = (BGACK_000); + +CLK_030_c = (CLK_030); + +CLK_000_c = (CLK_000); + +CLK_OUT_INTreg.D = (!CLK_OUT_INTreg); + +CLK_OUT_INTreg.C = (CLK_OSZI); + +IPL_030DFF_0_reg.D = (IPL_0_); + +IPL_030DFF_0_reg.C = (CLK_000_c); + +IPL_030DFF_1_reg.D = (IPL_1_); + +IPL_030DFF_1_reg.C = (CLK_000_c); + +IPL_030DFF_2_reg.D = (IPL_2_); + +IPL_030DFF_2_reg.C = (CLK_000_c); + +dsack_c_1__n = (DSACK_1_.PIN); + +DTACK_c = (DTACK.PIN); + +VPA_c = (VPA); + +RST_c = (RST); + +RW_c = (RW); + +fc_c_0__n = (FC_0_); + +fc_c_1__n = (FC_1_); + +N_70 = (CLK_000_i & N_69); + +cpu_est_11_0_1__n = (cpu_est_11_0_1_1__n & cpu_est_11_0_2_1__n); + +N_46_i = (!N_46); + +N_55_i = (!N_55); + +N_44_i = (!N_44); + +N_45_i = (!N_45); + +N_33_i = (cpu_est_0_ & cpu_est_1_); + +N_32_i = (cpu_est_i_1__n & cpu_est_i_3__n); + +N_22_i = (cpu_est_1_ & cpu_est_2_); + +N_51_i = (!N_51); + +N_52_i = (!N_52); + +N_53_i = (!N_53); + +cpu_est_11_0_3__n = (cpu_est_11_0_1_3__n & N_52_i); + +N_42_i = (!N_42); + +N_43_i = (!N_43); + +N_40_i = (!N_40); + +N_41_i = (!N_41); + +N_39_i = (!N_39); + +N_57_i = (!N_57); + +N_11_0 = (N_39_i & N_57_i); + +bg_amiga_un1_as_030_0_n = (AS_030_c & CPU_SPACE_c); + +N_47_i = (CPU_SPACE_c & VPA_c); + +un5_lds_logic_i = (un5_lds_logic_i_1 & size_c_0__n); + +a_c_i_0__n = (!a_c_0__n); + +size_c_i_1__n = (!size_c_1__n); + +un1_as_000_int2_1_0 = (inst_AS_030_AMIGA_ENABLE & un5_lds_logic); + +un1_as_000_int2_0 = (inst_AS_030_AMIGA_ENABLE & a_c_i_0__n); + +N_70_i = (!N_70); + +N_69_i = (BGACK_000_c & N_70_i); + +un22_fpu_cs_int_1 = (a_c_17__n & a_i_16__n); + +un22_fpu_cs_int_2 = (a_i_18__n & a_i_19__n); + +un22_fpu_cs_int_3 = (fc_c_1__n & BGACK_000_i); + +un22_fpu_cs_int_4 = (un22_fpu_cs_int_1 & un22_fpu_cs_int_2); + +un22_fpu_cs_int_5 = (un22_fpu_cs_int_3 & fc_c_0__n); + +UDS_000_INT_1_sqmuxa_1 = (RW_i & inst_AS_000_INT_D); + +un5_lds_logic_i_1 = (size_c_i_1__n & a_c_i_0__n); + +cpu_est_11_0_1_1__n = (N_44_i & N_45_i); + +cpu_est_11_0_2_1__n = (N_46_i & N_55_i); + +as_edge_un11_as_030_ne_1_n = (CPU_SPACE_c & a_i_30__n); + +as_edge_un11_as_030_ne_2_n = (a_i_31__n & inst_AS_030_delay); + +as_edge_un11_as_030_ne_3_n = (AS_030_i & a_i_24__n); + +as_edge_un11_as_030_ne_4_n = (a_i_25__n & a_i_26__n); + +as_edge_un11_as_030_ne_5_n = (a_i_27__n & a_i_28__n); + +as_edge_un11_as_030_ne_6_n = (as_edge_un11_as_030_ne_1_n & as_edge_un11_as_030_ne_2_n); + +as_edge_un11_as_030_ne_7_n = (as_edge_un11_as_030_ne_3_n & as_edge_un11_as_030_ne_4_n); + +as_edge_un11_as_030_ne_8_n = (as_edge_un11_as_030_ne_5_n & a_i_29__n); + +as_edge_un11_as_030_ne_9_n = (as_edge_un11_as_030_ne_6_n & as_edge_un11_as_030_ne_7_n); + +N_39_1 = (AS_000_i & N_32_i); + +N_39_2 = (VPA_i & cpu_est_2_); + +N_39_3 = (N_39_1 & N_39_2); + +cpu_est_11_0_1_3__n = (N_53_i & N_51_i); + +N_15_i_1 = (DSACK_INT_1_ & N_42_i); + +N_53_1 = (cpu_est_i_0__n & cpu_est_i_1__n); + +N_43_1 = (N_57 & VMA_INT_i); + +N_42_1 = (AS_000_INT_DD_i & DTACK_i); + + +Reverse-Polarity Equations: + +!IPL_030_2_ = (!IPL_030DFF_2_reg); + +!DSACK_1_ = (!DSACK_INT_1_); + +!DSACK_1_.OE = (!CPU_SPACE_c); + +!AS_000 = (!inst_AS_000_INT); + +!AS_000.OE = (!N_69_i); + +!UDS_000 = (!inst_UDS_000_INTreg); + +!UDS_000.OE = (!N_69_i); + +!LDS_000 = (!inst_LDS_000_INTreg); + +!LDS_000.OE = (!N_69_i); + +!BERR = (!gnd_n_n); + +!BERR.OE = (!un22_fpu_cs_int); + +!BG_000 = (!BG_000DFFSHreg); + +!BGACK_030 = (!N_69_i); + +!CLK_DIV_OUT = (!CLK_OUT_INTreg); + +!CLK_EXP = (!CLK_OUT_INTreg); + +!FPU_CS = (!un22_fpu_cs_int_i); + +!DTACK = (!un1_dtack_int_i); + +!DTACK.OE = (!N_69); + +!AVEC = (!N_47_i); + +!E = (!cpu_est_3_reg); + +!VMA = (!inst_VMA_INTreg); + +!IPL_030_1_ = (!IPL_030DFF_1_reg); + +!IPL_030_0_ = (!IPL_030DFF_0_reg); + +!DSACK_0_ = (!vcc_n_n); + +!DSACK_0_.OE = (!CPU_SPACE_c); + +!cpu_est_3_reg.C = (!CLK_000_i); + +!inst_VMA_INTreg.C = (!CLK_000_i); + +!cpu_est_0_.D = (!cpu_est_i_0__n); + +!cpu_est_0_.C = (!CLK_000_i); + +!cpu_est_1_.C = (!CLK_000_i); + +!a_23__n = (!A_23_); + +!inst_AS_000_INT_D.D = (!inst_AS_000_INT); + +!inst_AS_000_INT_D.AP = (!N_48_i); + +!inst_AS_000_INT_D.C = (!CLK_000_c); + +!inst_AS_000_INT_DD.D = (!inst_AS_000_INT_D); + +!inst_AS_000_INT_DD.AP = (!N_48_i); + +!inst_AS_000_INT_DD.C = (!CLK_000_c); + +!a_22__n = (!A_22_); + +!inst_AS_030_AMIGA_ENABLE.AP = (!RST_i); + +!inst_AS_030_AMIGA_ENABLE.C = (!CLK_030_i); + +!a_21__n = (!A_21_); + +!cpu_est_2_.C = (!CLK_000_i); + +!a_20__n = (!A_20_); + +!inst_AS_030_delay.D = (!AS_030_c); + +!inst_AS_030_delay.AP = (!RST_i); + +!inst_AS_030_delay.C = (!CLK_030_i); + +!DSACK_INT_1_.AP = (!N_48_i); + +!DSACK_INT_1_.C = (!CLK_000_c); + +!a_15__n = (!A_15_); + +!a_14__n = (!A_14_); + +!inst_AS_000_INT.AP = (!N_48_i); + +!inst_AS_000_INT.C = (!CLK_000_c); + +!a_13__n = (!A_13_); + +!a_12__n = (!A_12_); + +!inst_LDS_000_INTreg.AP = (!N_48_i); + +!inst_LDS_000_INTreg.C = (!CLK_000_c); + +!a_11__n = (!A_11_); + +!inst_UDS_000_INTreg.AP = (!N_48_i); + +!inst_UDS_000_INTreg.C = (!CLK_000_c); + +!a_10__n = (!A_10_); + +!a_9__n = (!A_9_); + +!a_8__n = (!A_8_); + +!a_7__n = (!A_7_); + +!a_6__n = (!A_6_); + +!a_5__n = (!A_5_); + +!a_4__n = (!A_4_); + +!a_3__n = (!A_3_); + +!a_2__n = (!A_2_); + +!a_1__n = (!A_1_); + +!d_31__n = (!D_31_); + +!d_30__n = (!D_30_); + +!d_29__n = (!D_29_); + +!d_28__n = (!D_28_); + +!AS_030_c = (!AS_030); + +!AS_000_c = (!AS_000.PIN); + +!size_c_0__n = (!SIZE_0_); + +!size_c_1__n = (!SIZE_1_); + +!a_c_0__n = (!A_0_); + +!a_c_16__n = (!A_16_); + +!a_c_17__n = (!A_17_); + +!a_c_18__n = (!A_18_); + +!a_c_19__n = (!A_19_); + +!a_c_24__n = (!A_24_); + +!a_c_25__n = (!A_25_); + +!a_c_26__n = (!A_26_); + +!a_c_27__n = (!A_27_); + +!a_c_28__n = (!A_28_); + +!a_c_29__n = (!A_29_); + +!a_c_30__n = (!A_30_); + +!a_c_31__n = (!A_31_); + +!CPU_SPACE_c = (!CPU_SPACE); + +!BG_000DFFSHreg.AP = (!BG_030); + +!BG_000DFFSHreg.C = (!CLK_000_i); + +!BGACK_000_c = (!BGACK_000); + +!CLK_030_c = (!CLK_030); + +!CLK_000_c = (!CLK_000); + +!CLK_OUT_INTreg.C = (!CLK_OSZI); + +!IPL_030DFF_0_reg.D = (!IPL_0_); + +!IPL_030DFF_0_reg.C = (!CLK_000_c); + +!IPL_030DFF_1_reg.D = (!IPL_1_); + +!IPL_030DFF_1_reg.C = (!CLK_000_c); + +!IPL_030DFF_2_reg.D = (!IPL_2_); + +!IPL_030DFF_2_reg.C = (!CLK_000_c); + +!dsack_c_1__n = (!DSACK_1_.PIN); + +!DTACK_c = (!DTACK.PIN); + +!VPA_c = (!VPA); + +!RST_c = (!RST); + +!RW_c = (!RW); + +!fc_c_0__n = (!FC_0_); + +!fc_c_1__n = (!FC_1_); + diff --git a/Logic/BUS68030.fse b/Logic/BUS68030.fse new file mode 100644 index 0000000..f872732 --- /dev/null +++ b/Logic/BUS68030.fse @@ -0,0 +1,20 @@ + +fsm_encoding {722022201} onehot + +fsm_state_encoding {722022201} idle_p {00000001} + +fsm_state_encoding {722022201} idle_n {00000010} + +fsm_state_encoding {722022201} as_set_p {00000100} + +fsm_state_encoding {722022201} as_set_n {00001000} + +fsm_state_encoding {722022201} sample_dtack_p {00010000} + +fsm_state_encoding {722022201} data_fetch_n {00100000} + +fsm_state_encoding {722022201} data_fetch_p {01000000} + +fsm_state_encoding {722022201} end_cycle_n {10000000} + +fsm_registers {722022201} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]} diff --git a/Logic/BUS68030.naf b/Logic/BUS68030.naf new file mode 100644 index 0000000..7804fc4 --- /dev/null +++ b/Logic/BUS68030.naf @@ -0,0 +1,74 @@ +AS_030 b +AS_000 b +DS_030 b +UDS_000 b +LDS_000 b +SIZE[1] b +SIZE[0] b +A[31] b +A[30] b +A[29] b +A[28] b +A[27] b +A[26] b +A[25] b +A[24] b +A[23] b +A[22] b +A[21] b +A[20] b +A[19] b +A[18] b +A[17] b +A[16] b +A[15] b +A[14] b +A[13] b +A[12] b +A[11] b +A[10] b +A[9] b +A[8] b +A[7] b +A[6] b +A[5] b +A[4] b +A[3] b +A[2] b +A[1] b +A[0] b +CPU_SPACE i +BERR b +BG_030 i +BG_000 o +BGACK_030 o +BGACK_000 i +CLK_030 i +CLK_000 i +CLK_OSZI i +CLK_DIV_OUT o +CLK_EXP o +FPU_CS o +IPL_030[2] o +IPL_030[1] o +IPL_030[0] o +IPL[2] i +IPL[1] i +IPL[0] i +DSACK[1] b +DSACK[0] b +DTACK b +AVEC o +AVEC_EXP b +E o +VPA i +VMA o +RST i +RESET o +RW i +FC[1] i +FC[0] i +AMIGA_BUS_ENABLE o +AMIGA_BUS_DATA_DIR o +AMIGA_BUS_ENABLE_LOW o +CIIN o diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj new file mode 100644 index 0000000..97c35d3 --- /dev/null +++ b/Logic/BUS68030.prj @@ -0,0 +1,34 @@ +#-- Lattice Semiconductor Corporation Ltd. +#-- Synplify OEM project file c:/users/matze/amiga/hardwarehacks/68030-tk/logic\BUS68030.prj +#-- Written on Thu May 15 19:20:46 2014 + + +#device options +set_option -technology mach +set_option -part M4A5-128 + +#compilation/mapping options + +#map options + +#simulation options +set_option -write_verilog false +set_option -write_vhdl false + +#timing analysis options +set_option -synthesis_onoff_pragma false + +#-- add_file options +add_file -vhdl -lib work "68030-68000-bus.vhd" + +#-- top module name +set_option -top_module BUS68030 + +#-- set result format/file last +project -result_file "BUS68030.edi" + +#-- error message log file +project -log_file bus68030.srf + +#-- run Synplify with 'arrange VHDL file' +project -run diff --git a/Logic/BUS68030.srl b/Logic/BUS68030.srl new file mode 100644 index 0000000000000000000000000000000000000000..f5962e539bc94187dee9b303aef707cf4160dbd5 GIT binary patch literal 4903 zcmZ{ndpy&N|HtKSh}>_DLfV?!=nx8(%a-dxhDdI?W^>DJ%Ka|oS|LR)<+8cwBq_t( zjY;lzQtrv+#BZa%_5B^+{k6yA^LV{J@5}T3es97tj8yv{W`wb^@#)h9X_&6mz3u|g zievh@0*j-?l!tqJ6KI1m>iuu!NyB=RGVEbXzR`v?N1}|jo=d*TDK!(L&8N#rhG@ip zJvDNwvfukOa(din2p2i+U2qcF{c$a065G_IRm;X>Vj!)UbpDl}oj}dv_|{IJB*fF% zRuu9#<3f%}z07?)Ou!)}eTqd&dB8nVqJ@KGQgwS?XM2~$jZ2WB`mZ<1#$U&6QGk|4 z4Ite`)55rgVay2?QqMi2^V?jLyCrl6v+@s>Z7j-)xd~T=bwcTMURF%;Jt#j?sL1y+ zF1g|AU`T(~ysD=|wg+`Noz!vX@`d})`t#Qf`@N?1-vx-!GFyHmeO=CPvNP~G5!FC~ zFNIMLy)tOdP3Asn8j9E~s!zA{aZoR2>&bRAvNoh%u)1w}lI7{6OQJpv3O!psDvREI zplS}53ECdd%*Hhp2FQ&{)!LnirpG(AeF5^9O^+=4i_(Ah4yV%$Z+4cmxKMPeOfe=R zvP5tS+MZiTFM;ve;W&DX-u3oBN98WZty4GIYfti(DV@7)y671RagAv1>kSVm3Xk-* zRE}+dJD!QwLt#_k%g+++#**Hf47ShB-B~GrlEC9}5%{W@on~~|{+yz3hOQn{V#koB zs+Dw%M#!ub{|Reeaj)R}h(eei;~7h*k=VwUeC-b*kL{6`nVEP z@B>9`AVu_U%(fo5UKTdnDF__^VLt+MStT9U~K~p6$1>$yrtxuA@c6Pf6C67edkc03?M}DkFVK%Ff0a!S;DDj$P{@n}B12m^u^uxFn1iD$}DK3i^IFs(`ZI)JD-=Ey+c`8;$FC@J$`JqY_uOv_$E6`@0X za1+&Q+Ke&wDix!>z4eZlvLn#TjA-rtQXE_XivzbYLv^A$2~ZX=?E?@AXn|3u$2Uqf zuDAg)TXpB)9qM7o@D&gV3Aa$k0P#S##;rykpt>8dZoq<`WI;}L-;fC{(_Koy;h?fD ztvEomw0s+YKmfNvV>>4ZxDB}tMsU1>JE~QBW7%t?l?iGDj_o865EHnWiGyXu@=g{| zFTz4qKkT6BWyEqCb<9jG=IE9hPhNTNiM@!8;$sSm?CeJ@)l!6#Q|;q$Pe|#Jx($6* z-HNmCyB!R#V@$L%9&&%Wj2B(6eLc$XD@$@vJ!O=jh-H9i1%);+fdIvp6E51D`@3(^ z@$>Q4ay8ZZZcgvaoIb~p?!b?CKsta>bX&fB{?**GyykakYkW8DJ@`#Mj{HoNk&-AJ zOZJ56VFA%l7Nb%yv;|tu0)Rv#WXRsQjj>f`sEm|1DBfpnx%GRyA^IrPh%xq$WGVHh zxKxr|WMP#6I0!{@37(BV+Ta7>-bzmcKpw5lnf@JZZ((ijgDOti4$^eCE zaGbO}#0!mJ1fP8sEp2?-^RS4}(b7dwPq3uX7y>tQ(1M>)5%!&0$^ZaVFRisRo77to zn#r4~6L%EY-Uf^ciO-5?iMO*mONsnf>w8Km?8BKm4_K<#}J;9(hog)M^2>AyX zWFsgUjP5H6*k4AS=>HfU3dX)s8}+}9DAaZnyUG9rPjDnTihGB$p|?Vpj)a4dBn!1) z-C(5TL*cWVsEz%p{vTu=sMXH>ZsmcPjAb{oZsoo>fpC@u!iXlw$9Bd|0RG)lut9J$ zk^zkRIUWb)q`=rMCtC&uk(Dg53i?ISow}FpcaR>wTnzkz)iob@cl@Sy=%y9V*eP*; z$a} zR9(ZJ?oLKYZ63#%YcwKu?(y)F@9JK}NQ^etRffuCkf95$G_ZSwb?JfS@e9hKY<(gt zMa3%otw^h$9?5h+HNz-f%_j`J4MIS147vK0o56(E?%oF+CM!n5O`#eFBb5OVr**tmSqXnJMSk~~S*`llrhprRjY_={zc$5znnE>9 z7DlGpgmKkNFFrwGdAZ_{=|uc4$Nr@|*t9ShdDDV*Bu*Zy%jIvo^P1ls>G5k04-6?l!ep5$0B~7pYJ^{k zhWZT1@03ZlMaup~XA4saKv{Xt#f=)^>?wpcd=#h;bRqWS9kzzVv!m}-d_tRR*jE`* z*kDssxm>SOc<^BU9Eb)Yq15cT7PJ_dv^cK9UHU+F`J`qsIB!{SkT8368K-21Db0F6 z1f$Cfis7P7WiJ85*7R_5Ug#7we*w;5Uc6?2&Wvf_!`T?03YaLY*li1F4zuWPJLnbLfrmjVW_TZPY8a|~x_X$k3`|FF`WL(Kg4 zVMX2`s)df{pS2y)JyHFR@Gj7kC#~fbB}@)sgT*$yr%1&`!n}f5>)?>)*V}!!YX5GB zeWhMS$qalmT|X^Ya75H+@tfuP)>7srl~IpQ-5HQjsyeI2l&05_Fmo+>hDYu<>W;m* zfi>Rvi<(>V%gjKunXoy2s)^QqSs-&|%Q~*M>P)y$2U|%s#vgxX)S6eBcOcO&SQzwz z-=)g=?DRCVZiTsq@%%@UbJG;RP*PA%2Z6xx{ zZt1PkI~JR)upk;<$IAS*8HKp~9iMfycA$DY`YTreGhnmrUj3S~5K3ABi0DSvh5F?t z#W9HMt4=y5@(&F>H7I=VScpNqLb6s4-gs!jc}cV4vuCU5v->A~M;_b^G#Xk_uls_>H16IWj@bPXEAn+A1NYdi4f;`_=&hsY!%G251w{42iCKA*`8UE+v8Ci+ z(h%hP-s@7O@zbz(Cj`bPrO$6a^$A6GPCfA;&8>g?5zMDYTE_Y3)o~U}Y$Cqg6%ZLj zWN@Aq_Z zy|l?{Lt+;iQp=PS7arI+eVsEF+yK#DY z9+8GCC`e`Lx#rDBX9b+(#iCNf%r(4Te;eeVQy#ACPYtJe{k+k2IBW0v@ndFz_;JOx zm+MVh5Zll&;V;gAt6pgIU!ZH(@tF*g6UF4UUI&J(G@txpx<~%=vbRS=Wu#NBe?x?d G>i+-}%u=-g literal 0 HcmV?d00001 diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm new file mode 100644 index 0000000..d1a95e4 --- /dev/null +++ b/Logic/BUS68030.srm @@ -0,0 +1,2318 @@ +%%% protect protected_file +f "noname"; #file 0 +f "c:\program files (x86)\isplever\synpbase\lib\vhd\std.vhd"; #file 1 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +f "c:\program files (x86)\isplever\synpbase\lib\vhd\snps_haps_pkg.vhd"; #file 2 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +f "c:\program files (x86)\isplever\synpbase\lib\vhd\std1164.vhd"; #file 3 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +f "c:\program files (x86)\isplever\synpbase\lib\vhd\numeric.vhd"; #file 4 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +f "c:\program files (x86)\isplever\synpbase\lib\vhd\umr_capim.vhd"; #file 5 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +f "c:\program files (x86)\isplever\synpbase\lib\vhd\arith.vhd"; #file 6 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +f "c:\program files (x86)\isplever\synpbase\lib\vhd\unsigned.vhd"; #file 7 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +f "c:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd"; #file 8 +af .is_vhdl 1; +af .child_list "-1"; +af .parent_list "-1"; +VNAME 'mach.MACH_DFF.prim'; # view id 0 +VNAME 'mach.DFFRH.prim'; # view id 1 +VNAME 'mach.DFF.prim'; # view id 2 +VNAME 'mach.DFFSH.prim'; # view id 3 +VNAME 'mach.IBUF.prim'; # view id 4 +VNAME 'mach.BUFTH.prim'; # view id 5 +VNAME 'mach.OBUF.prim'; # view id 6 +VNAME 'mach.BI_DIR.prim'; # view id 7 +VNAME 'mach.AND2.prim'; # view id 8 +VNAME 'mach.INV.prim'; # view id 9 +VNAME 'mach.OR2.prim'; # view id 10 +VNAME 'mach.XOR2.prim'; # view id 11 +VNAME 'work.BUS68030.behavioral'; # view id 12 +@ERMRlENORBvq]w_7wsRbH +l;N3ORCV8HMCF8V;R4 +RNP3#8H#PFDCRlC4N; +PHR3#Hbsl;R4 +TFR;R +H7H; +RiBp;H +NRM#$_OH#D FOR +4;H;R) +1HR;R +HhQmaw)Q ;M +oRjkM;M +NRN3#PMC_CV0_D#No46R.no; +MMRk4N; +M#R3N_PCM_C0VoDN#.4R6 +n;bjRf:HjRMkPRMkjRM1jR;R +bfjj:RPHMR4kMR4kMR +);bjRf:0jRsRkC0CskRBeB;R +bfjj:RDVN#VCRNCD#R7th;R +bfjj:RV8VsT#RR7TRRiBpR4kMRjkM;R +MROlNEwR7wR)]blsH;P +NR#3HblsHR +4;FRRTk;Mj +7HR;R +HB;pi +)HR;M +oRjkM;M +NRN3#PMC_CV0_D#No46R.no; +MMRk4N; +M#R3N_PCM_C0VoDN#.4R6 +n;sjRf:ljRNROEv]qB_w7wRHbslhRQ1S4 +TM=kj7 +S=S7 +B=piB +piSk)=MS4 +1B=eBh +SmwaQQ= )t;h7 +fbRjR:jHRMPkRM4kRM4)b; +R:fjjsR0k0CRsRkCe;BB +fbRjR:jV#NDCNRVDR#Ct;h7 +RMRlENORw7wRHbslN; +PHR3#Hbsl;R4 +TFRRjkM;R +H7H; +RiBp;M +oRjkM;M +NRN3#PMC_CV0_D#No46R.ns; +R:fjjNRlOvERq_B]7RwwblsHR1QhcT +S=jkM +=S77B +SpBi=pSi +)B=eB1 +S=BeB +mShaQQw t)=h +7;bjRf:0jRsRkC0CskRBeB;R +bfjj:RDVN#VCRNCD#R7th;R +MROlNEwR7wR1]blsH;P +NR#3HblsHR +4;FRRTk;Mj +7HR;R +HB;pi +1HR;M +oRjkM;M +NRN3#PMC_CV0_D#No46R.no; +MMRk4N; +M#R3N_PCM_C0VoDN#.4R6 +n;sjRf:ljRNROEv]qB_w7wRHbslhRQ1S6 +TM=kj7 +S=S7 +B=piB +piSe)=BSB +1M=k4h +SmwaQQ= )t;h7 +fbRjR:jHRMPkRM4kRM41b; +R:fjjsR0k0CRsRkCe;BB +fbRjR:jV#NDCNRVDR#Ct;h7 +RMRlENORzQAwsRbH +l;N3PRHs#bH4lR;R +FmH; +R;Qj +RNH3bH#N48R;R +bfjj:RVLkRmmRR;Qj +fbRjR:j0CskRk0sCBReBb; +R:fjjNRVDR#CV#NDChRt7M; +RNRlOAERz]waRHbslN; +PHR3#Hbsl;R4 +mFRRjmr9N; +HHR3#8bNR +4;N#HR$0M_s0H#NR0C4H; +R;Qj +mHR b; +R:fjjsR0k0CRsRkCe;BB +fbRjR:jV#NDCNRVDR#Ct;h7 +fbRjR:j0RsHkrMjjm9RrRj9QmjR M; +RNRlOmERARzwblsH;P +NR#3HblsHR +4;F;Rm +RNH3bH#N48R;R +HQ +j;bjRf:LjRkmVRRQmRjb; +R:fjjsR0k0CRsRkCe;BB +fbRjR:jV#NDCNRVDR#Ct;h7 +RMRlENOR_AQ7RQ)blsH;P +NR#3HblsHR +4;F;Rm +QHRjL; +RRQmk;M4 +RNH3bH#N48R;H +NRM#$_H0s#00NC;R4 +mHR o; +MMRk4N; +M#R3N_PCM_C0VoDN#.4R6 +n;bjRf:0jRsRkC0CskRBeB;R +bfjj:RDVN#VCRNCD#R7th;R +bfjj:RH0sRjkMrRj9kRM4QmjR b; +R:fjjkRLVRRmmMRk4M; +RNRlOqERhR7.blsH;P +NR#3HblsHR +4;F;Rm +QHRjH; +R;Q4 +fbRjR:j0CskRk0sCBReBb; +R:fjjNRVDR#CV#NDChRt7b; +R:fjjMRN8mPRRQmRj4RQ;R +MROlNEhRQesRbH +l;N3PRHs#bH4lR;R +FmH; +R;Qj +fbRjR:jHRMPmRRmQ +j;bjRf:0jRsRkC0CskRBeB;R +bfjj:RDVN#VCRNCD#R7th;R +MROlNE)Rm.sRbH +l;N3PRHs#bH4lR;R +FmH; +R;Qj +QHR4b; +R:fjjsR0k0CRsRkCe;BB +fbRjR:jV#NDCNRVDR#Ct;h7 +fbRjR:jFRsPmRRmQQjR4M; +RNRlOXERmR).blsH;P +NR#3HblsHR +4;F;Rm +QHRjH; +R;Q4 +fbRjR:j0CskRk0sCBReBb; +R:fjjNRVDR#CV#NDChRt7b; +R:fjjFRGsmPRRQmRj4RQ; +@ +ftell; +@E@MR@nU::n(::R4cRsIF zRA1jnUdLjRCPENHNFsDN; +PDR3HMMCFdR6;P +NR#3HPDE8R +4;N3PRHP#_ER8D4N; +PNR3sVOEHRDC(N; +PlR3FD8kCDVHC;R( +RNP3M#$_NVlbIR"FRs \:"B\#\kC\s#\0lNx\C\NolHNE\\NIs8NEsCN#O \U\nj-dj0\ \DHFoOn\\Ujjd-jnUjLj-kP#3E"8\\;M" +RNP3MOF#M0N0C_so7R"1iqB_aQhrRj94B\MpBi_h4ar9"Rj;P +NRHFsoM_H#F0_VAR"zU1nj"dj;P +NRs3FHNohl"CRAnz1Ujjd"N; +PVR3D_FI#00NC +R{N3PRVIDF_sbNC_M0H_b#NH##o8MCR +4;N3PRVIDF_FbsbN#_bHbDC48R;P +NRD3VFDI_F#Fb_FLs RCMjN; +PVR3D_FIkJMHkHHVC48R;; +} +RNP3LO8_P#NC +R{N#PR$sM_CsVCCCMO_FODO{ R +RNP10$#C{lR +RNP3M#$_VsCOODF $_0bgCR;; +} + +};}N; +PFR3Lb#F0M8FC;R4 +@HR@gU::g4::qn:1d_jj1Rq_jjd;H +NR03sDs_FHNoMl"CRqj1_d;j" +RNH3HFsos8HRM'HF'k0;R +F@:@U44j:::4jn1:q_jjjR_q1j;jj +RNH3Ds0_HFsolMNCqR"1j_jj +";N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +@HR@4U:4::44n4::_71jRdj7j1_d +j;N3HRs_0DFosHMCNlR1"7_jjd"N; +HFR3s8HoH'sRHkMF0 +';F@R@U.:4:44:.::(z_71jRjjz_71j;jj +RNH3Ds0_HFsolMNCzR"7j1_j;j" +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F@:@U44d:::4d(7:p1j_jj7Rp1j_jjN; +HsR30FD_sMHoNRlC"1p7_jjj"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";H@R@Uc:4:44:c::c1 QZrj4:9QR1Z4 r:Rj91 QZrj4:9N; +HsR30FD_sMHoNRlC"Z1Q +";N3HRFosH8RHs'FHMk;0' +RNH3HC8VsNsNN$Ml'CR#CHx'H; +RU@@::4646:4:q4:r:d4jq9Rr:d4jq9Rr:d4j +9;N3HRs_0DFosHMCNlR""q;H +NRs3FHHo8sHR'M0Fk'N; +HCR38NHVs$sNMCNlR''N;R +H@:@U44n:::4ngu:Bzu_1qRB B_uz1Buq N; +HsR30FD_sMHoNRlC"zBu_q1uB; " +@FR@4U:(::44c(::)A ) RA) +);N3HRs_0DFosHMCNlR "A);)" +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +H@:@U44U:::4Unt:A_jjdR_Atj;dj +RNH3Ds0_HFsolMNCAR"td_jj +";F@R@Ug:4:44:g::nAjt_jAjRtj_jjN; +HsR30FD_sMHoNRlC"_Atj"jj;R +F@:@U.4j:::.jgt:Aq_BijRdjABtqid_jjN; +HsR30FD_sMHoNRlC"qAtBji_d;j" +@HR@.U:4::4.g4::qAtBji_jAjRtiqB_jjj;H +NR03sDs_FHNoMl"CRABtqij_jj +";H@R@U.:.:.4:.::(B_pijRdjB_pij;dj +RNH3Ds0_HFsolMNCBR"pji_d;j" +@HR@.U:d::4.(d::iBp_jjjRiBp_jjj;H +NR03sDs_FHNoMl"CRB_pij"jj;R +H@:@U.4c:::.cUp:Bi1_mZBQRpmi_1;ZQ +RNH3Ds0_HFsolMNCBR"pmi_1"ZQ;R +F@:@U.46:::.64B4:p7i_Qme_zBaRp7i_Qme_z +a;N3HRs_0DFosHMCNlRp"BiQ_7ez_ma +";F@R@Un:.:.4:n::(B_pi RXuB_pi ;Xu +RNH3Ds0_HFsolMNCBR"p i_X;u" +@FR@.U:(::4.n(::zwu_RB1w_uzB +1;N3HRs_0DFosHMCNlRu"wz1_B"F; +RU@@::.U4U:.:Q(:ujp_d.jr:Rj9Q_upjrdj.9:jRpQu_jjdrj.:9N; +HsR30FD_sMHoNRlC"pQu_jjd"N; +HCR38NHVs$sNMCNlRb'HDd_jj +';H@R@Ug:.:.4:g::dQrup.9:jRpQurj.:9uRQp:r.j +9;N3HRs_0DFosHMCNlRu"Qp +";N3HRCV8HNNss$lMNCHR'b;D' +@LR@dU:j::4d6j::q71B4ir:Rj97B1qi:r4j79R1iqBrj4:9N; +HsR30FD_sMHoNRlC"q71B;i" +RNH3b#DFosH8RHs"FHMk;0" +RNH3HC8VsNsNN$Ml'CR8O#N +';L@R@U4:d:d4:4::67BaqiaR7q;Bi +RNH3Ds0_HFsolMNC7R"aiqB"N; +H$R#Ms_0HN#004CR;R +F@:@Ud4.:::d.ce:q qBRe; B +RNH3Ds0_HFsolMNCqR"e" B;R +F@:@Ud4d:::ddUe:q B_XquRe_ B ;Xu +RNH3Ds0_HFsolMNCqR"e_ B "Xu;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs"0Fk"F; +RU@@::dc4c:d: 4:R + ;N3HRs_0DFosHMCNlR"" ;R +H@:@Ud46:::d6du:equReqN; +HsR30FD_sMHoNRlC"qeu"F; +RU@@::dn4n:d:ed:veqRv +q;N3HRs_0DFosHMCNlRv"eq +";H@R@U(:d:d4:(::d)R1a);1a +RNH3Ds0_HFsolMNC)R"1;a" +@FR@dU:U::4d6U::1) )aR a1 ;H +NR03sDs_FHNoMl"CR) 1a +";H@R@Ug:d:d4:g::.))WRWN; +HsR30FD_sMHoNRlC"")W;R +H@:@Uc44:::c4.B:wrj4:9BRwrj4:9BRwrj4:9N; +HsR30FD_sMHoNRlC""wB;H +NR83CHsVNsMN$NRlC''VO;R +F@:@Uc4.:::c.4qn:vqQt_1Az_q hARp qtvQqz_A1h_ q Ap;H +NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap"F; +RU@@::cd4d:c::4UqtvQqz_A1q_7a7q_Qq)RvqQt_1Az_a7qqQ_7)N; +HsR30FD_sMHoNRlC"QqvtAq_z71_q_aq7"Q);R +F@:@Uc4c:::cc.qj:vqQt_1Az_q hA_p pRmWqtvQqz_A1h_ q Ap_Wpm;H +NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap_Wpm"F; +RU@@::c646:c:Bc:QRQhBhQQ;H +NR03sDs_FHNoMl"CRBhQQ"o; +L1R7qrBij +9;N3LRLbH0F8s0H.sR;M +oR4kM_iBp_jjj_aBhr;d9 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_c +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR6h_;M +NRN3#PMC_CV0_D#No46R.no; +M_RhnN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +(;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_U +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRgh_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh4 +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh4 +.;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh4 +c;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh6_4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh4 +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh(_4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh4 +U;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhg_4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. +.;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. +c;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh6_.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh(_.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. +U;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhg_.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._4dN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_d.4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;4c +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_4 +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh6_.4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_c.6;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j4k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__434kM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j4k_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMe_vqQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRvQq_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MvReqh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_dj__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3d_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_dj__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3._k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_.j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRq7aB1i_Y_hBjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MRaiqB_h1YB3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRq7aB1i_Y_hBjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;o1MRvv_qQ_tq7__jjk_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1qv_vqQt_j7__3j_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_1vqtvQq__7j__j3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MtRAq_Bij_djQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oAMRtiqB_jjd_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMABtqid_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_Atj_jjjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oAMRtj_jj3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_Atj_jjjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1d_jjj_jjY_1hjB_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjd_jjj_h1YB3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_djj_jj1BYh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMw_uzBQ1_hja_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MuRwz1_B_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMw_uzBQ1_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7q_BiQ_haj__43dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7q_BiQ_haj__434kM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7q_BiQ_haj__43jkM;M +NRN3#PMC_CV0_D#No46R.no; +MuReqY_1hjB_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MuReqY_1hjB_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MuReqY_1hjB_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__.3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__j.k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__.3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__j4k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__434kM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__j4k_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__j3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__jjk_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__j3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MvR1_Qqvt7q__.j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o1MRvv_qQ_tq7__j.k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1qv_vqQt_j7__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_1vqtvQq__7j__43dkM;M +NRN3#PMC_CV0_D#No46R.no; +MvR1_Qqvt7q__4j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o1MRvv_qQ_tq7__j4k_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;opMR7j1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rp1j_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1z7_jjj_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMz_71j_jjQ_hajM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ozMR7j1_jQj_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.nb; +R4@@:44::.4:+:4.0CskR:fjjsR0k0CRsRkCe;BB +@bR@44::44::4.+.N:VDR#Cfjj:RDVN#VCRNCD#R7th;R +b@:@U.:.j..:.j+:d41.:vv_qQrtqj9:(R:fjjERoFR#01qv_vqQtr(j:9_Rh.hd,_,.ch6_.,.h_n_,h.h(,_,.Uhg_.,dh_jN; +HsR30_DC04FR;H +NRM#$_lV#_RH8".(.j...j;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +H#R30CN0_blNboHMRR"RRjjjjjjj4>R-Rjjjjjjj4R\MRjRjjjjj4-jR>jRjjjjj4Mj\RjRRjjjj4Rjj-j>Rjjjj4\jjMRRRjjjj4jjjRR->jjjj4jjj\RMRRjjj4jjjj>R-Rjjj4jjjjR\MRjRj4jjjj-jR>jRj4jjjjMj\RjRR4jjjjRjj-j>R4jjjj\jjMRRR4jjjjjjjRR->4jjjjjjj\;M" +@sR@dU:6c4::4d6:+.j41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w)RHbslvR1_Qqvtcqr9T +S=_1vqtvQq9rc +=S7h4_4. +_HSiBp=iBp_Zm1Q +_OS))=1Ha_;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(j...j..4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@Un:d(::cd:n(.4c+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9rd +=ST1qv_vqQtr +d9S17=vv_qQ_tqMc#r9B +SpBi=pmi_1_ZQO) +S=a)1_ +H;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR."(..j.."j4;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@Ud:U.cU:d..:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtq.S9 +Tv=1_Qqvt.qr97 +S=_1vqtvQq#_Mr +69SiBp=iBp_Zm1Q +_OS))=1Ha_;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(j...j..4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@UU:d(::cd:U(.4d+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9r4 +=ST1qv_vqQtr +49Sh7=_n44_SH +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"...j.4.j"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +RU@@:(dg:dc:g.(:..+4:_1vqtvQq:rj(f9RjR:jlENORw7w)b]RsRHl1qv_vqQtr +j9S1T=vv_qQrtqjS9 +7v=1_QqvtMq_#9r( +pSBip=Bi1_mZOQ_ +=S))_1aHN; +HsR30_DC04FR;H +NRM#$_lV#_RH8".(.j...j;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@4U:4dd:U4:4dj:c+:4.O_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#0jS9 +Tb=Ok#_C09rj +=S7h(_4 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRO_bkC"#0;H +NRM3kVOsN_8HMCjGR;H +NR$3#MM_HHN0PDjR""s; +RU@@:d44::dU4:4dc4j+.b:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09r4 +=STO_bkCr#04S9 +7_=h4SU +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRb"Ok#_C0 +";N3HRksMVNHO_MG8CR +4;N3HR#_$MH0MHPRND";j" +@sR@4U:4dd:U4:4dj:c+:4.O_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#0.S9 +Tb=Ok#_C09r. +=S7hg_4 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRO_bkC"#0;H +NRM3kVOsN_8HMC.GR;H +NR$3#MM_HHN0PDjR""s; +RU@@:d44::dU4:4dc4j+.b:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09rd +=STO_bkCr#0dS9 +7_=h.Sj +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRb"Ok#_C0 +";N3HRksMVNHO_MG8CR +d;N3HR#_$MH0MHPRND";j" +@sR@.U:6cd:(6:.dc:n+:4.1qv_vqQtr(j:9jRf:ljRNROE71ww]sRbH1lRvv_qQrtq(S9 +Tv=1_Qqvt(qr97 +S=4h_jHn_ +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30_DC04FR;H +NRM#$_lV#_RH8".(.j...j;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@.U:6.d:66:.d.:c+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqnS9 +Tv=1_Qqvtnqr97 +S=4h_jHU_ +pSBip=Bi1_mZOQ_ +=S))_1aHN; +HsR30_DC04FR;H +NRM#$_lV#_RH8".(.j...j;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@dU:dc6::6dd:+.j41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w)RHbslvR1_Qqvt6qr9T +S=_1vqtvQq9r6 +=S7h4_4j +_HSiBp=iBp_Zm1Q +_OS))=1Ha_;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(j...j..4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@U4:4dU:d:d44:+cj4B.:pji_jBj_hdar:Rj9fjj:ROlNEwR7wsRbHBlRpji_jBj_hjar9T +S=iBp_jjj_aBhr +j9Sk7=MB4_pji_jBj_hHa_r +d9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_jBj_h;a" +RNH3VkMs_NOHCM8G;Rj +RNH3M#$_HHM0DPNR""j;R +s@:@U4:4dd4U:4cd:j.+4:iBp_jjj_aBhrjd:9jRf:ljRNROE7RwwblsHRiBp_jjj_aBhr +49SBT=pji_jBj_h4ar97 +S= OD\p3Bij_jjh_Bar_d4S9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jjh_Ba +";N3HRksMVNHO_MG8CR +4;N3HR#_$MH0MHPRND";j" +@sR@4U:4dd:U4:4dj:c+:4.B_pij_jjBrhad9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjBrha.S9 +Tp=Bij_jjh_Ba9r. +=S7O\D 3iBp_jjj_aBh_.dr9B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_jjj_aBh"N; +HkR3MNVsOM_H8RCG.N; +H#R3$HM_MPH0N"DRj +";s@R@U4:4dU:d:d44:+cj4B.:pji_jBj_hdar:Rj9fjj:ROlNEwR7wsRbHBlRpji_jBj_hdar9T +S=iBp_jjj_aBhr +d9SO7=D3 \B_pij_jjB_had9rd +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjB"ha;H +NRM3kVOsN_8HMCdGR;H +NR$3#MM_HHN0PDjR""s; +RU@@:j4j::.g4:jjd44+.v:1_Qqvt7q_rj.:9jRf:ljRNROE7RwwblsHR_1vqtvQqr_7jS9 +Tv=1_Qqvt7q_r +j9Sh7=_S( +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRv"1_Qqvt7q_"N; +HkR3MNVsOM_H8RCGjN; +H#R3$HM_MPH0N"DRj +";s@R@Uj:4jg:.:j4j:+d441.:vv_qQ_tq7:r.jf9RjR:jlENORw7wRHbslvR1_Qqvt7q_r +49S1T=vv_qQ_tq79r4 +=S7h +_USiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC1R"vv_qQ_tq7 +";N3HRksMVNHO_MG8CR +4;N3HR#_$MH0MHPRND";j" +@sR@4U:j.j:gj:4j4:d+:4.1qv_vqQt_.7r:Rj9fjj:ROlNEwR7wsRbH1lRvv_qQ_tq79r. +=ST1qv_vqQt_.7r97 +S=gh_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR1qv_vqQt_;7" +RNH3VkMs_NOHCM8G;R. +RNH3M#$_HHM0DPNR""j;R +s@:@U4:jj.4g:jdj:4.+4:pQu_jjdrj.:9jRf:ljRNROE71ww]sRbHQlRujp_dwj7wr1]jS9 +Tu=Qpd_jjr_OjS9 +7_=h4Sc +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCQR"ujp_d;j" +RNH3VkMs_NOHCM8G;Rj +@sR@4U:j.j:gj:4j4:d+:4.Q_upjrdj.9:jR:fjjNRlO7ERw]w1RHbsluRQpd_jjw7w14]r9T +S=pQu_jjd_4Or97 +S=4h_6B +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlRu"Qpd_jj +";N3HRksMVNHO_MG8CR +4;s@R@Uj:4jg:.:j4j:+d44Q.:ujp_d.jr:Rj9fjj:ROlNEwR7wR1]blsHRpQu_jjd71ww]9r. +=STQ_upj_djO9r. +=S7hn_4 +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"pQu_jjd"N; +HkR3MNVsOM_H8RCG.s; +RU@@::g4dg.:4c:d+:4.qj1_djj_j1j_YRhBfjj:ROlNEwR7wR1]blsHR_q1j_djj_jj1BYh +=STqj1_djj_j1j_Y +hBSh7=_Sn +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:jj.4g:jdj:4.+4:qeu_h1YBjRf:ljRNROE71ww]sRbHelRu1q_Y +hBSeT=u1q_Y +hBSh7=_ +4jSiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRe_uq1BYh"N; +H#R3$HM_MPH0N"DR4 +";s@R@Uj:4jg:.:j4j:+d44A.:tj_jjjRf:ljRNROE71ww]sRbHAlRtj_jjw7w1S] +Tt=A_jjj_SO +7_=h4S4 +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCAR"tj_jj +";N3HRHDM_FRFbds; +RU@@:j..:..:.dj:+:4.qj1_jQj_hfaRjR:jlENORw7w1b]RsRHlqj1_jQj_hSa +T1=q_jjj_aQh +=S7h._4 +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"_q1j_jjQ"ha;H +NR$3#MM_HHN0PD4R""N; +HHR3MF_DFjbR;R +s@:@U4:j664.:j66:6.+4:qev_aQhR:fjjNRlO7ERw]w1RHbslvReqh_QaT +S=qev_aQh +=S7hd_4 +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"qev_aQh"N; +H#R3$HM_MPH0N"DR4 +";N3HRHDM_FRFb(s; +RU@@:j4j::.g4:jjd44+.t:Aq_Bij_djQRhafjj:ROlNEwR7wR1]blsHRqAtBji_dQj_hSa +Tt=Aq_Bij_djQ +haSh7=_ +.4SiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRABtqid_jjh_Qa +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +c;s@R@U.:4c::.4:.cd.+4:iBp_amz_ u)R:fjjNRlO7ERwbwRsRHlB_pim_zau +) SBT=pmi_zua_)S +7_=h.S. +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Biz_ma)_u +";N3HRHDM_FRFb6N; +H#R3$HM_MPH0N"DR4 +";s@R@Uj:4jg:.:j4j:+d447.:1iqB_aQhrR49fjj:ROlNEwR7wR1]blsHRq71BQi_h4ar9T +S=q71BQi_h4ar97 +S=4h_ +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +H#R3$HM_MPH0N"DR4 +";N3HRs_0DFosHMCNlR1"7q_BiQ"ha;R +s@:@Ugd4:.4:g:+dc4z.:7j1_jQj_hfaRjR:jlENORw7w1b]RsRHlz_71j_jjQ +haSzT=7j1_jQj_hSa +7_=h.B +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlR7"z1j_jjh_Qa +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +4;s@R@U4:g::d.gd4:c.+4:1p7_jjj_aQhR:fjjNRlO7ERw]w1RHbsl7Rp1j_jjh_QaT +S=1p7_jjj_aQh +=S7h +_dSiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRp_71j_jjQ"ha;H +NR$3#MM_HHN0PD4R""N; +HHR3MF_DF.bR;R +s@:@U4:jj.4g:jdj:4.+4:q7aB1i_YRhBfjj:ROlNEwR7wR1]blsHRq7aB1i_Y +hBS7T=aiqB_h1YB7 +S=ch_ +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"q7aB1i_Y"hB;H +NR$3#MM_HHN0PD4R""s; +RU@@:j4j::.g4:jjd44+.u:wz1_B_aQhR:fjjNRlO7ERw]w1RHbsluRwz1_B_aQh +=STw_uzBQ1_hSa +7_=h6B +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlRu"wz1_B_aQh"N; +H#R3$HM_MPH0N"DR4 +";N3HRHDM_FRFbns; +RU@@:j4j::.g4:jjd44+.a:7q_Bi7Rvqfjj:ROlNEwR7wR1]blsHRq7aB7i_vSq +Ta=7q_Bi7 +vqS#7=0CN0_OlNECHM\M3k4Nc_#j_jjM_H0 +_HSiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CR7Baqiv_7q +";N3HR#_$MH0MHPRND";4" +@sR@4U:..c::c4.:4d+.p:Bih_Ba9rjR:fjjNRlO7ERwbwRsRHlB_piBrhajS9 +Tp=Bih_Ba9rj +=S7B_piB_haH9rj +pSBip=Bi1_mZOQ_;H +NR$3#MM_HHN0PDjR""N; +HsR30FD_sMHoNRlC"iBp_aBh"s; +RU@@:444::d.4:44d4c+.Q:)1tQh_iBp_QqvtfqRjR:jlENORw7wRHbslQR)1tQh_iBp_QqvtSq +TQ=)1tQh_iBp_QqvtSq +7D=O )\3Qh1Qtp_Biv_qQ_tq4B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"1)QQ_htB_piqtvQq +";N3HR#_$MH0MHPRND";j" +@sR@nU:66:.::n6d4j+.u:eqR_7fjj:ROlNEwR7wsRbHelRu7q_ +=STe_uq77 +S=qeu_SO +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRu"eq"_7;H +NR$3#MM_HHN0PD4R""s; +RU@@:U4j::d44:jUd4d+.p:Bij_jjR_7fjj:ROlNEwR7wsRbHBlRpji_j7j_ +=STB_pij_jj77 +S=iBp_jjj_SO +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj"_7;H +NR$3#MM_HHN0PD4R""s; +RU@@:c4.:4.:.dc:+:4.) 1ajRf:ljRNROE7RwwblsHR1) wa7wT +S=1) Oa_ +=S7)_1aOB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"1) ;a" +@sR@4U:4dj:44:4jd:d+:4.B_pij_jj7f7RjR:jlENORw7wRHbslpRBij_jj7_7 +=STB_pij_jj7S7 +7p=Bij_jj +_7SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_j7j_7 +";N3HR#_$MH0MHPRND";4" +@sR@4U:..c::c4.:4d+.p:Biz_mah_QajRf:ljRNROE7RwwblsHRiBp_amz_aQh +=STB_pim_zaQ +haSB7=pmi_zua_)S +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Biz_mah_Qa +";N3HR#_$MH0MHPRND";4" +fsRjR:jlENORzQAwsRbHqlR1d_jjm +S=_q1j_djOQ +Sj1=q_jjd;R +sfjj:ROlNEzRAwRa]blsHR_q1j +jjSqm=1j_jjQ +Sj1=q_jjj_aQh + Sm=qAtBji_dQj_h +a;sjRf:ljRNROEQwAzRHbsl1R7_jjd +=Sm7j1_dOj_ +jSQ=_71j;dj +fsRjR:jlENORwAzab]RsRHlz_71j +jjSzm=7j1_jSj +Qzj=7j1_jQj_hSa +mA =tiqB_jjd_aQh;R +sfjj:ROlNEzRAwRa]blsHR1p7_jjj +=Smp_71j +jjS=Qjp_71j_jjQ +haS=m ABtqid_jjh_Qas; +R:fjjNRlOQERARzwblsHRZ1Q 9rj +=Sm1 QZ_jOr9Q +SjQ=1Zj r9s; +R:fjjNRlOQERARzwblsHRZ1Q 9r4 +=Sm1 QZ_4Or9Q +SjQ=1Z4 r9s; +R:fjjNRlOQERARzwblsHRjqr9m +S=Oq_r +j9S=Qjq9rj;R +sfjj:ROlNEARQzbwRsRHlqnr49m +S=Oq_r94n +jSQ=4qrn +9;sjRf:ljRNROEQwAzRHbslrRq4 +(9Sqm=_4Or(S9 +Qqj=r94(;R +sfjj:ROlNEARQzbwRsRHlqUr49m +S=Oq_r94U +jSQ=4qrU +9;sjRf:ljRNROEQwAzRHbslrRq4 +g9Sqm=_4OrgS9 +Qqj=r94g;R +sfjj:ROlNEARQzbwRsRHlqjr.9m +S=Oq_r9.j +jSQ=.qrj +9;sjRf:ljRNROEQwAzRHbslrRq. +49Sqm=_.Or4S9 +Qqj=r9.4;R +sfjj:ROlNEARQzbwRsRHlq.r.9m +S=Oq_r9.. +jSQ=.qr. +9;sjRf:ljRNROEQwAzRHbslrRq. +d9Sqm=_.OrdS9 +Qqj=r9.d;R +sfjj:ROlNEARQzbwRsRHlqcr.9m +S=Oq_r9.c +jSQ=.qrc +9;sjRf:ljRNROEQwAzRHbslrRq. +69Sqm=_.Or6S9 +Qqj=r9.6;R +sfjj:ROlNEARQzbwRsRHlqnr.9m +S=Oq_r9.n +jSQ=.qrn +9;sjRf:ljRNROEQwAzRHbslrRq. +(9Sqm=_.Or(S9 +Qqj=r9.(;R +sfjj:ROlNEARQzbwRsRHlqUr.9m +S=Oq_r9.U +jSQ=.qrU +9;sjRf:ljRNROEQwAzRHbslrRq. +g9Sqm=_.OrgS9 +Qqj=r9.g;R +sfjj:ROlNEARQzbwRsRHlqjrd9m +S=Oq_r9dj +jSQ=dqrj +9;sjRf:ljRNROEQwAzRHbslrRqd +49Sqm=_dOr4S9 +Qqj=r9d4;R +sfjj:ROlNEARQzbwRsRHlB_uz1Buq m +S=zBu_q1uBO _ +jSQ=zBu_q1uB + ;sjRf:ljRNROEAazw]sRbHAlR +))SAm= +))S=Qjt +h7S=m w_uzBQ1_hHa_;R +sfjj:ROlNEARQzbwRsRHlAjt_dSj +mt=A_jjd_SO +QAj=td_jjs; +R:fjjNRlOmERARzwblsHR_Atj +jjSAm=tj_jjQ +Sjt=A_jjj_ +O;sjRf:ljRNROEmwAzRHbsltRAq_Bij +djSAm=tiqB_jjd +jSQ=qAtBji_dQj_h +a;sjRf:ljRNROEQwAzRHbsltRAq_Bij +jjSAm=tiqB_jjj_SO +QAj=tiqB_jjj;R +sfjj:ROlNEARQzbwRsRHlB_pij +djSBm=pji_dOj_ +jSQ=iBp_jjd;R +sfjj:ROlNEARQzbwRsRHlB_pij +jjSBm=pji_jOj_ +jSQ=iBp_jjj;R +sfjj:ROlNEARQzbwRsRHlB_pimQ1Z +=SmB_pimQ1Z_SO +QBj=pmi_1;ZQ +fsRjR:jlENORzmAwsRbHBlRp7i_Qme_zSa +mp=BiQ_7ez_maQ +Sjp=Biz_mah_Qas; +R:fjjNRlOmERARzwblsHRiBp_u X +=SmB_pi +XuS=QjO_D C_GbHs; +R:fjjNRlOmERARzwblsHRzwu_ +B1Swm=uBz_1Q +Sju=wz1_B_aQh;R +sfjj:ROlNEARmzbwRsRHlQ_upjrdjjS9 +mu=Qpd_jj9rj +jSQ=pQu_jjd_jOr9s; +R:fjjNRlOmERARzwblsHRpQu_jjdr +49SQm=ujp_d4jr9Q +Sju=Qpd_jjr_O4 +9;sjRf:ljRNROEmwAzRHbsluRQpd_jj9r. +=SmQ_upjrdj.S9 +QQj=ujp_dOj_r;.9 +fsRjR:jlENORzQAwsRbHQlRujpr9m +S=pQu_jOr9Q +Sju=Qp9rj;R +sfjj:ROlNEARQzbwRsRHlQrup4S9 +mu=Qpr_O4S9 +QQj=u4pr9s; +R:fjjNRlOQERARzwblsHRpQur +.9SQm=uOp_r +.9S=QjQrup. +9;sjRf:ljRNROEAazw]sRbH7lR1iqBr +j9S7m=1iqBr +j9S=Qje +BBS=m B_uz1Buq ;_H +fsRjR:jlENOR_AQ7RQ)blsHRq71B4ir9m +S=q71BOi_r +49S=Qj7B1qih_Qa9r4 +mSQ=q71B4ir9m +S u=Bzu_1q_B Hs; +R:fjjNRlOAERQQ_7)sRbH7lRaiqB +=Sm7Baqi +_OS=Qj7Baqiv_7qQ +Sma=7q +BiS=m ABtqid_jjh_Qa;_H +fsRjR:jlENORzmAwsRbHqlRe + BSqm=e + BS=Qje;BB +fsRjR:jlENORwAzab]RsRHlqBe _u X +=SmqBe _u X +jSQ=7th + Sm=zwu__B1Q_haHs; +R:fjjNRlOmERARzwblsHRS +m += S=QjO_bkCr#0d +9;sjRf:ljRNROEQwAzRHbsluReqm +S=qeu_SO +Qej=u +q;sjRf:ljRNROEmwAzRHbslvReqm +S=qev +jSQ=qev_aQh;R +sfjj:ROlNEARQzbwRsRHl) +1aS)m=1Oa_ +jSQ=a)1;R +sfjj:ROlNEARmzbwRsRHl) 1am +S=1) Sa +Q)j= a1 _ +O;sjRf:ljRNROEQwAzRHbslWR) +=Sm)OW_ +jSQ=;)W +fsRjR:jlENORzQAwsRbHwlRB9rj +=SmwOB_r +j9S=QjwjBr9s; +R:fjjNRlOQERARzwblsHRrwB4S9 +mB=w_4Or9Q +SjB=wr;49 +fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_q hA +p Sqm=vqQt_1Az_q hA +p S=Qjt;h7 +fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_a7qqQ_7)m +S=QqvtAq_z71_q_aq7 +Q)S=Qj)HW_;R +sfjj:ROlNEARmzbwRsRHlqtvQqz_A1h_ q Ap_Wpm +=SmqtvQqz_A1h_ q Ap_Wpm +jSQ=BeB;R +sfjj:ROlNEzRAwRa]blsHRQBQhm +S=QBQhQ +Sj_=h. +6cS=m h6_.4s; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_Nj_c__449rd +=Smh(_4c +_4S=QjO_bkC_#0H9rj +4SQ=kOb_0C#_4Hr9s; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_Nj_cr_4dS9 +m_=h4 +(cS=Qjh(_4c +_4S=Q4O_bkC_#0H9r.;R +sfjj:ROlNEhRq7b.RsRHlt._46 +_4SOm=DC _G4b_ +jSQ=.h_4S6 +Qh4=_d.4;R +sfjj:ROlNEhRq7b.RsRHlt._46m +S= OD_bCG +jSQ= OD_bCG_S4 +Qh4=_c.4;R +sfjj:ROlNEhRq7b.RsRHlk_MgHd_N_..r9m +S=4h_d.j_ +jSQ=_1vqtvQqr_HcS9 +Q14=vv_qQ_tqH9rn;R +sfjj:ROlNEhRq7b.RsRHlk_MgHd_Nr +.9Shm=_j4d +jSQ=4h_d4j_ +4SQ=4h_d.j_;R +sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_hajJ_#lNkG_S4 +m7=z1j_jjh_Qa__j#kJlG4N_ +jSQ=iBp_jjj_S7 +Q74=1d_jj;_H +fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja__l#Jk_GN.m +S=1z7_jjj_aQh_#j_JGlkN +_.S=Qj)HW_ +4SQ=_1vqtvQq9rc;R +sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_hajJ_#lNkG +=Smz_71j_jjQ_hajJ_#lNkG +jSQ=1z7_jjj_aQh_#j_JGlkN +_4S=Q4z_71j_jjQ_hajJ_#lNkG_ +.;sjRf:ljRNROEq.h7RHbslaR7q_Bi1BYh_#4_JGlkN__4jm +S=q7aB1i_Y_hB4J_#lNkG_j4_ +jSQ=q7aBHi_ +4SQ=qeu_ +7;sjRf:ljRNROEq.h7RHbslaR7q_Bi1BYh_#4_JGlkNm +S=q7aB1i_Y_hB4J_#lNkG +jSQ=q7aB1i_Y_hB4J_#lNkG_j4_ +4SQ=qeu_h1YB__4#kJlG4N__ +j;sjRf:ljRNROEq.h7RHbsluReqY_1h4B__l#Jk_GN4 +_4Sem=u1q_Y_hB4J_#lNkG_44_ +jSQ=4h_nHc_ +4SQ=qeu_H7_;R +sfjj:ROlNEhRq7b.RsRHle_uq1BYh_#4_JGlkN +_.Sem=u1q_Y_hB4J_#lNkG_S. +Qej=u1q_Y_hB4J_#lNkG_j4_ +4SQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHelRu1q_Y_hB4J_#lNkG_Sd +mu=eqY_1h4B__l#Jk_GNdQ +Sju=eqY_1h4B__l#Jk_GN4 +_4S=Q4e_uq1BYh_#4_JGlkN;_. +fsRjR:jlENOR7qh.sRbHelRu1q_Y_hB4J_#lNkG +=Sme_uq1BYh_#4_JGlkNQ +Sju=eqY_1h4B__l#Jk_GNdQ +S4b=Ok#_C09r.;R +sfjj:ROlNEhRq7b.RsRHlO\D 3kOb_0C#__44Hc_N_4j_r +.9Shm=_44(_S4 +QOj=bCk_#40r9Q +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslDRO O\3bCk_#40_4__HNjc_r +.9Shm=_44( +jSQ=4h_(44_ +4SQ=kOb_0C#_.Hr9s; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_NH_cr_4.S9 +m_=h4_(j4Q +Sj_=h4_cg. +_HS=Q4O_bkCr#0j +9;sjRf:ljRNROEq.h7RHbslDRO O\3bCk_#40_4__HN.cr9m +S=4h_(Sj +Qhj=_j4(_S4 +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#___N.j__.j9rn +=Smhc_4g__.jQ +Sjb=Ok#_C09rj +4SQ=kOb_0C#r;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#___N.j9rn +=Smhc_4gQ +Sj_=h4_cg4Q +S4_=h4_cg.;_j +fsRjR:jlENOR7qh.sRbHklRMHg___Nd49rj +=Smhd_4. +_4S=Qjh6_4jQ +S4v=1_QqvtHq_r;j9 +fsRjR:jlENOR7qh.sRbHklRMHg__rNdjS9 +m_=h4 +d.S=Qjhd_4. +_4S=Q41qv_vqQt_dHr9s; +R:fjjNRlOqERhR7.blsHRgkM_NH_dr_44S9 +m_=h4_d44Q +Sj_=h4 +64S=Q41qv_vqQt_jHr9s; +R:fjjNRlOqERhR7.blsHRgkM_NH_d9r4 +=Smhd_44Q +Sj_=h4_d44Q +S4v=1_QqvtHq_r;49 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kcO._Dj _d4j_ +=Sm#00NCN_lOMEHCk\3M_c.O_D j_dj4Q +Sj_=qO(r49Q +S4_=qHnr49s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.Mc_ OD_jjd_S. +m0=#N_0ClENOH\MC3ckM.D_O d_jj +_.S=Qjqr_H4 +U9S=Q4qr_H4;g9 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kcO._Dj _ddj_ +=Sm#00NCN_lOMEHCk\3M_c.O_D j_djdQ +SjB=w_4Or9Q +S4t=Aq_Bij_jjOs; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.Mc_ OD_jjd_Sc +m0=#N_0ClENOH\MC3ckM.D_O d_jj +_cS=Qj#00NCN_lOMEHCk\3M_c.O_D j_dj4Q +S40=#N_0ClENOH\MC3ckM.D_O d_jj;_. +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kcO._Dj _d6j_ +=Sm#00NCN_lOMEHCk\3M_c.O_D j_dj6Q +Sj0=#N_0ClENOH\MC3ckM.D_O d_jj +_dS=Q4wOB_r;j9 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kcO._Dj _dSj +m0=#N_0ClENOH\MC3ckM.D_O d_jjQ +Sj0=#N_0ClENOH\MC3ckM.D_O d_jj +_cS=Q4#00NCN_lOMEHCk\3M_c.O_D j_dj6s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Nd49r6 +=Smhc_4. +_4S=QjB_pij_jj7 +_HS=Q4h._44s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rNd6S9 +m_=h4 +c.S=Qjhc_4. +_4S=Q41qv_vqQtr;d9 +fsRjR:jlENOR7qh.sRbHklRMHg___Nd49r. +=Smhd_4j +_4S=Qj1qv_vqQt_jHr9Q +S4v=1_QqvtHq_r;.9 +fsRjR:jlENOR7qh.sRbHklRMOU_H_HM4m +S=.h_644_ +jSQ=Hq_r9.c +4SQ=Hq_r9.6;R +sfjj:ROlNEhRq7b.RsRHlk_MUOMHH_S. +m_=h._64.Q +Sj_=qHnr.9Q +S4_=qH(r.9s; +R:fjjNRlOqERhR7.blsHRUkM_HOHM +_dShm=_4.6_Sd +Qqj=_.HrUS9 +Qq4=_.Hrg +9;sjRf:ljRNROEq.h7RHbslMRkUH_OHcM_ +=Smh6_.4 +_cS=Qjqr_Hd +j9S=Q4qr_Hd;49 +fsRjR:jlENOR7qh.sRbHklRMOU_H_HM6m +S=.h_664_ +jSQ=.h_644_ +4SQ=.h_6.4_;R +sfjj:ROlNEhRq7b.RsRHlk_MUOMHH_Sn +m_=h._64nQ +Sj_=h._64dQ +S4_=h._64cs; +R:fjjNRlOqERhR7.blsHRUkM_HOHMm +S=.h_6S4 +Qhj=_4.6_S6 +Qh4=_4.6_ +n;sjRf:ljRNROEq.h7RHbslMRkcH_OH4M_ +=Smh6_.c +_4S=Qjqr_O. +j9S=Q4qr_O.;49 +fsRjR:jlENOR7qh.sRbHklRMOc_H_HM.m +S=.h_6.c_ +jSQ=Oq_r9.. +4SQ=Oq_r9.d;R +sfjj:ROlNEhRq7b.RsRHlk_McOMHH +=Smh6_.cQ +Sj_=h._6c4Q +S4_=h._6c.s; +R:fjjNRlOqERhR7.blsHRq71BQi_h4a__l#Jk_GN4 +_jS7m=1iqB_aQh_#4_JGlkN__4jQ +Sj1=q_jjd_jjj_h1YB +_HS=Q4B_pij_jj7;_H +fsRjR:jlENOR7qh.sRbH7lR1iqB_aQh_#4_JGlkN +_.S7m=1iqB_aQh_#4_JGlkN +_.S=QjB_pim_zau +) S=Q41qv_vqQtr;j9 +fsRjR:jlENOR7qh.sRbH7lR1iqB_aQh_#4_JGlkN +_dS7m=1iqB_aQh_#4_JGlkN +_dS=Qj7B1qih_Qa__4#kJlG4N__Sj +Q74=1iqB_aQh_#4_JGlkN;_. +fsRjR:jlENOR7qh.sRbH7lR1iqB_aQh_#4_JGlkNm +S=q71BQi_h4a__l#Jk +GNS=Qj7B1qih_Qa__4#kJlGdN_ +4SQ=N#00lC_NHOEM3C\kUM(_ OD_jjj_ +8;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HNj.__n4r9m +S=4h_c4g_ +jSQ=4h_c.g_ +4SQ=qeu_h1YB;_H +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k.O6_Dj _j8j_ +=Sm#00NCN_lOMEHCk\3M_.6O_D j_jj8 +_HS=Qj#00NCN_lOMEHCk\3M_.6O_D j_jj8__H4Q +S4Q=1ZO __4Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_4H_r +n9Shm=_n44_4H_ +jSQ=4h_cHd_ +4SQ=4h_cHc_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H9rn +=Smh4_4n +_HS=Qjh4_4n__H4Q +S4_=h4_6jHs; +R:fjjNRlOqERhR7.blsHR4kM__Loj_dj4m +S=4kM__Loj_djj +_4S=QjAjt_dOj__SH +QB4=u1z_u qB_ +H;sjRf:ljRNROEq.h7RHbslMRk4o_L_jjd_S. +mM=k4o_L_jjd_.j_ +jSQ=_q1j_djOQ +S4_=h4_ddHs; +R:fjjNRlOqERhR7.blsHR4kM__Loj +djSkm=ML4_od_jj +_jS=Qjk_M4Ljo_djj__S4 +Qk4=ML4_od_jj__j.s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\qj1_djj_j1j_Y_hBd +_4S#m=0CN0_OlNECHM\13q_jjd_jjj_h1YB__d. +_4S=Qjqj1_dHj_ +4SQ=zBu_q1uBH _;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCq\31d_jjj_jjY_1hdB_ +=Sm#00NCN_lOMEHCq\31d_jjj_jjY_1hdB__S. +Q#j=0CN0_OlNECHM\13q_jjd_jjj_h1YB__d. +_4S=Q4#00NCN_lOMEHCk\3M_c.O_D j_djHs; +R:fjjNRlOqERhR7.blsHR4kM_1z7_jjj_aQh_#j_JGlkN +_4Skm=Mz4_7j1_jQj_hja__l#Jk_GNH +_4S=Qjh._4.Q +S4v=1_QqvtHq_r;69 +fsRjR:jlENOR7qh.sRbHklRMz4_7j1_jQj_hja__l#Jk +GNSkm=Mz4_7j1_jQj_hja__l#Jk_GNHQ +SjM=k47_z1j_jjh_Qa__j#kJlGHN__S4 +Qz4=7j1_jQj_hja__l#Jk_GNHs; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_4j_r +d9SOm=D3 \O_bkC_#04j4__d4r9Q +Sj_=h4_(cHQ +S4_=h4_(.Hs; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_djr9m +S= OD\b3Ok#_C04_4_djr9Q +SjD=O O\3bCk_#40_4__j49rd +4SQ=4h_(Hd_;R +sfjj:ROlNEhRq7b.RsRHlO\D 3kOb_0C#__44jr_44S9 +mD=O O\3bCk_#40_4__j49r4 +jSQ=4h_nHg_ +4SQ=4h_nH(_;R +sfjj:ROlNEhRq7b.RsRHlO\D 3kOb_0C#__44jr_.4S9 +mD=O O\3bCk_#40_4__j.9r4 +jSQ=4h_nHn_ +4SQ=4h_nHU_;R +sfjj:ROlNEhRq7b.RsRHlO\D 3kOb_0C#__44j9r4 +=SmO\D 3kOb_0C#__44j9r4 +jSQ= OD\b3Ok#_C04_4_4j_r +49S=Q4O\D 3kOb_0C#__44jr_.4 +9;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\k(Mn_ OD_jjj_H8_ +=Sm#00NCN_lOMEHCk\3M_n(O_D j_jj8 +_HS=Qj#00NCN_lOMEHCk\3M_n(O_D j_jj8s; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_(UO_D j_jj8 +_HS#m=0CN0_OlNECHM\M3k(OU_Dj _j8j_ +jSQ=N#00lC_NHOEM3C\kUM(_ OD_jjj_j8_;R +sfjj:ROlNEhRQesRbHOlRD3 \)QQ1hBt_pqi_vqQt_H4_ +=SmO\D 31)QQ_htB_piqtvQq__4HQ +SjD=O )\3Qh1Qtp_Biv_qQ_tq4s; +R:fjjNRlOQERhbeRsRHlhd_46 +_HShm=_64d_SH +Qhj=_64d;R +sfjj:ROlNEhRQesRbHhlR_g4c_SH +m_=h4_cgHQ +Sj_=h4;cg +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__HFH._r +n9Shm=_g44 +jSQ=4h_4jg_;R +sfjj:ROlNEhRQesRbHBlRpji_jBj_hHa_r +49SBm=pji_jBj_hHa_r +49S=QjB_pij_jjBrha4 +9;sjRf:ljRNROEQRheblsHRiBp_jjj_aBh_jHr9m +S=iBp_jjj_aBh_jHr9Q +Sjp=Bij_jjh_Ba9rj;R +sfjj:ROlNEhRQesRbHBlRpji_jBj_hHa_r +d9SBm=pji_jBj_hHa_r +d9S=QjB_pij_jjBrhad +9;sjRf:ljRNROEQRheblsHRiBp_jjj_aBh_.Hr9m +S=iBp_jjj_aBh_.Hr9Q +Sjp=Bij_jjh_Ba9r.;R +sfjj:ROlNEhRQesRbHtlR_j46_SH +m0=#N_0ClENOH\MC3nkMgD_O j_jj +_8S=Qj#00NCN_lOMEHCk\3M_ngO_D j_jj8;_j +fsRjR:jlENOR7qh.sRbHtlR_j46_S4 +m0=#N_0ClENOH\MC3nkMgD_O j_jj__8j +_4S=QjB_pij_jjB_haH9rj +4SQ=iBp_jjj_aBh_4Hr9s; +R:fjjNRlOqERhR7.blsHR4t_6.j_ +=Sm#00NCN_lOMEHCk\3M_ngO_D j_jj8__j.Q +Sjp=Bij_jjh_Bar_H.S9 +QB4=pji_jBj_hHa_r;d9 +fsRjR:jlENOR7qh.sRbHtlR_j46 +=Sm#00NCN_lOMEHCk\3M_ngO_D j_jj8 +_jS=Qj#00NCN_lOMEHCk\3M_ngO_D j_jj8__j4Q +S40=#N_0ClENOH\MC3nkMgD_O j_jj__8j;_. +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k.O6_Dj _j8j__S4 +m0=#N_0ClENOH\MC3.kM6D_O j_jj__8H +_4S=Qj1 QZ_jOr9Q +S4_=qOr_Hj +9;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\qj1_djj_j1j_Y_hBd +_HS#m=0CN0_OlNECHM\13q_jjd_jjj_h1YB +_dS=Qj#00NCN_lOMEHCq\31d_jjj_jjY_1hdB__ +.;sjRf:ljRNROEQRheblsHR4h_dHd_ +=Smhd_4d +_HS=Qjhd_4ds; +R:fjjNRlOQERhbeRsRHlk_M4Ljo_dHj_ +=Smk_M4Ljo_dSj +Qkj=ML4_od_jj;_j +fsRjR:jlENOReQhRHbsl_RqOr_HjS9 +m_=qOr_HjS9 +Qqj=_jOr9s; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCz\37j1_jQj_hUa__SH +m0=#N_0ClENOH\MC31z7_jjj_aQh_SU +Q#j=0CN0_OlNECHM\73z1j_jjh_Qa__Ujs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCp\37j1_jQj_hUa__SH +m0=#N_0ClENOH\MC31p7_jjj_aQh_SU +Q#j=0CN0_OlNECHM\73p1j_jjh_Qa__Ujs; +R:fjjNRlOQERhbeRsRHlh6_44 +_HShm=_446_SH +Qhj=_446;R +sfjj:ROlNEhRQesRbHhlR_n4c_SH +m_=h4_cnHQ +Sj_=h4;cn +fsRjR:jlENOReQhRHbsl_Rh4_c6Hm +S=4h_cH6_ +jSQ=4h_c +6;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_(Hr9m +S=_1vqtvQq#_Mr +(9S=Qj1qv_vqQt__M#j9r(;R +sfjj:ROlNEhRQesRbHhlR_c4c_SH +m_=h4_ccHQ +Sj_=h4;cc +fsRjR:jlENOReQhRHbsl_Rh4_6jHm +S=4h_6Hj_ +jSQ=4h_6 +j;sjRf:ljRNROEQRheblsHRZ1Q __OH9r4 +=Sm1 QZ_HO_r +49S=Qj1 QZ_4Or9s; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_.6O_D j_jj8__Hjm +S=N#00lC_NHOEM3C\k6M._ OD_jjj_S8 +Q#j=0CN0_OlNECHM\M3k.O6_Dj _j8j__ +H;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kjMU_ OD_jjj_H8_ +=Sm#00NCN_lOMEHCk\3M_UjO_D j_jj8 +_HS=Qj#00NCN_lOMEHCk\3M_UjO_D j_jj8s; +R:fjjNRlOQERhbeRsRHlk_M4z_71j_jjQ_hajJ_#lNkG_H._ +=Smk_M4z_71j_jjQ_hajJ_#lNkG_S. +Qkj=Mz4_7j1_jQj_hja__l#Jk_GN.;_j +fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa__j#kJlGHN_ +=Smz_71j_jjQ_hajJ_#lNkG_SH +Qzj=7j1_jQj_hja__l#Jk;GN +fsRjR:jlENOReQhRHbslMRk47_z1j_jjh_Qa__j#kJlGHN__Sj +mM=k47_z1j_jjh_Qa__j#kJlGSN +Qkj=Mz4_7j1_jQj_hja__l#Jk_GNHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#H._F_jHr9m +S=4h_.Sc +Qhj=_c4._ +j;sjRf:ljRNROEQRheblsHR4h_dHn_ +=Smhd_4n +_HS=Qjhd_4ns; +R:fjjNRlOQERhbeRsRHl)QQ1hBt_pqi_vqQt_SH +mQ=)1tQh_iBp_QqvtHq_ +jSQ=1)QQ_htB_piqtvQqs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MLc_o NO_jjj_SH +m0=#N_0ClENOH\MC3ckM_NLoOj _jSj +Q#j=0CN0_OlNECHM\M3kco_LN_O j_jjjs; +R:fjjNRlOQERhbeRsRHlAjt_dOj__SH +mt=A_jjd_HO_ +jSQ=_Atj_djOs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MO4_Dj _dHj_ +=Sm#00NCN_lOMEHCk\3MO4_Dj _dSj +Q#j=0CN0_OlNECHM\M3k4D_O d_jj;_j +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kM(D_O d_jj +_HS#m=0CN0_OlNECHM\M3k4O(_Dj _dSj +Q#j=0CN0_OlNECHM\M3k4O(_Dj _djj_;R +sfjj:ROlNEhRQesRbHklRMN4_#d_jj__.Hm +S=4kM__N#j_dj.Q +SjM=k4#_N_jjd_j._;R +sfjj:ROlNEhRQesRbHhlR_(4d_SH +m_=h4_d(HQ +Sj_=h4;d( +fsRjR:jlENOReQhRHbsl_Rh4_dUHm +S=4h_dHU_ +jSQ=4h_d +U;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_FH_.r_H.S9 +m_=h4 +.jS=Qjh._4j;_H +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__HFH._r +49Shm=_64. +jSQ=4h_.j6_;R +sfjj:ROlNEhRQesRbHhlR_d4(_SH +m_=h4_(dHQ +Sj_=h4;(d +fsRjR:jlENOReQhRHbsl_Rh4_(.Hm +S=4h_(H._ +jSQ=4h_( +.;sjRf:ljRNROEQRheblsHR4h_(Hc_ +=Smh(_4c +_HS=Qjh(_4cs; +R:fjjNRlOQERhbeRsRHlO\D 3kOb_0C#__44jr_HdS9 +mD=O O\3bCk_#40_49rd +jSQ= OD\b3Ok#_C04_4_djr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#FH._r +69Shm=_44. +jSQ=4h_.H4_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMF#_.r_HcS9 +m_=h4 +.nS=Qjh._4n;_j +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3gkM_ OD_jjj_H8___FdHm +S=4h_.Sd +Qhj=_d4._ +j;sjRf:ljRNROEQRheblsHR4kM__1vqtvQq__HFH._ +=Smh._4.Q +Sj_=h4_..js; +R:fjjNRlOQERhbeRsRHlhc_4. +_HShm=_.4c_SH +Qhj=_.4c;R +sfjj:ROlNEhRQesRbHhlR_d4c_SH +m_=h4_cdHQ +Sj_=h4;cd +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_H6S9 +mv=1_QqvtMq_#9r6 +jSQ=_1vqtvQq#_M_6jr9s; +R:fjjNRlOQERhbeRsRHlhc_44 +_HShm=_44c_SH +Qhj=_44c;R +sfjj:ROlNEhRQesRbHhlR_j4c_SH +m_=h4_cjHQ +Sj_=h4;cj +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_HcS9 +mv=1_QqvtMq_#9rc +jSQ=_1vqtvQq#_M_cjr9s; +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_ha4J_#lNkG_SH +m7=p1j_jjh_Qa__4#kJlGHN_ +jSQ=1p7_jjj_aQh_#4_JGlkNs; +R:fjjNRlOQERhbeRsRHlhc_4U +_HShm=_U4c_SH +Qhj=_U4c;R +sfjj:ROlNEhRQesRbHhlR_(4c_SH +m_=h4_c(HQ +Sj_=h4;c( +fsRjR:jlENOReQhRHbslvReqh_Qa__4#kJlGjN__SH +mv=eqh_Qa__4#kJlGSN +Qej=vQq_h4a__l#Jk_GNjs; +R:fjjNRlOQERhbeRsRHlh(_4j +_HShm=_j4(_SH +Qhj=_j4(;R +sfjj:ROlNEhRQesRbHhlR_44(_SH +m_=h4_(4HQ +Sj_=h4;(4 +fsRjR:jlENOReQhRHbslDRO O\3bCk_#40_4__jFHc_r +49Shm=_c4n +jSQ=4h_nHc_;R +sfjj:ROlNEhRQesRbHOlRD3 \O_bkC_#04j4___FcH9rd +=Smhn_46Q +Sj_=h4_n6Hs; +R:fjjNRlOQERhbeRsRHlhn_4U +_HShm=_U4n_SH +Qhj=_U4n;R +sfjj:ROlNEhRQesRbHhlR_n4n_SH +m_=h4_nnHQ +Sj_=h4;nn +fsRjR:jlENOReQhRHbsl_Rh4_n(Hm +S=4h_nH(_ +jSQ=4h_n +(;sjRf:ljRNROEQRheblsHR4h_nHg_ +=Smhn_4g +_HS=Qjhn_4gs; +R:fjjNRlOQERhbeRsRHlO\D 3kOb_0C#__44jr_H4S9 +mD=O O\3bCk_#40_49r4 +jSQ= OD\b3Ok#_C04_4_4jr9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0j__43Ss +mb=Ok#_C0__j4k_3MSd +QOj=D3 \k_MdO_D j_jj8 +8;sjRf:ljRNROEq.h7RHbslbROk#_C0__j4l_3 +=SmO_bkC_#0j__434kM +jSQ= OD\b3Ok#_C04_4r +49S=Q4O\D 3dkM_ OD_jjj_;88 +fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__34_Mm +S=kOb_0C#_4j__M3kjQ +Sjb=Ok#_C09r4 +4SQ=kOb_0C#_4j__M3kds; +R:fjjNRlOmER)b.RsRHlO_bkC_#0j__43Sb +m_=h4SU +QOj=bCk_#j0__34_k +M4S=Q4O_bkC_#0j__43jkM;R +sfjj:ROlNEhRQesRbHelRvQq_hja_3Ss +mv=eqh_Qa3_jk +MdS=Qje_vqQ_ha4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHle_vqQ_haj +3lSem=vQq_hja_34kM +jSQ=qev_aQh +4SQ=qev_aQh_#4_JGlkNs; +R:fjjNRlOqERhR7.blsHRqev_aQh_Mj3 +=Sme_vqQ_hajM3kjQ +Sjp=Bij_jj +_7S=Q4e_vqQ_hajM3kds; +R:fjjNRlOmER)b.RsRHle_vqQ_haj +3bShm=_ +4dS=Qje_vqQ_hajM3k4Q +S4v=eqh_Qa3_jk;Mj +fsRjR:jlENOReQhRHbslWR)_SH +mW=)_SH +Q)j=W;_O +fsRjR:jlENOReQhRHbslDRO G_Cb +_HSOm=DC _GHb_ +jSQ= OD_bCG;R +sfjj:ROlNEhRq7b.RsRHlO\D 3kOb_0C#__44jc_N_44r9m +S=4h_nSU +Qhj=_c4n_SH +QO4=bCk_#j0r9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9r. +=SmO_bkC_#0H9r. +jSQ=kOb_0C#r;.9 +fsRjR:jlENOReQhRHbslbROk#_C0r_HdS9 +mb=Ok#_C0r_HdS9 +QOj=bCk_#d0r9s; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_Nj_cr_j4S9 +m_=h4 +n(S=QjO_bkC_#0H9r. +4SQ=kOb_0C#_dHr9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj +=SmO_bkC_#0H9rj +jSQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHOlRD3 \O_bkC_#04j4__rNc4S9 +m_=h4 +nnS=Qjhn_4cQ +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslvReqh_Qa__4#kJlGjN_ +=Sme_vqQ_ha4J_#lNkG_Sj +Qhj=_(4c_SH +Qh4=_U4c_ +H;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haHm +S=_q1j_jjQ_haHQ +Sj1=q_jjj_aQh;R +sfjj:ROlNEhRq7b.RsRHle_vqQ_ha4J_#lNkG_Nj_d +_jShm=_U4c +jSQ=_q1j_jjQ_haHQ +S4p=Bij_jj;_7 +fsRjR:jlENOReQhRHbslpRBij_jj__7Hm +S=iBp_jjj_H7_ +jSQ=iBp_jjj_ +7;sjRf:ljRNROEq.h7RHbslvReqh_Qa__4#kJlGjN__ +NdShm=_(4c +jSQ=iBp_jjj_H7_ +4SQ=qeu_h1YBs; +R:fjjNRlOQERhbeRsRHlB_pij_jj7H7_ +=SmB_pij_jj7H7_ +jSQ=iBp_jjj_;77 +fsRjR:jlENOR7qh.sRbHOlRD3 \k_MdO_D j_jj8S8 +mD=O k\3MOd_Dj _j8j_8Q +Sjp=Bij_jj +_7S=Q4B_pij_jj7H7_;R +sfjj:ROlNEhRQesRbHOlRbCk_#j0__3d_sm +S=kOb_0C#_dj__M3kdQ +SjD=O k\3MOd_Dj _j8j_8s; +R:fjjNRlOqERhR7.blsHRkOb_0C#_dj__ +3lSOm=bCk_#j0__3d_k +M4S=QjO\D 3kOb_0C#_r44dS9 +QO4=D3 \k_MdO_D j_jj8 +8;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdM_3 +=SmO_bkC_#0j__d3jkM +jSQ=kOb_0C#r +d9S=Q4O_bkC_#0j__d3dkM;R +sfjj:ROlNE)Rm.sRbHOlRbCk_#j0__3d_bm +S=.h_jQ +Sjb=Ok#_C0__jdk_3MS4 +QO4=bCk_#j0__3d_k;Mj +fsRjR:jlENOReQhRHbslbROk#_C0__j.s_3 +=SmO_bkC_#0j__.3dkM +jSQ= OD\M3kdD_O j_jj8_8;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3Sl +mb=Ok#_C0__j.k_3MS4 +Qhj=_44n_SH +QO4=D3 \k_MdO_D j_jj8 +8;sjRf:ljRNROEq.h7RHbslbROk#_C0__j.M_3 +=SmO_bkC_#0j__.3jkM +jSQ=kOb_0C#r +.9S=Q4O_bkC_#0j__.3dkM;R +sfjj:ROlNE)Rm.sRbHOlRbCk_#j0__3._bm +S=4h_gQ +Sjb=Ok#_C0__j.k_3MS4 +QO4=bCk_#j0__3._k;Mj +fsRjR:jlENOReQhRHbslaR7q_Bi1BYh_SH +ma=7q_Bi1BYh_SH +Q7j=aiqB_h1YBs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rF.6S9 +m_=h4_.4HQ +Sja=7q_Bi1BYh +4SQ=qeu_h1YBs; +R:fjjNRlOQERhbeRsRHle_uq1BYh_SH +mu=eqY_1hHB_ +jSQ=qeu_h1YBs; +R:fjjNRlOQERhbeRsRHlQn_4gm +S=q7aBHi_ +jSQ=q7aBOi_;R +sfjj:ROlNEmRX)b.RsRHlO_bkC_#0j9rj +=Smh(_4 +jSQ= OD\M3kdD_O j_jj8_8 +4SQ=kOb_0C#r;j9 +fsRjR:jlENOReQhRHbsluReq__7Hm +S=qeu_H7_ +jSQ=qeu_ +7;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HNj.__n.r9m +S=4h_c.g_ +jSQ=kOb_0C#r +49S=Q4O_bkCr#0. +9;sjRf:ljRNROEQRheblsHR4h_c.g__SH +m_=h4_cg. +_HS=Qjhc_4g;_. +fsRjR:jlENOReQhRHbslbROk#_C0r_H4S9 +mb=Ok#_C0r_H4S9 +QOj=bCk_#40r9s; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_Fj_c9rd +=Smhn_46 +_HS=QjO_bkCr#0jS9 +QO4=bCk_#40r9s; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_Fj_c9r4 +=Smhn_4c +_HS=QjO_bkC_#0H9r4 +4SQ=kOb_0C#_dHr9s; +R:fjjNRlOqERhR7.blsHR OD\b3Ok#_C04_4_.Hr9m +S=4h_nH4_ +jSQ=4h_(Hj_ +4SQ=4h_(H4_;R +sfjj:ROlNEhRq7b.RsRHlO\D 3kOb_0C#__44jc_N_djr9m +S=4h_(Sd +Qhj=_64n_SH +QO4=bCk_#H0_r;.9 +fsRjR:jlENOR7qh.sRbHOlRD3 \O_bkC_#04j4__rNcdS9 +m_=h4 +(.S=Qjhn_46Q +S4b=Ok#_C09rd;R +sfjj:ROlNEhRq7b.RsRHlO\D 3kOb_0C#__44jc_N_4.r9m +S=4h_nSg +Qhj=_g4c_S. +QO4=bCk_#d0r9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_.Hr9m +S=4h_4Hj_ +jSQ=iBp_jjj_S7 +Qh4=_g4d_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9rc +=Sm1qv_vqQt__M#j9rc +jSQ=4h_cHj_ +4SQ=4h_cH4_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_rM#6S9 +mv=1_QqvtMq_#r_j6S9 +Qhj=_.4c_SH +Qh4=_d4c_ +H;sjRf:ljRNROEq.h7RHbslMRk4v_1_QqvtHq__ +F.Shm=_.4._Sj +QBj=pji_j7j_ +4SQ=4h_.Hj_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MOg_Dj _j8j__FH_dm +S=4h_.jd_ +jSQ=_q1j_jjQ +haS=Q4B_pij_jj7s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rF.cS9 +m_=h4_.njQ +Sjv=1_QqvtHq_r +d9S=Q41qv_vqQt_cHr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_cHr9m +S=_1vqtvQqr_HcS9 +Q1j=vv_qQrtqc +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HnS9 +mv=1_QqvtHq_r +n9S=Qj1qv_vqQtr;n9 +fsRjR:jlENOReQhRHbsl1R7_jjd_SH +m1=7_jjd_SH +Q7j=1d_jj;_O +fsRjR:jlENOR7qh.sRbHelRu1q_Y_hB4J_#lNkG_j4_ +=Sme_uq1BYh_#4_JGlkN__4jQ +Sjp=Bij_jj +_7S=Q41qv_vqQtr;d9 +fsRjR:jlENOR)Xm.sRbHtlR_.4. +=Smh4_.dQ +Sj_=h4 +djS=Q41qv_vqQt_j7r9s; +R:fjjNRlOQERhbeRsRHl7BaqiY_1hjB_3Ss +ma=7q_Bi1BYh_kj3MSd +Q7j=aiqB_h1YB__4#kJlG4N_;R +sfjj:ROlNEhRq7b.RsRHl7BaqiY_1hjB_3Sl +ma=7q_Bi1BYh_kj3MS4 +Q7j=aiqB_h1YBQ +S4a=7q_Bi1BYh_#4_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH7lRaiqB_h1YB3_jMm +S=q7aB1i_Y_hBjM3kjQ +Sja=7q_Bi1BYh_#4_JGlkN +_HS=Q47BaqiY_1hjB_3dkM;R +sfjj:ROlNE)Rm.sRbH7lRaiqB_h1YB3_jbm +S=ch_ +jSQ=q7aB1i_Y_hBjM3k4Q +S4a=7q_Bi1BYh_kj3M +j;sjRf:ljRNROEQRheblsHR_q1j_djHm +S=_q1j_djHQ +Sj1=q_jjd_ +O;sjRf:ljRNROEQRheblsHRq7aB1i_Y_hB4J_#lNkG_SH +ma=7q_Bi1BYh_#4_JGlkN +_HS=Qj7BaqiY_1h4B__l#Jk;GN +fsRjR:jlENOR7qh.sRbH7lRaiqB_h1YB__4#kJlG4N_ +=Sm7BaqiY_1h4B__l#Jk_GN4Q +Sj1=q_jjd_SH +Q74=aiqB_h1YB__4#kJlGHN_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H9rj +=Smhj_4n +_HS=QjB_pij_jj7Q +S4_=h4_dnHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_jHr9m +S=_1vqtvQqr_HjS9 +Q1j=vv_qQrtqj +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HFj.r9m +S=4h_.jc_ +jSQ=_q1j_jjQ +haS=Q41qv_vqQtr;j9 +fsRjR:jlENOReQhRHbsl_Rh4_djHm +S=4h_dHj_ +jSQ=4h_d +j;sjRf:ljRNROEQRheblsHR_1vqtvQq__7j__j3Ss +mv=1_Qqvt7q__jj__M3kdQ +Sj1=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq7__jjl_3 +=Sm1qv_vqQt_j7__3j_k +M4S=Qjhd_4j +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq__7j__j3SM +mv=1_Qqvt7q__jj__M3kjQ +Sjv=1_Qqvt7q_r +j9S=Q41qv_vqQt_j7__3j_k;Md +fsRjR:jlENOR.m)RHbslvR1_Qqvt7q__jj__ +3bShm=_S( +Q1j=vv_qQ_tq7__jjk_3MS4 +Q14=vv_qQ_tq7__jjk_3M +j;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM6D_O j_jj +_8S#m=0CN0_OlNECHM\M3k4O6_Dj _j8j_ +jSQ=_71j_djHQ +S4W=)_ +O;sjRf:ljRNROEQRheblsHR4h_dHg_ +=Smhd_4g +_HS=Qjhd_4gs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_46O_D j_jj8 +_HS#m=0CN0_OlNECHM\M3k4O6_Dj _j8j__SH +Q#j=0CN0_OlNECHM\M3k4O6_Dj _j8j_;R +sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_ha4J_#lNkG +=Smp_71j_jjQ_ha4J_#lNkG +jSQ=4h_dHg_ +4SQ=N#00lC_NHOEM3C\k6M4_ OD_jjj_H8_;R +sfjj:ROlNEhRq7b.RsRHlk_M4z_71j_jjQ_hajJ_#lNkG_S. +mM=k47_z1j_jjh_Qa__j#kJlG.N__Sj +Qpj=7j1_jQj_h4a__l#Jk_GNHQ +S4M=k47_z1j_jjh_Qa__j#kJlG +N;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HN.dr9m +S=4h_dSg +Qhj=_j4. +4SQ=_1vqtvQqr_H6 +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#d_Nr +c9Shm=_j4c +jSQ=iBp_jjj_S7 +Qh4=_n4.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Njd_r +c9Shm=_44c +jSQ=4h_.H4_ +4SQ=_1vqtvQq9rd;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r6 +=Sm1qv_vqQt_6Hr9Q +Sjv=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_NH_.9rd +=Smh6_44Q +Sjv=1_QqvtHq_r +c9S=Q41qv_vqQt_6Hr9s; +R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa3_jsm +S=qAtBji_dQj_hja_3dkM +jSQ=N#00lC_NHOEM3C\k_McLOoN j_jjs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl +mt=Aq_Bij_djQ_hajM3k4Q +Sjt=Aq_Bij_jjOQ +S40=#N_0ClENOH\MC3ckM_NLoOj _j +j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj +3MSAm=tiqB_jjd_aQh_kj3MSj +QAj=tiqB_jjd_aQh +4SQ=qAtBji_dQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHAlRtiqB_jjd_aQh_bj3 +=Smh4_. +jSQ=qAtBji_dQj_hja_34kM +4SQ=qAtBji_dQj_hja_3jkM;R +sfjj:ROlNEhRQesRbHAlRtj_jj3_jsm +S=_Atj_jjjM3kdQ +Sj0=#N_0ClENOH\MC34kM_ OD_jjd;R +sfjj:ROlNEhRq7b.RsRHlAjt_jjj_3Sl +mt=A_jjj_kj3MS4 +Qkj=ML4_od_jjQ +S40=#N_0ClENOH\MC34kM_ OD_jjd;R +sfjj:ROlNEhRq7b.RsRHlAjt_jjj_3SM +mt=A_jjj_kj3MSj +QAj=tj_jj +_OS=Q4Ajt_jjj_3dkM;R +sfjj:ROlNE)Rm.sRbHAlRtj_jj3_jbm +S=4h_4Q +Sjt=A_jjj_kj3MS4 +QA4=tj_jj3_jk;Mj +fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB3_jsm +S=_q1j_djj_jj1BYh_kj3MSd +Q#j=0CN0_OlNECHM\M3k4O(_Dj _d +j;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm +S=_q1j_djj_jj1BYh_kj3MS4 +Q#j=0CN0_OlNECHM\13q_jjd_jjj_h1YB +_dS=Q4#00NCN_lOMEHCk\3M_4(O_D j;dj +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3SM +m1=q_jjd_jjj_h1YB3_jk +MjS=Qjqj1_djj_j1j_Y +hBS=Q4qj1_djj_j1j_Y_hBjM3kds; +R:fjjNRlOmER)b.RsRHlqj1_djj_j1j_Y_hBj +3bShm=_Sn +Qqj=1d_jjj_jjY_1hjB_34kM +4SQ=_q1j_djj_jj1BYh_kj3M +j;sjRf:ljRNROEQRheblsHRzwu__B1Q_haj +3sSwm=uBz_1h_Qa3_jk +MdS=Qj#00NCN_lOMEHCk\3M_4(O_D j;dj +fsRjR:jlENOR7qh.sRbHwlRuBz_1h_Qa3_jlm +S=zwu__B1Q_hajM3k4Q +SjM=k4#_N_jjd_S. +Q#4=0CN0_OlNECHM\M3k4O(_Dj _d +j;sjRf:ljRNROEq.h7RHbsluRwz1_B_aQh_Mj3 +=Smw_uzBQ1_hja_3jkM +jSQ=zwu__B1Q +haS=Q4w_uzBQ1_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHwlRuBz_1h_Qa3_jbm +S=6h_ +jSQ=zwu__B1Q_hajM3k4Q +S4u=wz1_B_aQh_kj3M +j;sjRf:ljRNROEQRheblsHRq71BQi_h4a__l#Jk_GNHm +S=q71BQi_h4a__l#Jk_GNHQ +Sj1=7q_BiQ_ha4J_#lNkG;R +sfjj:ROlNEhRQesRbH7lR1iqB_aQh_4j__ +3sS7m=1iqB_aQh_4j__M3kdQ +Sj1=7q_BiQ_ha4J_#lNkG_ +4;sjRf:ljRNROEq.h7RHbsl1R7q_BiQ_haj__43Sl +m1=7q_BiQ_haj__434kM +jSQ=q71BQi_h4ar9Q +S41=7q_BiQ_ha4J_#lNkG_ +4;sjRf:ljRNROEq.h7RHbsl1R7q_BiQ_haj__43SM +m1=7q_BiQ_haj__43jkM +jSQ=q71BQi_h4a__l#Jk_GNHQ +S41=7q_BiQ_haj__43dkM;R +sfjj:ROlNE)Rm.sRbH7lR1iqB_aQh_4j__ +3bShm=_S4 +Q7j=1iqB_aQh_4j__M3k4Q +S41=7q_BiQ_haj__43jkM;R +sfjj:ROlNEhRQesRbHelRu1q_Y_hBj +3sSem=u1q_Y_hBjM3kdQ +Sju=eqY_1h4B__l#Jk_GN4s; +R:fjjNRlOqERhR7.blsHRqeu_h1YB3_jlm +S=qeu_h1YB3_jk +M4S=Qje_uq1BYh +4SQ=qeu_h1YB__4#kJlG4N_;R +sfjj:ROlNEhRq7b.RsRHle_uq1BYh_Mj3 +=Sme_uq1BYh_kj3MSj +Qej=u1q_Y_hB4J_#lNkG_SH +Qe4=u1q_Y_hBjM3kds; +R:fjjNRlOmER)b.RsRHle_uq1BYh_bj3 +=Smhj_4 +jSQ=qeu_h1YB3_jk +M4S=Q4e_uq1BYh_kj3M +j;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haj +3sSqm=1j_jjh_Qa3_jk +MdS=Qjqj1_jQj_h4a__l#Jk;GN +fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa3_jlm +S=_q1j_jjQ_hajM3k4Q +Sj1=q_jjj_aQh +4SQ=_q1j_jjQ_ha4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM +m1=q_jjj_aQh_kj3MSj +Qhj=_.4. +4SQ=_q1j_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHlqj1_jQj_hja_3Sb +m_=h4S. +Qqj=1j_jjh_Qa3_jk +M4S=Q4qj1_jQj_hja_3jkM;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a__l#Jk +GNSqm=1j_jjh_Qa__4#kJlGSN +Qqj=1d_jj +_HS=Q4h._4.s; +R:fjjNRlOQERhbeRsRHlQ(_4jm +S=q71BHi_r +49S=Qj7B1qir_O4 +9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kMc#_N_jjj_0HM +=Sm#00NCN_lOMEHCk\3M_4cNj#_jHj_MS0 +Qqj=1j_jjh_Qa +_HS=Q47B1qir_H4 +9;sjRf:ljRNROEQRheblsHRqeu_h1YB__4#kJlGHN_ +=Sme_uq1BYh_#4_JGlkN +_HS=Qje_uq1BYh_#4_JGlkNs; +R:fjjNRlOqERhR7.blsHRqeu_h1YB__4#kJlG4N_ +=Sme_uq1BYh_#4_JGlkN +_4S=Qjqj1_dHj_ +4SQ=qeu_h1YB__4#kJlGHN_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r( +=Sm1qv_vqQt_(Hr9Q +Sjv=1_Qqvt(qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_NH_d9rj +=Smhd_4nQ +Sj_=h4 +.cS=Q41qv_vqQt_(Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_NH_d9r4 +=Smhd_4(Q +Sj_=h4 +.6S=Q41qv_vqQt_nHr9s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M6O_D j_djHd_N +=Smhd_4dQ +Sjv=1_QqvtHq_r +n9S=Q41qv_vqQt_(Hr9s; +R:fjjNRlOqERhR7.blsHRq71BQi_h4a__l#Jk_GN4m +S=q71BQi_h4a__l#Jk_GN4Q +Sj1=q_jjd_SH +Q74=1iqB_aQh_#4_JGlkN;_H +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3ckM.D_O d_jj +_HS#m=0CN0_OlNECHM\M3kcO._Dj _dHj_ +jSQ=N#00lC_NHOEM3C\k.Mc_ OD_jjd;R +sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_d.j_ +=Smk_M4Nj#_d.j__Sj +Qqj=1d_jj +_HS=Q4#00NCN_lOMEHCk\3M_c.O_D j;dj +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4O(_Dj _dSj +m0=#N_0ClENOH\MC34kM(D_O d_jj +_jS=Qjqj1_dHj_ +4SQ=iBp_jjd_ +H;sjRf:ljRNROEQRheblsHRiBp_jjd_SH +mp=Bid_jj +_HS=QjB_pij_djOs; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M4O_D j +djS#m=0CN0_OlNECHM\M3k4D_O d_jj +_jS=QjAjt_dOj__SH +QB4=pji_dOj_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MLc_o NO_jjj +=Sm#00NCN_lOMEHCk\3MLc_o NO_jjj_Sj +QAj=tiqB_jjj_SO +Q)4=Qh1Qtp_Biv_qQ_tqHs; +R:fjjNRlOQERhbeRsRHlqr_H4 +g9Sqm=_4HrgS9 +Qqj=_4Org +9;sjRf:ljRNROEQRheblsHRHq_r94U +=Smqr_H4 +U9S=Qjqr_O4;U9 +fsRjR:jlENOReQhRHbsl_RqHnr49m +S=Hq_r94n +jSQ=Oq_r94n;R +sfjj:ROlNEhRQesRbHQlRujp_djj__3._sm +S=pQu_jjd_.j__M3kdQ +SjQ=)1tQh_iBp_Qqvt +q;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.l_3 +=SmQ_upj_djj__.34kM +jSQ=pQu_.Or9Q +S4Q=)1tQh_iBp_Qqvt +q;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.M_3 +=SmQ_upj_djj__.3jkM +jSQ=pQu_jjd_.Or9Q +S4u=Qpd_jj__j.k_3M +d;sjRf:ljRNROEmR).blsHRpQu_jjd_.j__ +3bShm=_ +4nS=QjQ_upj_djj__.34kM +4SQ=pQu_jjd_.j__M3kjs; +R:fjjNRlOQERhbeRsRHlQ_upj_djj__43Ss +mu=Qpd_jj__j4k_3MSd +Q)j=Qh1Qtp_Biv_qQ;tq +fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_lm +S=pQu_jjd_4j__M3k4Q +Sju=Qpr_O4S9 +Q)4=Qh1Qtp_Biv_qQ;tq +fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_Mm +S=pQu_jjd_4j__M3kjQ +Sju=Qpd_jjr_O4S9 +QQ4=ujp_djj__34_k;Md +fsRjR:jlENOR.m)RHbsluRQpd_jj__j4b_3 +=Smh6_4 +jSQ=pQu_jjd_4j__M3k4Q +S4u=Qpd_jj__j4k_3M +j;sjRf:ljRNROEQRheblsHRpQu_jjd_jj__ +3sSQm=ujp_djj__3j_k +MdS=Qj)QQ1hBt_pqi_vqQt;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3Sl +mu=Qpd_jj__jjk_3MS4 +QQj=uOp_r +j9S=Q4)QQ1hBt_pqi_vqQt;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3SM +mu=Qpd_jj__jjk_3MSj +QQj=ujp_dOj_r +j9S=Q4Q_upj_djj__j3dkM;R +sfjj:ROlNE)Rm.sRbHQlRujp_djj__3j_bm +S=4h_cQ +Sju=Qpd_jj__jjk_3MS4 +QQ4=ujp_djj__3j_k;Mj +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_dr_j6S9 +m_=h4 +cdS=QjB_pij_jj7 +_HS=Q41qv_vqQtr;.9 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\73p1j_jjh_Qa +_US#m=0CN0_OlNECHM\73p1j_jjh_Qa__UjQ +Sj0=#N_0ClENOH\MC3.kM6D_O j_jj +_8S=Q4k_M4z_71j_jjQ_hajJ_#lNkG_j._;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCz\37j1_jQj_hUa_ +=Sm#00NCN_lOMEHCz\37j1_jQj_hUa__Sj +Qqj=_HO_r +j9S=Q4k_M4z_71j_jjQ_hajJ_#lNkG_j._;R +sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_hajJ_#lNkG +=Smp_71j_jjQ_hajJ_#lNkG +jSQ=_q1j_djHQ +S4M=k47_z1j_jjh_Qa__j#kJlG.N_;R +sfjj:ROlNEhRQesRbHhlR_.4d_SH +m_=h4_d.HQ +Sj_=h4;d. +fsRjR:jlENOReQhRHbslvR1_Qqvt7q__.j__ +3sS1m=vv_qQ_tq7__j.k_3MSd +Q)j=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_j7__3._lm +S=_1vqtvQq__7j__.34kM +jSQ=4h_dH._ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt7q__.j__ +3MS1m=vv_qQ_tq7__j.k_3MSj +Q1j=vv_qQ_tq79r. +4SQ=_1vqtvQq__7j__.3dkM;R +sfjj:ROlNE)Rm.sRbH1lRvv_qQ_tq7__j.b_3 +=Smh +_gS=Qj1qv_vqQt_j7__3._k +M4S=Q41qv_vqQt_j7__3._k;Mj +fsRjR:jlENOReQhRHbsl_Rh4_d4Hm +S=4h_dH4_ +jSQ=4h_d +4;sjRf:ljRNROEQRheblsHR_1vqtvQq__7j__43Ss +mv=1_Qqvt7q__4j__M3kdQ +Sj1=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq7__j4l_3 +=Sm1qv_vqQt_j7__34_k +M4S=Qjhd_44 +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq__7j__43SM +mv=1_Qqvt7q__4j__M3kjQ +Sjv=1_Qqvt7q_r +49S=Q41qv_vqQt_j7__34_k;Md +fsRjR:jlENOR.m)RHbslvR1_Qqvt7q__4j__ +3bShm=_SU +Q1j=vv_qQ_tq7__j4k_3MS4 +Q14=vv_qQ_tq7__j4k_3M +j;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_sj3 +=Smp_71j_jjQ_hajM3kdQ +Sj7=p1j_jjh_Qa__j#kJlG +N;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jlm +S=1p7_jjj_aQh_kj3MS4 +Qpj=7j1_jQj_hSa +Qp4=7j1_jQj_hja__l#Jk;GN +fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3SM +m7=p1j_jjh_Qa3_jk +MjS=Qj#00NCN_lOMEHCp\37j1_jQj_hUa_ +4SQ=1p7_jjj_aQh_kj3M +d;sjRf:ljRNROEmR).blsHR1p7_jjj_aQh_bj3 +=Smh +_dS=Qjp_71j_jjQ_hajM3k4Q +S47=p1j_jjh_Qa3_jk;Mj +fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa3_jsm +S=1z7_jjj_aQh_kj3MSd +Qpj=7j1_jQj_hja__l#Jk;GN +fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja_3Sl +m7=z1j_jjh_Qa3_jk +M4S=Qjz_71j_jjQ +haS=Q4p_71j_jjQ_hajJ_#lNkG;R +sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj +3MSzm=7j1_jQj_hja_3jkM +jSQ=N#00lC_NHOEM3C\z_71j_jjQ_haUQ +S47=z1j_jjh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl7Rz1j_jjh_Qa3_jbm +S=.h_ +jSQ=1z7_jjj_aQh_kj3MS4 +Qz4=7j1_jQj_hja_3jkM;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H._Fr +49Shm=_64._Sj +QBj=pji_j7j__SH +Q14=vv_qQrtq( +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HF..r9m +S=4h_.Hj_ +jSQ=_q1j_djj_jj1BYh_SH +Q14=vv_qQrtqn +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#r_H4S9 +m_=h4_jUHQ +Sj_=h4_d(HQ +S4_=h4_dUHs; +R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBHm +S=_q1j_djj_jj1BYh_SH +Qqj=1d_jjj_jjY_1h +B;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HNjd_r +49Shm=_U4d +jSQ=_q1j_djj_jj1BYh_SH +QB4=pji_j7j_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_n(O_D j_jj8m +S=N#00lC_NHOEM3C\k(Mn_ OD_jjj_S8 +Q7j=aiqB_h1YB +_HS=Q4#00NCN_lOMEHCk\3M_ngO_D j_jj8s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kjMU_ OD_jjj_S8 +m0=#N_0ClENOH\MC3UkMjD_O j_jj +_8S=Qje_uq1BYh_SH +Q#4=0CN0_OlNECHM\M3knOg_Dj _j8j_;R +sfjj:ROlNEhRq7b.RsRHltd_44m +S=4h_USn +QBj=pji_jBj_h.ar9Q +S4_=h4;Uc +fsRjR:jlENOR7qh.sRbHtlR_g4. +=SmhU_4cQ +Sjp=Bij_jjh_Ba9r4 +4SQ=4kM_iBp_jjj_aBhr;d9 +fsRjR:jlENOR)Xm.sRbHtlR_c4. +=Smh4_.6Q +Sj_=h4 +d.S=Q41qv_vqQt_.7r9s; +R:fjjNRlOXERmR).blsHR4t_.Sd +m_=h. +4cS=Qjhd_44Q +S4v=1_Qqvt7q_r;49 +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +d9S1m=vv_qQ_tqH9rd +jSQ=_1vqtvQq9rd;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Hd_Nr +n9Shm=_c4c +jSQ=4h_c4c_ +4SQ=_1vqtvQqr_H. +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#d_N_(jr9m +S=4h_cSn +Qhj=_c4c_S4 +Q14=vv_qQrtq4 +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9r( +=Sm1qv_vqQt__M#j9r( +jSQ=4h_cH6_ +4SQ=4h_cHn_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H9rd +=Smh4_4. +_HS=QjB_pij_jj7 +_HS=Q4h6_44;_H +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +.9S1m=vv_qQ_tqH9r. +jSQ=_1vqtvQq9r.;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r4 +=Sm1qv_vqQt_4Hr9Q +Sjv=1_Qqvt4qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_NH_.9rn +=Smh6_4jQ +Sjv=1_QqvtHq_r +49S=Q41qv_vqQt_.Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rNd(S9 +m_=h4 +c6S=Qjh._4dQ +S4v=1_Qqvtjqr9s; +R:fjjNRlOXERmR).blsHR4t_dS. +mD=O B\3pji_jBj_hda_r +d9S=QjhU_4nQ +S4M=k4p_Bij_jjh_Ba9rj;R +sfjj:ROlNEmRX)b.RsRHltd_4jm +S= OD\p3Bij_jjh_Bar_d.S9 +Qhj=_c4U +4SQ=4kM_iBp_jjj_aBhr;49 +fsRjR:jlENOR)Xm.sRbHtlR_U4. +=SmO\D 3iBp_jjj_aBh_4dr9Q +SjM=k4p_Bij_jjh_Ba9r. +4SQ=4kM_iBp_jjj_aBhr;d9 +fsRjR:jlENOR7qh.sRbHklRMB4_pji_jBj_hjar9m +S=4kM_iBp_jjj_aBhr +j9S=QjB_pij_jjBrhadS9 +Qh4=_c4j_ +H;sjRf:ljRNROEq.h7RHbslMRk4p_Bij_jjh_Ba9r4 +=Smk_M4B_pij_jjBrha4S9 +QBj=pji_jBj_h.ar9Q +S4_=h4_jcHs; +R:fjjNRlOqERhR7.blsHR4kM_iBp_jjj_aBhr +.9Skm=MB4_pji_jBj_h.ar9Q +Sjp=Bij_jjh_Ba9r4 +4SQ=4h_jHc_;R +sfjj:ROlNEhRq7b.RsRHlk_M4B_pij_jjBrhadS9 +mM=k4p_Bij_jjh_Ba9rd +jSQ=iBp_jjj_aBhr +j9S=Q4hj_4c;_H +fsRjR:jlENOR)Xm.sRbHBlRpmi_zua_)j _ +=Smh._. +jSQ=iBp_aBhr +j9S=Q4B_pim_zau;) +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_d__j49r( +=Smhc_4c +_4S=QjB_pij_jjHQ +S4_=h4;4g +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__rF.nS9 +m_=h4_4gjQ +Sja=7q_Bi1BYh +4SQ=4h_cHg_;R +sfjj:ROlNEhRq7b.RsRHlO\D 34kM_ OD_jjj_SH +m_=h4_jcHQ +Sj_=h4_d6HQ +S4D=O )\3Qh1Qtp_Biv_qQ_tq4;_H +fsRjR:jlENOReQhRHbslpRBij_jj +_HSBm=pji_jHj_ +jSQ=iBp_jjj_ +O;sjRf:ljRNROEq.h7RHbslDRO k\3MO4_Dj _jHj__ +NdShm=_64d +jSQ=iBp_jjj_S7 +QB4=pji_jHj_;R +sfjj:ROlNEhRq7b.RsRHlO\D 31)QQ_htB_piqtvQq__4jd_N +=SmO\D 31)QQ_htB_piqtvQq +_4S=QjB_pij_jj7 +_HS=Q4B_pij_jjOs; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kUM(_ OD_jjj_S8 +m0=#N_0ClENOH\MC3(kMUD_O j_jj__8jQ +Sj0=#N_0ClENOH\MC3nkM(D_O j_jj__8HQ +S40=#N_0ClENOH\MC3UkMjD_O j_jj__8Hs; +R:fjjNRlOQERhbeRsRHlqr_H. +c9Sqm=_.HrcS9 +Qqj=_.Orc +9;sjRf:ljRNROEQRheblsHRHq_r9.6 +=Smqr_H. +69S=Qjqr_O.;69 +fsRjR:jlENOReQhRHbsl_RqHnr.9m +S=Hq_r9.n +jSQ=Oq_r9.n;R +sfjj:ROlNEhRQesRbHqlR_.Hr(S9 +m_=qH(r.9Q +Sj_=qO(r.9s; +R:fjjNRlOQERhbeRsRHlqr_H. +U9Sqm=_.HrUS9 +Qqj=_.OrU +9;sjRf:ljRNROEQRheblsHRHq_r9.g +=Smqr_H. +g9S=Qjqr_O.;g9 +fsRjR:jlENOReQhRHbsl_RqHjrd9m +S=Hq_r9dj +jSQ=Oq_r9dj;R +sfjj:ROlNEhRQesRbHqlR_dHr4S9 +m_=qH4rd9Q +Sj_=qO4rd9s; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_4cNj#_jHj_MH0_ +=Sm#00NCN_lOMEHCk\3M_4cNj#_jHj_MH0_ +jSQ=N#00lC_NHOEM3C\kcM4__N#j_jjH;M0 +fsRjR:jlENOReQhRHbsl1R)a +_HS)m=1Ha_ +jSQ=a)1_ +O;sjRf:ljRNROEQRheblsHRiBp_aBh_jHr9m +S=iBp_aBh_jHr9Q +Sjp=Bih_Ba9rj;R +sfjj:ROlNEhRQesRbHklRMB4_pji_jBj_hHa_r +d9Skm=MB4_pji_jBj_hHa_r +d9S=Qjk_M4B_pij_jjBrhad +9;sjRf:ljRNROEQRheblsHRqAtBji_dQj_hHa_ +=SmABtqid_jjh_Qa +_HS=QjABtqid_jjh_Qas; +R:fjjNRlOQERhbeRsRHlB_uz1Buq +_HSBm=u1z_u qB_SH +QBj=u1z_u qB_ +O;sjRf:ljRNROEQRheblsHRzwu__B1Q_haHm +S=zwu__B1Q_haHQ +Sju=wz1_B_aQh; + + + diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr new file mode 100644 index 0000000..15c1c83 --- /dev/null +++ b/Logic/BUS68030.srr @@ -0,0 +1,94 @@ +#Build: Synplify Pro G-2012.09LC-SP1 , Build 035R, Mar 19 2013 +#install: C:\Program Files (x86)\ispLever\synpbase +#OS: Windows 7 6.1 +#Hostname: DEEPTHOUGHT + +#Implementation: logic + +$ Start of Compile +#Thu May 15 19:20:46 2014 + +Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013 +@N|Running in 64-bit mode +Copyright (C) 1994-2012 Synopsys, Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc. + +@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns +@N:"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":6:7:6:14|Top entity is set to BUS68030. +File C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd changed - recompiling +VHDL syntax check successful! +File C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd changed - recompiling +@N: CD630 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":6:7:6:14|Synthesizing work.bus68030.behavioral +@W: CD638 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":109:7:109:15|Signal clk_030_d is undriven +Post processing for work.bus68030.behavioral +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":105:52:105:55|Pruning register VMA_INT_D +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":91:32:91:34|Pruning register CLK_REF(1 downto 0) +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Pruning register AS_000_START +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":113:38:113:40|Pruning register cpu_est_d(3 downto 0) +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":112:37:112:39|Pruning register FALLING_CLK_AMIGA +@A: CL282 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Feedback mux created for signal SM_AMIGA_D[2:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. +@W: CL190 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Optimizing register bit DSACK_INT(0) to a constant 1 +@W: CL260 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Pruning register bit 0 of DSACK_INT(1 downto 0) +@W: CL189 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":124:2:124:3|Register bit CLK_CNT(1) is always 0, optimizing ... +@W: CL260 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":124:2:124:3|Pruning register bit 1 of CLK_CNT(1 downto 0) +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":113:38:113:40|Trying to extract state machine for register cpu_est +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Trying to extract state machine for register SM_AMIGA +Extracted state machine for register SM_AMIGA +State machine has 8 reachable states with original encodings of: + 000 + 001 + 010 + 011 + 100 + 101 + 110 + 111 +@W: CL249 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Initial value is not supported on state machine SM_AMIGA +@END +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu May 15 19:20:46 2014 + +###########################################################] +Map & Optimize Report + +Synopsys CPLD Technology Mapper, Version maplat, Build 621R, Built Mar 19 2013 +Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc. +Product Version G-2012.09LC-SP1 +@N: MF248 |Running in 64-bit mode. +Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) +original code -> new code + 000 -> 00000001 + 001 -> 00000010 + 010 -> 00000100 + 011 -> 00001000 + 100 -> 00010000 + 101 -> 00100000 + 110 -> 01000000 + 111 -> 10000000 +@N: MO106 :"c:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":160:4:160:7|Found ROM, 'clk\.cpu_est_11[3:0]', 16 words by 4 bits +--------------------------------------- +Resource Usage Report + +Simple gate primitives: +DFFRH 7 uses +DFF 19 uses +DFFSH 16 uses +IBUF 35 uses +BUFTH 7 uses +OBUF 15 uses +BI_DIR 2 uses +AND2 179 uses +INV 143 uses +OR2 20 uses +XOR2 8 uses + + +@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. +G-2012.09LC-SP1 +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu May 15 19:20:48 2014 + +###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs new file mode 100644 index 0000000000000000000000000000000000000000..cf01d594b285248dda401fbf1de3db6bc8db8723 GIT binary patch literal 8961 zcmZ{obyySX-^L9T7(+UwMI=U#ZfO{eq?ClBk{dBV1W`H_Bm@LS8b*u`htiCg0~tz< zMx{YO`W<+F=Xc)s`0g*RYu9~0-}pTDa}D+wl5=MtGGkLyQ(j)Qu(qC12)2lCU`V#LlCPLYTKQL$cu0!TiL4(oT{1RKKG7^8x2qaQY8K|YV z8susyia7c8deGPP(U&$RU~8s#_Q8*mr1*p#_EOf(C)DWktC!uZOv%^hXAk%-G6st2 zS>i2e?j{PEFb+%^$2Iad@u989uTfj6|uj`bBciT(I}f;|ino2yr3BU0tHR zyn2~r!DHWiNbZ}$Qz%C=17btLNLM{MsC?;QlR27_)JWy#$eu#h4{f@VgS2-0MH7<3 z5XqSI`exrqBhHtMzFK0>zGEC3uBF7IpnCagxa`qxPJp3=fl8TZH9Er1Z*=AHP#cfp z&7Jr@tNuY#+jCdbl(HxK7Y}+fmYRm0be~qvcC^`4YAt?Gd(I99(rcc;a+srIqe^ej z0InyOX(r$goXNUEpSoArXG?P<09uZ61A{N`yl0|8K9b~)hda(NuR5Txj;#lGt3_4mb1H?Cv8VpVg^2khEROAWYf>0@8EMpkMZXUISTv` zY|!k4PZ?i4<&VtX=M0eSx= z#zi!r+{k8{*R0(Q5?8ou=UCnYL|lu{;a!@M`^lIa(=rr%K6BB`5fo%BlV_Vw9nt76 zDKq14ADV#xeqM~+ZjJmJUaM&!>Fi2>@6MY-uhyf%R8L)Wk5YDSgN0}n5J*28K~$Yf zDIPv8@gDcZ0N7u4+x%|khfLaSCC6e@Q(v*g^p#8LZL>W8=XOE(wflQ27hs;3&b|;& zP;zM#p>20wa@1l)61ln-ItoW^6JMHf-VRYvpnRaf6q7c{)z&7alI0+miMk%}LA{ zHb`SOoy=t_ErqsGT2DqZP_+FFQgavKHrWU#n&47b{!WDFB2DRe%Kg+)Kv|PCN2jnr z#Z|o-oHfCZ02OSV6efbLKqHZ0(Vku;AX-?w4}eC4`oOW688mW7Y=;CCFXajC z72a9*+36((n}A|5XtePRa$)9o_5nYN0zPm6&wZG1mi93L@L=_EQxATnbJg9QqXdrzTA|7Q!tdH)Vu4{#Qqk%2TmcB>*fS^8br3{Yy-8Iu1xJ zL9DG`3y1~-O)5a(9&j}fAP{XVQdv!y$%dSHE^D1+%ga04=e5x9XE{g)erNeX>V7+*(Wef7B=5JwKgm0F_%w9J zE>;JF1c1B+plEcg0kjVQ>JjjcCY%>#0PL&y!@^+RAih4`^Tq!h1<9!yn1BsdN2>Ba zW*FGtW`ErLnNi;bG>p&Ei;&WPZJJOCG zCj|8=z1SfFy}#A}4=2CX|3v?+hf{j7WO}t{;smq=P=Ex67LUcm%>e$rR*>P-ZcAbi z^snN7kMtB%te9vOn2(?Y#!49=qA_|zmCD{4fp>DUB~mq^&nrVRbOI~OHBokk@m0q8 zx0C~m{qF=ixF=JmN-!||p2T1KIh#cH?@0)oMn1uASaYB8-LY|5=#+8PK#+{`C5Zkj zstrIMf&Kq*{#$=aC$@K}0#FPRj-!Bq1rmf2FaV*SDaaq%F$I-_W8weEr@tsUB^5hF zQUd^y2*eTg!G|VnNPrw5pmlz;H2Np9UI1|vtMD{>mfU)LGe#ls^%3kTB$;FLALS5` zKV1z0SgekA-Kmk_e@rSsgS|7zKa~Rz_$OneFsNu zoqGzYHmP3anKC-koL7&aHuQ{6m;%-OD?T8m1kO_b$r<77Q&P1>wQB*J<|GilXh$?vy!gQ9?R`KbshS(ghl8iJSZ>jV_>>xc_&6oX&>8 znKqUXjDSJl$Q+>VUlRqG51RZVPEYH^o#mG{?vw=({QrMo=5hLve_S$x?u-ISY!#ZH z3o}`m{&wE$}ktnkvc`6V%z!IZKCOJb0%xTgOBfMk+}1YFb^- zQin60i1HP<5MEoJy%*qUQ+DDp#&g@e=+ZTbIcHFNle?$X-|<4I{EQ)-PAwYre=6rS zjKl~6DM0){fhZ|&!n|evQPE)j~Z(GjqA<2Jiymg=|sGwzHogVdM?DL{0Qz(KgZkbf=gI3 ziAefbCYmUQK0=p~HrvDIrjx>|zo@O;$9()*>TJ^dlIDq;qbip*5$Akc*?@RooWE z&;1zT^^S**!2=VL_3}?VbsaTvDZ5%_h)8LR_pWg*y)vVjC{n-5ctkH(M0Eiw*=k|O z4ZQJoJw4l0OZeQ*@t8xme*)G9X4#d%Oki*B!dcc>u;g zy4L{Yxkul-n>8(;t#Q#uV|bClLp69ikkkN*;<_u2Cw8LQ$Wn-WHq?V`s9(~(llZuK zRyQL4I#?7VE7H&;c8tbc^P!QNb_WOzEml|^k+rfioa~dW{$!y?&o$OpbMWo9*^wrF zweq<~CVKl-YuzKO%hgh~9_|Ot1-QFKK9=;(?m4@;%j^sX+W4E}%uyX^e7bLrk9uQK zOn|_KJmj_7>khrN4={bO^B@(Bvjd#*ETED$$sd}+*EOLm=#w<_=#evh@FG0k*0~t> z3Y@=vV^-z6Y}{UgXA1usQgCZ(u5NlB*3~c$HC-(g+s$Oypb$_52FXo<9m|Lv58*5^O4jYBWu=<@;$Z8QHNO*qt%mH~hldLC&ZaV0 z>Kj=UN7Kr3c6D7H8Yq&lFH|09EM?N#5_>mIih-TB4SZfVDtXItMes-pS1Y_m?}m*h z@)Yhw8b|4BwP-jpRx2uCrIgz3Q4DZ$#V1&vwW6B64bCf%Ok5@@(N`9fuH;m<@ZXIZ z0aD27<5ttX<4{%#HCoxvJ^?2$-;XTk1WXAsDUd7HXqkC25OD@FM|~{#wjvQJImKsx zkn?T@*}pC_CKOYk$r`OY7PJk0gB_`uS3(RvXV~$deSQ9y9i0bF`xp`2E{BR*L({Q) z!;;96hE|a*y?md1KZI!BK8pFBd$R1~UkwbcUe$Vsv)s^hLr{tc=!eD~e zKFTeJC2hagSoPxaXBnxvx_oDT?AZMh|D(mEQtG>TaNrU44*e@JcFy2C#m;RbD5p5q z(WTrK^i^-?T>Plyw^sv0R7xo3Bptg34@GA^>~~@fqHL>skq2yQJANPDe*OGN;>LNJ zmn!;6?ia*7%)W_aj)n1rcz^yLoXtpi3Gs1&{-fva4wD9oSntS2><4oQDFUO++X}s- z*?->i-K%m}=CGtl2XviK+77zwd;Z6mudUdu9_n?{eJ!NpgbmHS2dk-{FO>sWPL-X3j<*MW#2b z;Zp~p`~G9|&0h|FT=htw>{UKY!(Usu`TFhdM-Ez5MxoKVpOEwN`;W%y7!q^Isw@SC zTn<&4jW_i(*U*Z~IQI&gHjV%?y9`BI{EnPn-qPE>s|cQI;#m?zM5=7sn~iF!s^<^A zul?X;YY%OE%lP&rm6E+7P61HI@u*{TWwHlV+ao2$Lh@xFDnHH_h=!VG$+Np5EA-yI zgFd~c#zAT?-6_k~>K?1by7$75HO%f}_F;RW;N{IEt>+t~K~6DPm!HEFtpyb|50m;r z``M>RW=xh`$1bXQAC0x*nZz83eA-oCameP>eM_H>*)V1j#xJhsu@oh$`WgjpUJVfJ zo)r4kPbZzuOtf<)emqj{dC}uS&phHag-6=)c;@G8WX81Bv9vdm$5Sfgh(29Y z?4ZJDs%pkT4@(YJhIxK2zImLOJh(S?ar8BL)1B+G4J?JXe<+{iHAw8zMJ1MerlS{Y zRq`Y|;(GK}(FYTzH}T16TaRY3K5WC~QiJG5gmk(J$wVd6V9^~+)eC3Rw7*Cags}Pg zEaXwxiOoEHfa0Lz-~;PrQUhgM#K2=NI$};Gef*Cv$_h(R>@{Z|=Zu+ee2e(z zRIRi&Qzv?R&qemun!3Qe^*oV&p#1mcUG{<8@a*pE5e-w)I@A3UaAkg#Tq`jtJ(_4E zjL%e!L_Fn)Thfv3qv6&494qD+Bi%Vq=-Uy9dHFZrJUrbI`H!DJxEUw~6tE>*YyRrO z{4Vy9Zhrctjgb}|kpubb(++K3>;{UNm?hV6I!Q-wAI0nW<4oW%#v}4u$Kmx>A^;WH zwVSaQOfuaPwC{XPaaIVp_tSa~#(Piw%ZFeZvkE0RH3lqu6o za0UW5K+qgN@$Kr7bnob-iH*ZU4M<}m%Xh09>($LRZ!^}vX?<5*+}zqtoV=yGjED&^ zpW`d<_;i~-jZx%6|6*cm3bsth$y$6%^vBL~l{;|}_a|>bx*Kt{ZF6d0XBx<>L{RBT z#m%>l&6nB?NZIz@tQZ*V387Py6q1fN?`MEA7E+GglJ^4Dq@7rW28*>o$AQwD!SYT1 zA+M9ARnm+P_kJ}-dmqxW&lW)rEkCnFS{dm#cH7x|hE)zT?K$XXPWc0)iKFtmwykCH zd_+o%?Aoj+Z;s#T2X$_0*fLv8+kHQrg;9vK`V{oJb@hmb*SEuh=C*on*x}Y<)o`P4 z7BZ_Dh6B_jq#_UOEpH{Zw$i+)X+Zx9w{3S0fU0_cte?ws16Il~TLTvw5@a1;SLHX0 zX+UO%54|oWVAWf!{AynTx9U_o4XXm z4h)w)sG9ftXY17kwD!(dmr4E@sUEPK{Sp-~=Kj8ErX+?jFSe>wegKIJlt#o={pxO{ zim|vWkf>}MQ{PEdyj6UwZOAGqTW;Z&c=_9{eaZ$KSxb8mc~p^=F(Q%?&UA`-UF9w%l?T-icb$~< zcisltg}mVLzo_;#t>Iyuw?6ZW*&7>o85Ag1;>z%T#v9O|2nAL=jX6(t-p;Qy=Bae0 zE323-%3U7+$VYrJpCd@0fkdG7nUR$q+k3PwhS zNB0x3rez70KO2JPEW&w06ia8!6=5en@s@tPg(vM>6xf3B$%xpu3An3tu%ziQ)w0`h5HH9M%algc1)emkvUlA^s11KD`tb<%s?Xl$n> zz_P}-s6~v^hBsS#VGIWk@VFVXG}zE7B%7b z%kuYT9;+m_7s}kX2CZ5; zU%gajDzgco?GiKhwRIe6m_fg?TfbXi+2@1EH$x=6L?!Um;^IqCYhxLx#Z5g_)SPnb zL3v;xLU>rzF|N$crTjrj6@Oew&3Jle+3X0bw8;(_V}A4HTHS!hx5E-N^BZa~sFVHZ zzJy2l{FoZg-m@jtiU8bbo=ziWNgnL4({aTmM!j+~uj73rz<0Rj!Q)Z0L#v1d8QhZ? zIeK+P$#~Ltj{N;z54;niVY|IOx#_)W#zODdpAJ;%a8H;lY>TD&I4_8L;6f5gE1(nM z)KJ7oiFVo0eLO>>oX5gZ2K(3k(NLsN{vn{0zC3}~E;=adMRqFRzAx@pL&&!v$$F{B z+lNp)TrMZ?7<_jJy|ES}FYpu6_fB>Z8Vj=uZgLnJ(aJtD?|gq8 zCExpzD-833Z{IMwfnyrbw$1w1Sva92VK-T5rp8NwSgwoCCbiHLXurOHz#wjbZ?aS^ zgY}wQC}d6P?tGS=mWzT_7CCTNzkbcw3X;W6ukY+VeK?d|rJ@a9ez7$U#My0^%7%RuR9b6|uG z9SBwL`g&s8`M8R47hXTo7|&1DBE8>FV~rhe__5aE*zV#fj99QDVPBkqHCxFf1RUNQ zS+^=>3?&u<9A96|3*=H|wQHQW`Z1@lpD?OWI986DnxFWfHbLI5?QrhI04c}L#<1@Z zV!#w!o6{Ch*8Cydcg$vFSQ%j|{ob-1nJ=|udOrf!zgDn$fuGvP?nOtvkLU!|c4x(d z=E#;k#g=Dv9*2S&ESHaYHtq|Q9n_#FKh`wW(*+f6MX9qCuaPf#1kdB+SrQhS{1?|u zgmYH!0U7qgHeHXICp}2=Rp6WCg!k5NpyugF*Va}Mj^wAfwPbgn6`XixYidgWA~6pbRy64I?1Ol8|xpf4B->T&aA*T%NI+& zmR8%TK&KCH`7a0EXA<;Tt>R!=@EOZRI8^8MYdzKCw4%#*i|p7NH@O&H#KuMCXefU( zSoOxs7|{|adxG)|=-tPq);jgnRa(J;0|Ofe<#r3kSzi84QEMG>=Di(r)zDO!u3%%i z-X*^pQ(vj*KvC7Z#{r_g#doy@nKBMoT8+#DcV5_4t6aATBgeCOvBPlMh zN6?a&Q+N{5L2@HExgd*L zZE4AkA;}`ElA}5GjKgs5j+DKeL%1nr2+OP}?6?ft6ER%;GB;gE$# zEa*7@a-gRrUL^=p}=w&ow@;`3st;_sb5j!Z~&0jp57R9~HDTI<5})XofjdWQokkMK@#5a$0mPdAYmA+jWP5h`rtd^>%#q8g stZm + + + + + JTAG + + 1 + Vantis + MACH4A + iM4A5-128/64 + 0x2756a157 + All + M4A5-128/64 + + 6 + 010001 + 1 + 0 + + C:\Users\Matze\Amiga\Hardwarehacks\68030-TK\Logic\68030_tk.jed + 04/26/14 13:40:41 + 0x04D9 + Erase,Program,Verify + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + + + TMS LOW; + TCK LOW; + TDI LOW; + TDO LOW; + CableEN HIGH; + ISPEN LOW; + TRST HIGH; + + + diff --git a/Logic/automake.err b/Logic/automake.err new file mode 100644 index 0000000..f964a6e --- /dev/null +++ b/Logic/automake.err @@ -0,0 +1 @@ +Need not generate svf file according to the constraints, exit diff --git a/Logic/bus68030.cif b/Logic/bus68030.cif new file mode 100644 index 0000000..e69de29 diff --git a/Logic/bus68030.exf b/Logic/bus68030.exf new file mode 100644 index 0000000..80597ca --- /dev/null +++ b/Logic/bus68030.exf @@ -0,0 +1,670 @@ +Section Type Array Num Name Real Name Base Number Increment +// ------------------------------------------------------------------------------------------------- + Port 1 SIZE(1:0) SIZE 1 2 -1 + Port 2 A(31:0) A 31 32 -1 + Port 3 IPL(2:0) IPL 2 3 -1 + Port 4 FC(1:0) FC 1 2 -1 + Port 5 IPL_030(2:0) IPL_030 2 3 -1 + Port 6 DSACK(1:0) DSACK 1 2 -1 +End +Section Member Rename Array-Notation Array Number Index +// ------------------------------------------------------------------------------------- + Port SIZE_1_ SIZE[1] 1 0 + Port SIZE_0_ SIZE[0] 1 1 + Port A_31_ A[31] 2 0 + Port A_30_ A[30] 2 1 + Port A_29_ A[29] 2 2 + Port A_28_ A[28] 2 3 + Port A_27_ A[27] 2 4 + Port A_26_ A[26] 2 5 + Port A_25_ A[25] 2 6 + Port A_24_ A[24] 2 7 + Port A_23_ A[23] 2 8 + Port A_22_ A[22] 2 9 + Port A_21_ A[21] 2 10 + Port A_20_ A[20] 2 11 + Port A_19_ A[19] 2 12 + Port A_18_ A[18] 2 13 + Port A_17_ A[17] 2 14 + Port A_16_ A[16] 2 15 + Port A_15_ A[15] 2 16 + Port A_14_ A[14] 2 17 + Port A_13_ A[13] 2 18 + Port A_12_ A[12] 2 19 + Port A_11_ A[11] 2 20 + Port A_10_ A[10] 2 21 + Port A_9_ A[9] 2 22 + Port A_8_ A[8] 2 23 + Port A_7_ A[7] 2 24 + Port A_6_ A[6] 2 25 + Port A_5_ A[5] 2 26 + Port A_4_ A[4] 2 27 + Port A_3_ A[3] 2 28 + Port A_2_ A[2] 2 29 + Port A_1_ A[1] 2 30 + Port A_0_ A[0] 2 31 + Port IPL_030_2_ IPL_030[2] 5 0 + Port IPL_030_1_ IPL_030[1] 5 1 + Port IPL_030_0_ IPL_030[0] 5 2 + Port IPL_2_ IPL[2] 3 0 + Port IPL_1_ IPL[1] 3 1 + Port IPL_0_ IPL[0] 3 2 + Port DSACK_1_ DSACK[1] 6 0 + Port DSACK_0_ DSACK[0] 6 1 + Port FC_1_ FC[1] 4 0 + Port FC_0_ FC[0] 4 1 +End +Section Cross Reference File +Design 'BUS68030' created Thu May 15 19:20:52 2014 + Type New Name Original Name +// ---------------------------------------------------------------------- + Inst i_z2M2M AS_000 + Inst i_z2O2O UDS_000 + Inst i_z2P2P LDS_000 + Inst i_z3E3E BERR + Inst i_z4141 DTACK + Inst i_z4343 AVEC_EXP + Inst i_z4F4F CIIN + Inst clk_cpu_est_11_0_i_3_ clk.cpu_est_11_0_i[3] + Inst SM_AMIGA_ns_o2_i_5_ SM_AMIGA_ns_o2_i[5] + Inst SM_AMIGA_ns_o2_i_4_ SM_AMIGA_ns_o2_i[4] + Inst state_machine_un9_clk_000_d_i_o3_i state_machine.un9_clk_000_d_i_o3_i + Inst SM_AMIGA_ns_i_5_ SM_AMIGA_ns_i[5] + Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4] + Inst clk_cpu_est_11_0_o4_i_1_ clk.cpu_est_11_0_o4_i[1] + Inst clk_cpu_est_11_0_o4_i_3_ clk.cpu_est_11_0_o4_i[3] + Inst clk_cpu_est_11_0_i_1_ clk.cpu_est_11_0_i[1] + Inst cpu_est_0_1__r cpu_est_0_1_.r + Inst cpu_est_0_1__m cpu_est_0_1_.m + Inst cpu_est_0_1__n cpu_est_0_1_.n + Inst cpu_est_0_1__p cpu_est_0_1_.p + Inst VMA_INT_0_r VMA_INT_0.r + Inst VMA_INT_0_m VMA_INT_0.m + Inst VMA_INT_0_n VMA_INT_0.n + Inst VMA_INT_0_p VMA_INT_0.p + Inst clk_cpu_est_11_0_a4_1_1_ clk.cpu_est_11_0_a4_1[1] + Inst cpu_est_i_2_ cpu_est_i[2] + Inst cpu_est_i_3_ cpu_est_i[3] + Inst clk_cpu_est_11_0_a4_0_1_ clk.cpu_est_11_0_a4_0[1] + Inst cpu_est_i_0_ cpu_est_i[0] + Inst clk_cpu_est_11_0_a4_1_ clk.cpu_est_11_0_a4[1] + Inst SM_AMIGA_4_ SM_AMIGA[4] + Inst SM_AMIGA_3_ SM_AMIGA[3] + Inst SM_AMIGA_2_ SM_AMIGA[2] + Inst SM_AMIGA_1_ SM_AMIGA[1] + Inst clk_un3_clk_000_dd clk.un3_clk_000_dd + Inst SM_AMIGA_0_ SM_AMIGA[0] + Inst cpu_est_0_3__r cpu_est_0_3_.r + Inst cpu_est_0_ cpu_est[0] + Inst cpu_est_0_3__m cpu_est_0_3_.m + Inst cpu_est_1_ cpu_est[1] + Inst cpu_est_0_3__n cpu_est_0_3_.n + Inst cpu_est_2_ cpu_est[2] + Inst cpu_est_0_3__p cpu_est_0_3_.p + Inst cpu_est_3_ cpu_est[3] + Inst cpu_est_0_2__r cpu_est_0_2_.r + Inst SM_AMIGA_7_ SM_AMIGA[7] + Inst cpu_est_0_2__m cpu_est_0_2_.m + Inst SM_AMIGA_6_ SM_AMIGA[6] + Inst cpu_est_0_2__n cpu_est_0_2_.n + Inst SM_AMIGA_5_ SM_AMIGA[5] + Inst cpu_est_0_2__p cpu_est_0_2_.p + Inst CLK_000_CNT_0_ CLK_000_CNT[0] + Inst CLK_000_CNT_1_ CLK_000_CNT[1] + Inst SM_AMIGA_ns_o2_5_ SM_AMIGA_ns_o2[5] + Inst CLK_000_CNT_2_ CLK_000_CNT[2] + Inst CLK_000_CNT_3_ CLK_000_CNT[3] + Inst SM_AMIGA_D_0_ SM_AMIGA_D[0] + Inst cpu_est_0_0_ cpu_est_0[0] + Inst SM_AMIGA_D_1_ SM_AMIGA_D[1] + Inst SM_AMIGA_D_2_ SM_AMIGA_D[2] + Inst SM_AMIGA_ns_i_a2_0_2_6_ SM_AMIGA_ns_i_a2_0_2[6] + Inst IPL_030DFFSH_0_ IPL_030DFFSH[0] + Inst IPL_030DFFSH_1_ IPL_030DFFSH[1] + Inst cpu_est_i_1_ cpu_est_i[1] + Inst IPL_030DFFSH_2_ IPL_030DFFSH[2] + Inst clk_cpu_est_11_0_o4_3_ clk.cpu_est_11_0_o4[3] + Inst clk_cpu_est_11_0_o4_1_ clk.cpu_est_11_0_o4[1] + Inst clk_cpu_est_11_i_2_ clk.cpu_est_11_i[2] + Inst clk_cpu_est_11_0_a4_0_3_ clk.cpu_est_11_0_a4_0[3] + Inst clk_cpu_est_11_0_a4_3_ clk.cpu_est_11_0_a4[3] + Inst clk_cpu_est_11_0_a4_2_1_ clk.cpu_est_11_0_a4_2[1] + Inst SM_AMIGA_ns_i_2_ SM_AMIGA_ns_i[2] + Inst SM_AMIGA_ns_4_ SM_AMIGA_ns[4] + Inst DSACK_INT_1_ DSACK_INT[1] + Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5] + Inst state_machine_un9_clk_000_d_i_o3 state_machine.un9_clk_000_d_i_o3 + Inst SM_AMIGA_ns_o2_4_ SM_AMIGA_ns_o2[4] + Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] + Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] + Inst CLK_CNT_0_ CLK_CNT[0] + Inst DTACK_SYNC_0_r DTACK_SYNC_0.r + Inst DTACK_SYNC_0_m DTACK_SYNC_0.m + Inst DTACK_SYNC_0_n DTACK_SYNC_0.n + Inst DTACK_SYNC_0_p DTACK_SYNC_0.p + Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0] + Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] + Inst SIZE_0_ SIZE[0] + Inst SM_AMIGA_ns_i_o2_0_ SM_AMIGA_ns_i_o2[0] + Inst SIZE_1_ SIZE[1] + Inst A_0_ A[0] + Inst SM_AMIGA_D_0_0__r SM_AMIGA_D_0_0_.r + Inst A_16_ A[16] + Inst SM_AMIGA_D_0_0__m SM_AMIGA_D_0_0_.m + Inst A_17_ A[17] + Inst SM_AMIGA_D_0_0__n SM_AMIGA_D_0_0_.n + Inst A_18_ A[18] + Inst SM_AMIGA_D_0_0__p SM_AMIGA_D_0_0_.p + Inst A_19_ A[19] + Inst state_machine_un15_clk_000_d state_machine.un15_clk_000_d + Inst A_20_ A[20] + Inst A_21_ A[21] + Inst state_machine_un15_clk_000_d_i state_machine.un15_clk_000_d_i + Inst A_22_ A[22] + Inst A_23_ A[23] + Inst A_24_ A[24] + Inst SM_AMIGA_ns_i_a3_2_ SM_AMIGA_ns_i_a3[2] + Inst A_25_ A[25] + Inst SM_AMIGA_ns_a3_4_ SM_AMIGA_ns_a3[4] + Inst A_26_ A[26] + Inst SM_AMIGA_ns_a3_0_4_ SM_AMIGA_ns_a3_0[4] + Inst A_27_ A[27] + Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] + Inst A_28_ A[28] + Inst SM_AMIGA_ns_i_a2_3_ SM_AMIGA_ns_i_a2[3] + Inst A_29_ A[29] + Inst BGACK_030_INT_0_r BGACK_030_INT_0.r + Inst A_30_ A[30] + Inst BGACK_030_INT_0_m BGACK_030_INT_0.m + Inst A_31_ A[31] + Inst BGACK_030_INT_0_n BGACK_030_INT_0.n + Inst BGACK_030_INT_0_p BGACK_030_INT_0.p + Inst BG_000_0_r BG_000_0.r + Inst BG_000_0_m BG_000_0.m + Inst BG_000_0_n BG_000_0.n + Inst BG_000_0_p BG_000_0.p + Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r + Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m + Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n + Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p + Inst FPU_CS_INT_0_r FPU_CS_INT_0.r + Inst FPU_CS_INT_0_m FPU_CS_INT_0.m + Inst FPU_CS_INT_0_n FPU_CS_INT_0.n + Inst IPL_030_0_ IPL_030[0] + Inst FPU_CS_INT_0_p FPU_CS_INT_0.p + Inst IPL_030_1_ IPL_030[1] + Inst IPL_030_2_ IPL_030[2] + Inst DSACK_INT_0_1__r DSACK_INT_0_1_.r + Inst IPL_0_ IPL[0] + Inst DSACK_INT_0_1__m DSACK_INT_0_1_.m + Inst IPL_1_ IPL[1] + Inst DSACK_INT_0_1__n DSACK_INT_0_1_.n + Inst IPL_2_ IPL[2] + Inst DSACK_INT_0_1__p DSACK_INT_0_1_.p + Inst DSACK_0_ DSACK[0] + Inst VPA_SYNC_0_r VPA_SYNC_0.r + Inst DSACK_1_ DSACK[1] + Inst VPA_SYNC_0_m VPA_SYNC_0.m + Inst VPA_SYNC_0_n VPA_SYNC_0.n + Inst VPA_SYNC_0_p VPA_SYNC_0.p + Inst AS_000_INT_0_r AS_000_INT_0.r + Inst AS_000_INT_0_m AS_000_INT_0.m + Inst AS_000_INT_0_n AS_000_INT_0.n + Inst AS_000_INT_0_p AS_000_INT_0.p + Inst state_machine_un14_as_000_int state_machine.un14_as_000_int + Inst FC_0_ FC[0] + Inst FC_1_ FC[1] + Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] + Inst SM_AMIGA_ns_i_a3_0_ SM_AMIGA_ns_i_a3[0] + Inst SM_AMIGA_ns_i_a3_1_ SM_AMIGA_ns_i_a3[1] + Inst state_machine_un5_clk_030_i_a3 state_machine.un5_clk_030_i_a3 + Inst clk_cpu_est_11_0_a4_1_1_3_ clk.cpu_est_11_0_a4_1_1[3] + Inst clk_cpu_est_11_0_a4_1_3_ clk.cpu_est_11_0_a4_1[3] + Inst state_machine_un42_clk_030_i state_machine.un42_clk_030_i + Inst state_machine_un17_clk_030 state_machine.un17_clk_030 + Inst un9_i_a3_2_2_ un9_i_a3_2[2] + Inst un9_i_a3_2_ un9_i_a3[2] + Inst state_machine_un1_clk_030 state_machine.un1_clk_030 + Inst state_machine_un4_bgack_000 state_machine.un4_bgack_000 + Inst A_i_19_ A_i[19] + Inst A_i_18_ A_i[18] + Inst A_i_16_ A_i[16] + Inst IPL_030_0_2__r IPL_030_0_2_.r + Inst IPL_030_0_2__m IPL_030_0_2_.m + Inst IPL_030_0_2__n IPL_030_0_2_.n + Inst IPL_030_0_2__p IPL_030_0_2_.p + Inst IPL_030_0_1__r IPL_030_0_1_.r + Inst clk_cpu_est_11_i_a4_0_1_2_ clk.cpu_est_11_i_a4_0_1[2] + Inst IPL_030_0_1__m IPL_030_0_1_.m + Inst clk_cpu_est_11_i_a4_0_2_ clk.cpu_est_11_i_a4_0[2] + Inst IPL_030_0_1__n IPL_030_0_1_.n + Inst clk_cpu_est_11_i_a4_1_2_ clk.cpu_est_11_i_a4_1[2] + Inst IPL_030_0_1__p IPL_030_0_1_.p + Inst clk_cpu_est_11_i_a4_2_ clk.cpu_est_11_i_a4[2] + Inst IPL_030_0_0__r IPL_030_0_0_.r + Inst SM_AMIGA_ns_i_a2_0_2_0_6_ SM_AMIGA_ns_i_a2_0_2_0[6] + Inst IPL_030_0_0__m IPL_030_0_0_.m + Inst SM_AMIGA_ns_i_a2_0_6_ SM_AMIGA_ns_i_a2_0[6] + Inst IPL_030_0_0__n IPL_030_0_0_.n + Inst un9_i_a3_1_0_ un9_i_a3_1[0] + Inst IPL_030_0_0__p IPL_030_0_0_.p + Inst un9_i_a3_0_ un9_i_a3[0] + Inst SM_AMIGA_ns_a3_0_5_ SM_AMIGA_ns_a3_0[5] + Inst un9_i_a3_1_1_ un9_i_a3_1[1] + Inst state_machine_LDS_000_INT_8 state_machine.LDS_000_INT_8 + Inst un9_i_a3_1_ un9_i_a3[1] + Inst state_machine_UDS_000_INT_8 state_machine.UDS_000_INT_8 + Inst state_machine_un42_clk_030_1 state_machine.un42_clk_030_1 + Inst state_machine_un42_clk_030_2 state_machine.un42_clk_030_2 + Inst state_machine_un42_clk_030_3 state_machine.un42_clk_030_3 + Inst SM_AMIGA_D_0_2__r SM_AMIGA_D_0_2_.r + Inst state_machine_un42_clk_030_4 state_machine.un42_clk_030_4 + Inst SM_AMIGA_D_0_2__m SM_AMIGA_D_0_2_.m + Inst state_machine_un42_clk_030_5 state_machine.un42_clk_030_5 + Inst SM_AMIGA_D_0_2__n SM_AMIGA_D_0_2_.n + Inst state_machine_un42_clk_030 state_machine.un42_clk_030 + Inst SM_AMIGA_D_0_2__p SM_AMIGA_D_0_2_.p + Inst SM_AMIGA_ns_a3_1_5_ SM_AMIGA_ns_a3_1[5] + Inst SM_AMIGA_ns_a3_5_ SM_AMIGA_ns_a3[5] + Inst SM_AMIGA_D_0_1__r SM_AMIGA_D_0_1_.r + Inst un9_i_a3_1_2_ un9_i_a3_1[2] + Inst SM_AMIGA_D_0_1__m SM_AMIGA_D_0_1_.m + Inst SM_AMIGA_D_0_1__n SM_AMIGA_D_0_1_.n + Inst SM_AMIGA_D_0_1__p SM_AMIGA_D_0_1_.p + Inst LDS_000_INT_0_r LDS_000_INT_0.r + Inst LDS_000_INT_0_m LDS_000_INT_0.m + Inst LDS_000_INT_0_n LDS_000_INT_0.n + Inst LDS_000_INT_0_p LDS_000_INT_0.p + Inst UDS_000_INT_0_r UDS_000_INT_0.r + Inst UDS_000_INT_0_m UDS_000_INT_0.m + Inst UDS_000_INT_0_n UDS_000_INT_0.n + Inst UDS_000_INT_0_p UDS_000_INT_0.p + Inst SM_AMIGA_ns_i_o2_1_ SM_AMIGA_ns_i_o2[1] + Inst SM_AMIGA_ns_i_o2_2_ SM_AMIGA_ns_i_o2[2] + Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1] + Inst SM_AMIGA_ns_i_a2_0_1_6_ SM_AMIGA_ns_i_a2_0_1[6] + Inst SM_AMIGA_ns_i_a3_0_1_ SM_AMIGA_ns_i_a3_0[1] + Inst state_machine_un25_clk_000_d state_machine.un25_clk_000_d + Inst state_machine_un67_clk_000_d state_machine.un67_clk_000_d + Inst SM_AMIGA_ns_i_1_6_ SM_AMIGA_ns_i_1[6] + Inst state_machine_un80_clk_000_d state_machine.un80_clk_000_d + Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6] + Inst state_machine_AS_030_000_SYNC_3_1 state_machine.AS_030_000_SYNC_3_1 + Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] + Inst state_machine_AS_030_000_SYNC_3 state_machine.AS_030_000_SYNC_3 + Inst SM_AMIGA_ns_i_a3_6_ SM_AMIGA_ns_i_a3[6] + Inst SM_AMIGA_ns_a3_0_7_ SM_AMIGA_ns_a3_0[7] + Inst SM_AMIGA_ns_7_ SM_AMIGA_ns[7] + Inst clk_cpu_est_11_0_1_3_ clk.cpu_est_11_0_1[3] + Inst SM_AMIGA_ns_i_3_ SM_AMIGA_ns_i[3] + Inst clk_cpu_est_11_0_3_ clk.cpu_est_11_0[3] + Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] + Inst clk_cpu_est_11_0_1_1_ clk.cpu_est_11_0_1[1] + Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] + Inst clk_cpu_est_11_0_2_1_ clk.cpu_est_11_0_2[1] + Inst SM_AMIGA_ns_i_a2_6_ SM_AMIGA_ns_i_a2[6] + Inst clk_cpu_est_11_0_1_ clk.cpu_est_11_0[1] + Inst SM_AMIGA_ns_a3_7_ SM_AMIGA_ns_a3[7] + Inst state_machine_un67_clk_000_d_i state_machine.un67_clk_000_d_i + Inst state_machine_un78_clk_000_d_i state_machine.un78_clk_000_d_i + Inst clk_RISING_CLK_AMIGA_1_i clk.RISING_CLK_AMIGA_1_i + Inst un1_CLK_000_CNT_0_ un1_CLK_000_CNT[0] + Inst un1_CLK_000_CNT_1_ un1_CLK_000_CNT[1] + Inst SM_AMIGA_ns_i_o2_i_6_ SM_AMIGA_ns_i_o2_i[6] + Inst un1_CLK_000_CNT_2_ un1_CLK_000_CNT[2] + Inst CLK_000_CNT_i_1_ CLK_000_CNT_i[1] + Inst un1_CLK_000_CNT_3_ un1_CLK_000_CNT[3] + Inst CLK_000_CNT_i_0_ CLK_000_CNT_i[0] + Inst CLK_000_CNT_i_3_ CLK_000_CNT_i[3] + Inst SM_AMIGA_ns_a3_0_1_7_ SM_AMIGA_ns_a3_0_1[7] + Inst CLK_000_CNT_i_2_ CLK_000_CNT_i[2] + Inst SM_AMIGA_ns_i_o2_6_ SM_AMIGA_ns_i_o2[6] + Inst clk_un1_clk_000_i clk.un1_clk_000_i + Inst clk_un1_clk_000_i_a3 clk.un1_clk_000_i_a3 + Inst clk_RISING_CLK_AMIGA_1_0_a3 clk.RISING_CLK_AMIGA_1_0_a3 + Inst state_machine_un25_clk_000_d_1 state_machine.un25_clk_000_d_1 + Inst state_machine_un78_clk_000_d state_machine.un78_clk_000_d + Inst state_machine_AS_030_000_SYNC_3_i state_machine.AS_030_000_SYNC_3_i + Inst A_i_24_ A_i[24] + Inst A_i_25_ A_i[25] + Inst A_i_26_ A_i[26] + Inst A_c_i_0_ A_c_i[0] + Inst A_i_27_ A_i[27] + Inst state_machine_UDS_000_INT_8_i state_machine.UDS_000_INT_8_i + Inst A_i_28_ A_i[28] + Inst state_machine_LDS_000_INT_8_i state_machine.LDS_000_INT_8_i + Inst A_i_29_ A_i[29] + Inst A_i_30_ A_i[30] + Inst A_i_31_ A_i[31] + Inst state_machine_un14_as_000_int_i state_machine.un14_as_000_int_i + Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7] + Inst CLK_CNT_i_0_ CLK_CNT_i[0] + Inst un1_CLK_000_CNT_i_3_ un1_CLK_000_CNT_i[3] + Inst SIZE_c_i_1_ SIZE_c_i[1] + Inst state_machine_un25_clk_000_d_i_0 state_machine.un25_clk_000_d_i_0 + Inst state_machine_un80_clk_000_d_i state_machine.un80_clk_000_d_i + Inst SM_AMIGA_ns_i_o2_i_0_ SM_AMIGA_ns_i_o2_i[0] + Inst state_machine_un4_bgack_000_i state_machine.un4_bgack_000_i + Inst state_machine_un1_clk_030_i state_machine.un1_clk_030_i + Inst state_machine_un17_clk_030_i state_machine.un17_clk_030_i + Inst SM_AMIGA_ns_i_o2_i_2_ SM_AMIGA_ns_i_o2_i[2] + Inst SM_AMIGA_ns_i_o2_i_1_ SM_AMIGA_ns_i_o2_i[1] + Net a_21__n A[21] + Net a_15__n A[15] + Net a_c_22__n A_c[22] + Net a_22__n A[22] + Net a_14__n A[14] + Net a_c_23__n A_c[23] + Net a_23__n A[23] + Net a_13__n A[13] + Net a_c_24__n A_c[24] + Net a_24__n A[24] + Net a_12__n A[12] + Net a_c_25__n A_c[25] + Net a_25__n A[25] + Net a_11__n A[11] + Net a_c_26__n A_c[26] + Net cpu_est_3__n cpu_est[3] + Net a_26__n A[26] + Net a_10__n A[10] + Net a_c_27__n A_c[27] + Net gnd_n_n GND + Net a_27__n A[27] + Net a_9__n A[9] + Net cpu_est_1__n cpu_est[1] + Net a_c_28__n A_c[28] + Net a_28__n A[28] + Net a_8__n A[8] + Net a_c_29__n A_c[29] + Net a_29__n A[29] + Net a_7__n A[7] + Net a_c_30__n A_c[30] + Net a_30__n A[30] + Net a_6__n A[6] + Net a_c_31__n A_c[31] + Net a_5__n A[5] + Net vcc_n_n VCC + Net a_4__n A[4] + Net cpu_est_0__n cpu_est[0] + Net cpu_est_2__n cpu_est[2] + Net a_3__n A[3] + Net clk_cnt_0__n CLK_CNT[0] + Net sm_amiga_6__n SM_AMIGA[6] + Net a_2__n A[2] + Net sm_amiga_7__n SM_AMIGA[7] + Net a_1__n A[1] + Net dsack_int_1__n DSACK_INT[1] + Net sm_amiga_4__n SM_AMIGA[4] + Net sm_amiga_3__n SM_AMIGA[3] + Net sm_amiga_5__n SM_AMIGA[5] + Net un1_clk_000_cnt_3__n un1_CLK_000_CNT[3] + Net clk_000_cnt_0__n CLK_000_CNT[0] + Net clk_000_cnt_1__n CLK_000_CNT[1] + Net clk_000_cnt_2__n CLK_000_CNT[2] + Net clk_000_cnt_3__n CLK_000_CNT[3] + Net ipl_030_c_0__n IPL_030_c[0] + Net state_machine_un14_as_000_int_n state_machine.un14_as_000_int + Net ipl_030_0__n IPL_030[0] + Net sm_amiga_2__n SM_AMIGA[2] + Net ipl_030_c_1__n IPL_030_c[1] + Net sm_amiga_1__n SM_AMIGA[1] + Net ipl_030_1__n IPL_030[1] + Net sm_amiga_0__n SM_AMIGA[0] + Net ipl_030_c_2__n IPL_030_c[2] + Net sm_amiga_d_0__n SM_AMIGA_D[0] + Net sm_amiga_d_1__n SM_AMIGA_D[1] + Net ipl_c_0__n IPL_c[0] + Net sm_amiga_d_2__n SM_AMIGA_D[2] + Net ipl_0__n IPL[0] + Net ipl_c_1__n IPL_c[1] + Net clk_clk_000_cnt_3_1__n clk.CLK_000_CNT_3[1] + Net ipl_1__n IPL[1] + Net clk_clk_000_cnt_3_2__n clk.CLK_000_CNT_3[2] + Net ipl_c_2__n IPL_c[2] + Net clk_clk_000_cnt_3_3__n clk.CLK_000_CNT_3[3] + Net dsack_0__n DSACK[0] + Net dsack_c_1__n DSACK_c[1] + Net fc_c_0__n FC_c[0] + Net fc_0__n FC[0] + Net fc_c_1__n FC_c[1] + Net sm_amiga_ns_4__n SM_AMIGA_ns[4] + Net sm_amiga_ns_5__n SM_AMIGA_ns[5] + Net sm_amiga_ns_7__n SM_AMIGA_ns[7] + Net clk_rising_clk_amiga_1_n clk.RISING_CLK_AMIGA_1 + Net un1_clk_000_cnt_0__n un1_CLK_000_CNT[0] + Net clk_cpu_est_11_0_1__n clk.cpu_est_11_0[1] + Net un1_clk_000_cnt_1__n un1_CLK_000_CNT[1] + Net un1_clk_000_cnt_2__n un1_CLK_000_CNT[2] + Net state_machine_un69_clk_000_d_n state_machine.un69_clk_000_d + Net state_machine_un78_clk_000_d_n state_machine.un78_clk_000_d + Net clk_cpu_est_11_0_3__n clk.cpu_est_11_0[3] + Net state_machine_un67_clk_000_d_n state_machine.un67_clk_000_d + Net state_machine_un80_clk_000_d_n state_machine.un80_clk_000_d + Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5] + Net state_machine_un25_clk_000_d_n state_machine.un25_clk_000_d + Net sm_amiga_ns_0_4__n SM_AMIGA_ns_0[4] + Net state_machine_lds_000_int_8_n state_machine.LDS_000_INT_8 + Net state_machine_uds_000_int_8_n state_machine.UDS_000_INT_8 + Net state_machine_un42_clk_030_n state_machine.un42_clk_030 + Net state_machine_un4_bgack_000_0_n state_machine.un4_bgack_000_0 + Net state_machine_as_030_000_sync_3_n state_machine.AS_030_000_SYNC_3 + Net state_machine_un1_clk_030_0_n state_machine.un1_clk_030_0 + Net state_machine_un17_clk_030_0_n state_machine.un17_clk_030_0 + Net state_machine_un17_clk_030_n state_machine.un17_clk_030 + Net state_machine_un1_clk_030_n state_machine.un1_clk_030 + Net state_machine_un4_bgack_000_n state_machine.un4_bgack_000 + Net state_machine_as_030_000_sync_3_2_n state_machine.AS_030_000_SYNC_3_2 + Net a_c_i_0__n A_c_i[0] + Net state_machine_uds_000_int_8_0_n state_machine.UDS_000_INT_8_0 + Net state_machine_lds_000_int_8_0_n state_machine.LDS_000_INT_8_0 + Net state_machine_un15_clk_000_d_n state_machine.un15_clk_000_d + Net sm_amiga_ns_0_7__n SM_AMIGA_ns_0[7] + Net size_c_i_1__n SIZE_c_i[1] + Net state_machine_un25_clk_000_d_i_n state_machine.un25_clk_000_d_i + Net state_machine_un80_clk_000_d_i_n state_machine.un80_clk_000_d_i + Net state_machine_un67_clk_000_d_i_n state_machine.un67_clk_000_d_i + Net state_machine_un78_clk_000_d_0_n state_machine.un78_clk_000_d_0 + Net clk_rising_clk_amiga_1_i_n clk.RISING_CLK_AMIGA_1_i + Net clk_un3_clk_000_dd_n clk.un3_clk_000_dd + Net clk_000_cnt_i_1__n CLK_000_CNT_i[1] + Net clk_000_cnt_i_0__n CLK_000_CNT_i[0] + Net clk_cpu_est_11_3__n clk.cpu_est_11[3] + Net clk_000_cnt_i_3__n CLK_000_CNT_i[3] + Net clk_000_cnt_i_2__n CLK_000_CNT_i[2] + Net state_machine_un69_clk_000_d_0_n state_machine.un69_clk_000_d_0 + Net state_machine_un69_clk_000_d_0_1_n state_machine.un69_clk_000_d_0_1 + Net clk_cpu_est_11_1__n clk.cpu_est_11[1] + Net state_machine_un69_clk_000_d_0_2_n state_machine.un69_clk_000_d_0_2 + Net state_machine_un25_clk_000_d_i_1_n state_machine.un25_clk_000_d_i_1 + Net state_machine_as_030_000_sync_3_2_1_n state_machine.AS_030_000_SYNC_3_2_1 + Net clk_cpu_est_11_0_1_3__n clk.cpu_est_11_0_1[3] + Net clk_cpu_est_11_0_1_1__n clk.cpu_est_11_0_1[1] + Net clk_cpu_est_11_0_2_1__n clk.cpu_est_11_0_2[1] + Net cpu_est_i_0__n cpu_est_i[0] + Net cpu_est_i_2__n cpu_est_i[2] + Net cpu_est_i_3__n cpu_est_i[3] + Net cpu_est_i_1__n cpu_est_i[1] + Net state_machine_un42_clk_030_1_n state_machine.un42_clk_030_1 + Net sm_amiga_i_4__n SM_AMIGA_i[4] + Net state_machine_un42_clk_030_2_n state_machine.un42_clk_030_2 + Net sm_amiga_i_6__n SM_AMIGA_i[6] + Net state_machine_un42_clk_030_3_n state_machine.un42_clk_030_3 + Net sm_amiga_i_5__n SM_AMIGA_i[5] + Net state_machine_un42_clk_030_4_n state_machine.un42_clk_030_4 + Net state_machine_un42_clk_030_5_n state_machine.un42_clk_030_5 + Net state_machine_un15_clk_000_d_i_n state_machine.un15_clk_000_d_i + Net sm_amiga_i_0__n SM_AMIGA_i[0] + Net sm_amiga_i_7__n SM_AMIGA_i[7] + Net dsack_i_1__n DSACK_i[1] + Net a_i_18__n A_i[18] + Net a_i_16__n A_i[16] + Net a_i_19__n A_i[19] + Net state_machine_un42_clk_030_i_n state_machine.un42_clk_030_i + Net cpu_est_0_1__un3_n cpu_est_0_1_.un3 + Net cpu_est_0_1__un1_n cpu_est_0_1_.un1 + Net sm_amiga_i_2__n SM_AMIGA_i[2] + Net cpu_est_0_1__un0_n cpu_est_0_1_.un0 + Net sm_amiga_i_1__n SM_AMIGA_i[1] + Net vma_int_0_un3_n VMA_INT_0.un3 + Net sm_amiga_i_3__n SM_AMIGA_i[3] + Net vma_int_0_un1_n VMA_INT_0.un1 + Net vma_int_0_un0_n VMA_INT_0.un0 + Net a_i_30__n A_i[30] + Net cpu_est_0_3__un3_n cpu_est_0_3_.un3 + Net a_i_31__n A_i[31] + Net cpu_est_0_3__un1_n cpu_est_0_3_.un1 + Net a_i_28__n A_i[28] + Net cpu_est_0_3__un0_n cpu_est_0_3_.un0 + Net a_i_29__n A_i[29] + Net cpu_est_0_2__un3_n cpu_est_0_2_.un3 + Net a_i_26__n A_i[26] + Net cpu_est_0_2__un1_n cpu_est_0_2_.un1 + Net a_i_27__n A_i[27] + Net cpu_est_0_2__un0_n cpu_est_0_2_.un0 + Net a_i_24__n A_i[24] + Net dtack_sync_0_un3_n DTACK_SYNC_0.un3 + Net a_i_25__n A_i[25] + Net dtack_sync_0_un1_n DTACK_SYNC_0.un1 + Net clk_cnt_i_0__n CLK_CNT_i[0] + Net dtack_sync_0_un0_n DTACK_SYNC_0.un0 + Net state_machine_un14_as_000_int_i_n state_machine.un14_as_000_int_i + Net sm_amiga_d_0_0__un3_n SM_AMIGA_D_0_0_.un3 + Net sm_amiga_d_0_0__un1_n SM_AMIGA_D_0_0_.un1 + Net un1_clk_000_cnt_i_3__n un1_CLK_000_CNT_i[3] + Net sm_amiga_d_0_0__un0_n SM_AMIGA_D_0_0_.un0 + Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 + Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 + Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 + Net bg_000_0_un3_n BG_000_0.un3 + Net bg_000_0_un1_n BG_000_0.un1 + Net bg_000_0_un0_n BG_000_0.un0 + Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3 + Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1 + Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 + Net fpu_cs_int_0_un3_n FPU_CS_INT_0.un3 + Net size_c_0__n SIZE_c[0] + Net fpu_cs_int_0_un1_n FPU_CS_INT_0.un1 + Net size_0__n SIZE[0] + Net fpu_cs_int_0_un0_n FPU_CS_INT_0.un0 + Net size_c_1__n SIZE_c[1] + Net dsack_int_0_1__un3_n DSACK_INT_0_1_.un3 + Net dsack_int_0_1__un1_n DSACK_INT_0_1_.un1 + Net a_c_0__n A_c[0] + Net dsack_int_0_1__un0_n DSACK_INT_0_1_.un0 + Net a_0__n A[0] + Net vpa_sync_0_un3_n VPA_SYNC_0.un3 + Net vpa_sync_0_un1_n VPA_SYNC_0.un1 + Net vpa_sync_0_un0_n VPA_SYNC_0.un0 + Net as_000_int_0_un3_n AS_000_INT_0.un3 + Net as_000_int_0_un1_n AS_000_INT_0.un1 + Net as_000_int_0_un0_n AS_000_INT_0.un0 + Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 + Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 + Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 + Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 + Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 + Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 + Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 + Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 + Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 + Net sm_amiga_d_0_2__un3_n SM_AMIGA_D_0_2_.un3 + Net a_c_16__n A_c[16] + Net sm_amiga_d_0_2__un1_n SM_AMIGA_D_0_2_.un1 + Net a_16__n A[16] + Net sm_amiga_d_0_2__un0_n SM_AMIGA_D_0_2_.un0 + Net a_c_17__n A_c[17] + Net sm_amiga_d_0_1__un3_n SM_AMIGA_D_0_1_.un3 + Net a_17__n A[17] + Net sm_amiga_d_0_1__un1_n SM_AMIGA_D_0_1_.un1 + Net a_c_18__n A_c[18] + Net sm_amiga_d_0_1__un0_n SM_AMIGA_D_0_1_.un0 + Net a_18__n A[18] + Net lds_000_int_0_un3_n LDS_000_INT_0.un3 + Net a_c_19__n A_c[19] + Net lds_000_int_0_un1_n LDS_000_INT_0.un1 + Net a_19__n A[19] + Net lds_000_int_0_un0_n LDS_000_INT_0.un0 + Net a_c_20__n A_c[20] + Net uds_000_int_0_un3_n UDS_000_INT_0.un3 + Net a_20__n A[20] + Net uds_000_int_0_un1_n UDS_000_INT_0.un1 + Net a_c_21__n A_c[21] + Net uds_000_int_0_un0_n UDS_000_INT_0.un0 +End +Section Type Name +// ---------------------------------------------------------------------- + Input SIZE_1_ + Input A_31_ + Input IPL_2_ + Input FC_1_ + Input AS_030 + Input DS_030 + Input CPU_SPACE + Input BG_030 + Input BGACK_000 + Input CLK_030 + Input CLK_000 + Input CLK_OSZI + Input VPA + Input RST + Input RW + Input SIZE_0_ + Input A_30_ + Input A_29_ + Input A_28_ + Input A_27_ + Input A_26_ + Input A_25_ + Input A_24_ + Input A_23_ + Input A_22_ + Input A_21_ + Input A_20_ + Input A_19_ + Input A_18_ + Input A_17_ + Input A_16_ + Input A_15_ + Input A_14_ + Input A_13_ + Input A_12_ + Input A_11_ + Input A_10_ + Input A_9_ + Input A_8_ + Input A_7_ + Input A_6_ + Input A_5_ + Input A_4_ + Input A_3_ + Input A_2_ + Input A_1_ + Input A_0_ + Input IPL_1_ + Input IPL_0_ + Input FC_0_ + Output IPL_030_2_ + Output AS_000 + Output UDS_000 + Output LDS_000 + Output BERR + Output BG_000 + Output BGACK_030 + Output CLK_DIV_OUT + Output CLK_EXP + Output FPU_CS + Output AVEC + Output AVEC_EXP + Output E + Output VMA + Output RESET + Output AMIGA_BUS_ENABLE + Output AMIGA_BUS_DATA_DIR + Output AMIGA_BUS_ENABLE_LOW + Output CIIN + Output IPL_030_1_ + Output IPL_030_0_ + Bidi DSACK_1_ + Bidi DTACK + Bidi DSACK_0_ +End diff --git a/Logic/bus68030.srf b/Logic/bus68030.srf new file mode 100644 index 0000000..15c1c83 --- /dev/null +++ b/Logic/bus68030.srf @@ -0,0 +1,94 @@ +#Build: Synplify Pro G-2012.09LC-SP1 , Build 035R, Mar 19 2013 +#install: C:\Program Files (x86)\ispLever\synpbase +#OS: Windows 7 6.1 +#Hostname: DEEPTHOUGHT + +#Implementation: logic + +$ Start of Compile +#Thu May 15 19:20:46 2014 + +Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013 +@N|Running in 64-bit mode +Copyright (C) 1994-2012 Synopsys, Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc. + +@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns +@N:"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":6:7:6:14|Top entity is set to BUS68030. +File C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd changed - recompiling +VHDL syntax check successful! +File C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd changed - recompiling +@N: CD630 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":6:7:6:14|Synthesizing work.bus68030.behavioral +@W: CD638 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":109:7:109:15|Signal clk_030_d is undriven +Post processing for work.bus68030.behavioral +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":105:52:105:55|Pruning register VMA_INT_D +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":91:32:91:34|Pruning register CLK_REF(1 downto 0) +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Pruning register AS_000_START +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":113:38:113:40|Pruning register cpu_est_d(3 downto 0) +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":112:37:112:39|Pruning register FALLING_CLK_AMIGA +@A: CL282 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Feedback mux created for signal SM_AMIGA_D[2:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. +@W: CL190 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Optimizing register bit DSACK_INT(0) to a constant 1 +@W: CL260 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Pruning register bit 0 of DSACK_INT(1 downto 0) +@W: CL189 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":124:2:124:3|Register bit CLK_CNT(1) is always 0, optimizing ... +@W: CL260 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":124:2:124:3|Pruning register bit 1 of CLK_CNT(1 downto 0) +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":113:38:113:40|Trying to extract state machine for register cpu_est +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Trying to extract state machine for register SM_AMIGA +Extracted state machine for register SM_AMIGA +State machine has 8 reachable states with original encodings of: + 000 + 001 + 010 + 011 + 100 + 101 + 110 + 111 +@W: CL249 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Initial value is not supported on state machine SM_AMIGA +@END +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu May 15 19:20:46 2014 + +###########################################################] +Map & Optimize Report + +Synopsys CPLD Technology Mapper, Version maplat, Build 621R, Built Mar 19 2013 +Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc. +Product Version G-2012.09LC-SP1 +@N: MF248 |Running in 64-bit mode. +Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) +original code -> new code + 000 -> 00000001 + 001 -> 00000010 + 010 -> 00000100 + 011 -> 00001000 + 100 -> 00010000 + 101 -> 00100000 + 110 -> 01000000 + 111 -> 10000000 +@N: MO106 :"c:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":160:4:160:7|Found ROM, 'clk\.cpu_est_11[3:0]', 16 words by 4 bits +--------------------------------------- +Resource Usage Report + +Simple gate primitives: +DFFRH 7 uses +DFF 19 uses +DFFSH 16 uses +IBUF 35 uses +BUFTH 7 uses +OBUF 15 uses +BI_DIR 2 uses +AND2 179 uses +INV 143 uses +OR2 20 uses +XOR2 8 uses + + +@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. +G-2012.09LC-SP1 +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu May 15 19:20:48 2014 + +###########################################################] diff --git a/Logic/dm/BUS68030_compiler.xdm b/Logic/dm/BUS68030_compiler.xdm new file mode 100644 index 0000000..d6c5518 --- /dev/null +++ b/Logic/dm/BUS68030_compiler.xdm @@ -0,0 +1,37 @@ +%%% protect protected_file +@EG +- +]17p0Osk0CksRsPC#MHF=3"4j +"> +!S<-1-RFOksCHRVDRC#O0FMskHL0oHMRR0F0REC8HC#o-MR-S> +S +SS1SS1SS +SS1SS1SS1S +SF<1kCsOR"b=Bk:\##Cs\0lNxNC\lNHo\sEN8sINCOEN n#\Ujjd-\0 DHFoOU\nj-djnjUjjk-L#E3P8N"R=""(R"D=PDE8"DROH=#0""-4RHbD#"0=-/4">< +S/k1Fs#OC>S + +<-!-R8vFkRDCs0FFR>-- +)S + +< +S!R--vkF8D7CRCMVHHF0HM-R->< +S7RCVMI="F3s Anz1Ujjd3ELCNFPHs"NDR"D=PDE8"S> +SRS +S"/ +S +S +SRSqS + + + +/S<7>CV +]sC diff --git a/Logic/lattice_cmd.rs2 b/Logic/lattice_cmd.rs2 new file mode 100644 index 0000000..5395962 --- /dev/null +++ b/Logic/lattice_cmd.rs2 @@ -0,0 +1 @@ +-src 68030_tk.tt4 -type PLA -devfile "C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447ace.dev" -lci "68030_tk.lct" -touch "68030_tk.tt4" diff --git a/Logic/ols-config.olp b/Logic/ols-config.olp new file mode 100644 index 0000000000000000000000000000000000000000..eae2d8fcd1145131f8352de0aee45842453e467e GIT binary patch literal 7985 zcmbtZ2{@G9+qY9F!^k=a$-eKq7-PvYma=6ThR8n18c|}%PAE&0Ws)V?_rzEWF@&sB zcG)8&-{bu+Z{K@e-~0cr@4M$ZGtarsbIx<_^ShU4?w_$fDH)iEii(P;_)Uox(QiTx zTzk2rM7@2z+>nlb{euEy1_Oio{nwWkB!{Z-QoxUNZMC$VjI5+wQl;Mag{I~>meLqZ;fyzv8LdAu+MP>#C-8ID&;h-fiNp*} z_4zs8IkDv9u^w_~C2!mHf#I6m#bAycxZZ#S#&5&EPj6)MrB_ z%1!rH+%o6cahP0TzuOBaD@gozh@IKSzmLPq*F_ZN@8K=#gbZ+XM2fn5xwtx_JYAig zk-nnW&pM|6=xF^huIc6J=j-L}j`Zb~$}#Qtj1s47=Gnfevr^L|yK*JPsCxAZydZ_s zyZ2ftYy7%@!QL7h$8%6pbTk9UU~s_Lmxr=Jw{O88hRFAUuN9)QCQY1KGQDq!r37qG zu6H+Ty+|k71(RQ*LuOgeTJw@bJ(ed=Ba1I%zV#7F*LI~T0@S#tA}u-C&dcvhrHh@T zLZ=dQ_yt1t~9J?;(S4b0w z&HP~sH8R5wCzS=t%R$<2o%cLzYq7jOSv@Mo zB<#6*8ZfSQ2IWTqq?jcD<0{$TL8p zMfulsue!AFY3a4Ks&nZ{@K=ZIZme&-mnAH5d$f1{G7jgzv0{NWB=T6=1>>D3? zdZy!g9TMdpUwP^9=0w7eHc3e}Cb!}--*s`Fu+j+G|_TTD51&lg5_&J6-^VP=HS$L!5w3sF#lC^DAZZDTe)zguRpPy%2Pj~u{H``8skkaD9PZy4j!o%BkUY!nqe|5V1 zc`*EB?YQpr=l6rW(;tVQ?DnqiIiBu+3jew3wK)sic<<+Y;_R=(F|m_1qqftdgYaM5 zzY-6`!cV?@_Ebam~5EyCx|Qj*NBk)eFXKdz|im`IoEv;yZl$7Et_Lu z49B$*W#VcMlWpfR^My%}pX(lr4RM};UaKq6=y}zW9oZOpDaEvY_f+#HU)em;8CE;U zDX>0lU1Od-8VWz)eT;$Ndt`&jRwQRNu45-!3;B+6j7m|fc6(DbJ$0>CrSGxbC69e~ zEnIOMEFSpU`~YqDd=TyeD`ZI9I-hn{>geaUj{Sx7G^MsQ?e&`EPT*#wsaJRNqIM+f zMZEmYA}6IE$FXClQmABR2Q7-f&81c&meTiQa^w*B1eK&aD<>zz#XTGCcZX}0 zI$y46B2Ptijn_JUO>(u})1a)8fbXiXY5XD!12^|}F!E?A-`)b0bX*O+*r8JgKEQJGsWIv^A~9RX5e$@D4~s6Ih60va$)*aSW)FGTvS$?RYocDWC6Zz)jcM@mnuq zC%N$fnjRJP)O&TCki8MP%BBqzQXBkAmhdAkK45+6ZkR~TxX}yS>c`nFBB}@PZ+G1j z4En0Ch=to8aW<7(ZPcd4QvB6{mC$Jh?Kq#D zOKYmSX**&jlMSCrr4bd6-R)*H_BSJcwxl?HS`JAHTVjI5MLmavq!uhT9gi$oC3Ls* zp0m;mc%*FS7v?(@lWtH55i%vj@Efv+mwmiuzb7P1SN^&trU)1QCI@4w@G5Q~1Hq6b!d6u#VABewLfz_wR zRaUZcgKsJqCKO{gg6Uyon{o3`*k3ZH-++IUB^%veeQuH7R@%nl4h_vRMwsAb(2d+FN*m%vOfK+?&lRmSM^n?No}-x^kCtgPie^j6z18{Q&*)qliv{zK zR1Q^qG~_?V*&C7$iQdMzghmwo0>|w=+Z3ANpNT)AR&gP&E?yVv*?ZbSv{7$Pb60*k z&cv*rj3=9){s9Ay)JMllD3YsCKJL7jysnYYG4~n%H_3g9#9OClRC{E)+?ewP+r|@U? z5sC+J{5`ZA+i`+S2x6DWq&cf;zKgDNN?cu{IY0aI5oRNn4!fcYxjM;-8{dy>!s?^l z>TZwo;AnqgLxxk0H9I^r$_Pht3gl(V}o8iyrH2 z+9B*phomXVq0b#3e3$GF?wPp?D028 zs_v?{2g+PMDpXbBf@x*HdLgh=O>K7I5J4?6GQ}n`q8z{KhBGd!jdN3C3CbpPx`bA(^4Wufps65L|FoamV~_H|}f zAsyaNR0S5~e81Xl{&Un8C;2VMwODmJ*Dj1`k;k?0Yid@Uqi{ZW6g9gU`#@vS zqKABRvsX**94OM$m+|tl_c@J6`cymaLJ+*flcyI}EqcO;;$VutLmEMf6syY1`2%i1 z%Z5(l>~co9?ACJKR3fM)WxqF*UQ6QEj4~jpKj@>CC6nmuEen+5(xJ*U+B_U@j>IAJE~-juh^7V zxJfFnqv0$lo5R;i7#ORZf&K&Z<1d1%4lxR75(yYXMZ&7V2z^!2sysz`Q2erujWS(+ z7nh$gn&yIApwSAkR$_r#eN+@{Zs+~giS2_<;tP5{O#XWCZwurl-<+I6vn^$Nesmd( z9!wU!3<6 zTy=%2tnfGbyXe19zJW+^vE___D5ns}n53KSz7uU=GWrhaxVLoBnKiV7{pt(mW?}&g z*)EgH-M0@XcZIS*Hl3J}r1azW(T5KdF#;y$%a9uyYRabX2mV#fh zIQVJIfb^OCraXynj7n8d8Fw?x0ACy9dpa%%dbwuCectl-rH~N@#Q}_G7ZAqq|C^2yZ3Lv}5gl#~b1K0LRc(M5vg z$XNbRm2f+)q@Fu~fZuT})bWXgVUlb2&w`^o|IWP$()za=$wmh)#1eOSHlL_OKz1Y> zcke|sVP{m2bL+Vlla`R25zHTYb|sBPI%p}OGh)>JTB<*oGGaq_#Dp1=KQXs)(+9P! zlgAXr*k9VhfMtr&qV!$tti@RAxIPE*Q1fO9^5pDDC$r3VO zFTmBqTylG5CM8{>gZ-%4!Y1i%r)|WGl42~9L)XV4Y2uM_OBT~y74i2&__4I z;10^Y89w6N0thX{V6!UGIbnuG_PkH^?YU1>Vp|w%%y1KIP7Yb)Mm8-BFPYK<;0j$j zp)B;N@4VEYRhP*G5NnUayd{81%8$JzOgTEz&n5FP`o9oBY{rBUm18)h&91jr+Fg$E z@+1#@t08eX^(nOnaLTI>xb`oNQ`3cb(4gWI@Mx>WWN@WPXXkQqru~l@<6!uSpcd7R z*%~oe+$@yMDZ=u>q4@iG&2Ce?3583fZ3B$v%(g$PJG=Fb}5^9T^M3~U zGw)(tJTA^*1#jRcnp_>QV4t_vr(A-ox1kWYToqDhAa1g1^W+V)Ekb^0p$F3OWKbd+ ziMY=|o6rRK??7(RLnLsbS8%5I8g%1JEG0D(ojD@$Y&-avMP0CQH|T$MlJoxY&YF%A;S)tTwQ zqE2YhH){y8Lpx_B=uZ~N>m@>SM&lZR3A1L@bb}q0oSgcU3#fR`h|JFI`^50>=C$>F z-wsnB-`zKzl8FT|lwS&pT!D^OUz6uV>@o#N_a~VpzNHW;>$4pZm69QK$g17hPo>1W3q8(0*W9O841|g*HNtW@>sD_-yBJKvDQxNl5VTIBldGA?cTTHYb ztr;BF&45BL=R3XC!txjj^%{&26=Dl@%40_KBX9DU2V<>#M}HK^JpI)vtme^lyk-Mm&!=lPpdrGkKMD{Q zeA_@Qk15ijir#`0Vk56Eo=w>X`ww8s*(J9JO?xg12C@)X7;q0ku@}aYANVfra*yRd z&`-8$*0@xp1L{u2{=rxS?!_@w((t_Teqil{f;+f(NgN5(##>ToA>Tz{ zRo59Pv>@l$)2=gDxo9eLU(J2;5Jt~w6G~c+q31|8?F4ydO~v&!VSf>y1Lb>iUm|v! z?CN5%1(u#`5A;`i$-_PT0I(SZ+WDT=d#K>5K+)}t`72Oi-QK>zSfBM4ECfdGM61kQ z922H!iL}zaluq~6lI<)7Sm}a}{oWL0a(m?!BCV>JOJrU(ZpA!9_!t8Q0!b@&^-^cI zrQa-4i6{m@6>Xvs6Ohy{n2v)@uK0>w3a^2gp96w`1rQc^e0{5Afg~i5B1CvjKXDkO z4g4M6twOT*Z>6})cf2w>5PcMYe4^Qej?8df!nfdP&sIIlLy*KC0YXF_`;T!lO~L3@ zu5c8moXmna>{(b$_wo@a2q6!iU zO6)N><$w43dR0g9JB4ygM#+!$35zy)!Bx4_KWfrc35kmRU?L(K)3bsuF$tLHPfqc7 zLhy`J{O|G`!}!;UztePQeBqyRR%`w5?BQSM{?2Kg5qZA}P&)rtx%jWN-d|VwolZI9 zzy6eqB)_fl|6s%Z`o!Oh`)4%GpE61Me|h5Xq|INS^?Mcmj4t?7S^;X|-)Hn6$b-MG z`g<+>tb+fi+^6`DtN!om`G0-n@78x#WdBp(lz*1ojrGZa4G new code + 000 -> 00000001 + 001 -> 00000010 + 010 -> 00000100 + 011 -> 00001000 + 100 -> 00010000 + 101 -> 00100000 + 110 -> 01000000 + 111 -> 10000000 +@N: MO106 :"c:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":160:4:160:7|Found ROM, 'clk\.cpu_est_11[3:0]', 16 words by 4 bits +--------------------------------------- +Resource Usage Report + +Simple gate primitives: +DFFRH 7 uses +DFF 19 uses +DFFSH 16 uses +IBUF 35 uses +BUFTH 7 uses +OBUF 15 uses +BI_DIR 2 uses +AND2 179 uses +INV 143 uses +OR2 20 uses +XOR2 8 uses + + +@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. +G-2012.09LC-SP1 +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu May 15 19:20:48 2014 + +###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_errors.txt b/Logic/synlog/report/BUS68030_compiler_errors.txt new file mode 100644 index 0000000..3ac15e8 --- /dev/null +++ b/Logic/synlog/report/BUS68030_compiler_errors.txt @@ -0,0 +1,3 @@ +@E: CS187 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":300:12:300:12|Expecting <= +@E|Parse errors encountered - exiting + diff --git a/Logic/synlog/report/BUS68030_compiler_notes.txt b/Logic/synlog/report/BUS68030_compiler_notes.txt new file mode 100644 index 0000000..a06d05c --- /dev/null +++ b/Logic/synlog/report/BUS68030_compiler_notes.txt @@ -0,0 +1,7 @@ +@N|Running in 64-bit mode +@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns +@N:"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":6:7:6:14|Top entity is set to BUS68030. +@N: CD630 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":6:7:6:14|Synthesizing work.bus68030.behavioral +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":113:38:113:40|Trying to extract state machine for register cpu_est +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Trying to extract state machine for register SM_AMIGA + diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml new file mode 100644 index 0000000..d592b3c --- /dev/null +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -0,0 +1,41 @@ + + + + + + C:\users\matze\amiga\hardwarehacks\68030-tk\logic\BUS68030.srr + $ Start of Compile + + + Completed + + + + 6 + C:\users\matze\amiga\hardwarehacks\68030-tk\logic\synlog\report\BUS68030_compiler_notes.txt + + + 11 + C:\users\matze\amiga\hardwarehacks\68030-tk\logic\synlog\report\BUS68030_compiler_warnings.txt + + + 0 + C:\users\matze\amiga\hardwarehacks\68030-tk\logic\synlog\report\BUS68030_compiler_errors.txt + + + - + + + 0h:00m:00s + + + - + + + 1400174446 + + + \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_compiler_warnings.txt b/Logic/synlog/report/BUS68030_compiler_warnings.txt new file mode 100644 index 0000000..038af60 --- /dev/null +++ b/Logic/synlog/report/BUS68030_compiler_warnings.txt @@ -0,0 +1,12 @@ +@W: CD638 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":109:7:109:15|Signal clk_030_d is undriven +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":105:52:105:55|Pruning register VMA_INT_D +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":91:32:91:34|Pruning register CLK_REF(1 downto 0) +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Pruning register AS_000_START +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":113:38:113:40|Pruning register cpu_est_d(3 downto 0) +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":112:37:112:39|Pruning register FALLING_CLK_AMIGA +@W: CL190 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Optimizing register bit DSACK_INT(0) to a constant 1 +@W: CL260 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":100:29:100:31|Pruning register bit 0 of DSACK_INT(1 downto 0) +@W: CL189 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":124:2:124:3|Register bit CLK_CNT(1) is always 0, optimizing ... +@W: CL260 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":124:2:124:3|Pruning register bit 1 of CLK_CNT(1 downto 0) +@W: CL249 :"C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":220:2:220:3|Initial value is not supported on state machine SM_AMIGA + diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_errors.txt b/Logic/synlog/report/BUS68030_fpga_mapper_errors.txt new file mode 100644 index 0000000..e69de29 diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_notes.txt b/Logic/synlog/report/BUS68030_fpga_mapper_notes.txt new file mode 100644 index 0000000..0f22d7b --- /dev/null +++ b/Logic/synlog/report/BUS68030_fpga_mapper_notes.txt @@ -0,0 +1,3 @@ +@N: MF248 |Running in 64-bit mode. +@N: MO106 :"c:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd":160:4:160:7|Found ROM, 'clk\.cpu_est_11[3:0]', 16 words by 4 bits +@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml new file mode 100644 index 0000000..4f93391 --- /dev/null +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -0,0 +1,45 @@ + + + + +C:\users\matze\amiga\hardwarehacks\68030-tk\logic\synlog\BUS68030_fpga_mapper.srr + + +Completed + + + +3 + +C:\users\matze\amiga\hardwarehacks\68030-tk\logic\synlog\report\BUS68030_fpga_mapper_notes.txt + + + +0 + +C:\users\matze\amiga\hardwarehacks\68030-tk\logic\synlog\report\BUS68030_fpga_mapper_warnings.txt + + + +0 + +C:\users\matze\amiga\hardwarehacks\68030-tk\logic\synlog\report\BUS68030_fpga_mapper_errors.txt + + + +0h:00m:00s + + +0h:00m:00s + + +95MB + + +1400174448 + + + diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt b/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt new file mode 100644 index 0000000..e69de29 diff --git a/Logic/syntmp/BUS68030.plg b/Logic/syntmp/BUS68030.plg new file mode 100644 index 0000000..e69de29 diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml new file mode 100644 index 0000000..a8fc569 --- /dev/null +++ b/Logic/syntmp/run_option.xml @@ -0,0 +1,18 @@ + + + + + + + + + + + diff --git a/Logic/synwork/BUS68030_compiler.fdep b/Logic/synwork/BUS68030_compiler.fdep new file mode 100644 index 0000000..28182d0 --- /dev/null +++ b/Logic/synwork/BUS68030_compiler.fdep @@ -0,0 +1,28 @@ +%%% protect protected_file +#defaultlanguage:vhdl +#OPTIONS:"|-top|BUS68030|-prodtype|synplify_pro|-dfltencoding|sequential|-encrypt|-pro|-lite|-ll|2000|-ui|-fid2|-ram|-sharing|on|-autosm|-ignore_undefined_lib|-lib|work" +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\bin64\\c_vhdl.exe":1363693660 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\location.map":1310460974 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\std.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\snps_haps_pkg.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\std1164.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\numeric.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328 +#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\logic\\68030-68000-bus.vhd":1400174441 +0 "C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd" vhdl + +# Dependency Lists (Uses list) +0 -1 + +# Dependency Lists (Users Of) +0 -1 + +# Design Unit to File Association +arch work bus68030 behavioral 0 +module work bus68030 0 + + +# Configuration files used +0 -1 diff --git a/Logic/synwork/BUS68030_compiler.fdeporig b/Logic/synwork/BUS68030_compiler.fdeporig new file mode 100644 index 0000000..3b3bfec --- /dev/null +++ b/Logic/synwork/BUS68030_compiler.fdeporig @@ -0,0 +1,24 @@ +%%% protect protected_file +#defaultlanguage:vhdl +#OPTIONS:"|-top|BUS68030|-prodtype|synplify_pro|-dfltencoding|sequential|-encrypt|-pro|-lite|-ll|2000|-ui|-fid2|-ram|-sharing|on|-autosm|-ignore_undefined_lib|-lib|work" +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\bin64\\c_vhdl.exe":1363693660 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\location.map":1310460974 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\std.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\snps_haps_pkg.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\std1164.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\numeric.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328 +#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328 +#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\logic\\68030-68000-bus.vhd":1400174441 +0 "C:\users\matze\amiga\hardwarehacks\68030-tk\logic\68030-68000-bus.vhd" vhdl + +# Dependency Lists (Uses list) +0 -1 + +# Dependency Lists (Users Of) +0 -1 + +# Design Unit to File Association +arch work bus68030 behavioral 0 +module work bus68030 0 diff --git a/Logic/synwork/BUS68030_compiler.srs b/Logic/synwork/BUS68030_compiler.srs new file mode 100644 index 0000000000000000000000000000000000000000..cf01d594b285248dda401fbf1de3db6bc8db8723 GIT binary patch literal 8961 zcmZ{obyySX-^L9T7(+UwMI=U#ZfO{eq?ClBk{dBV1W`H_Bm@LS8b*u`htiCg0~tz< zMx{YO`W<+F=Xc)s`0g*RYu9~0-}pTDa}D+wl5=MtGGkLyQ(j)Qu(qC12)2lCU`V#LlCPLYTKQL$cu0!TiL4(oT{1RKKG7^8x2qaQY8K|YV z8susyia7c8deGPP(U&$RU~8s#_Q8*mr1*p#_EOf(C)DWktC!uZOv%^hXAk%-G6st2 zS>i2e?j{PEFb+%^$2Iad@u989uTfj6|uj`bBciT(I}f;|ino2yr3BU0tHR zyn2~r!DHWiNbZ}$Qz%C=17btLNLM{MsC?;QlR27_)JWy#$eu#h4{f@VgS2-0MH7<3 z5XqSI`exrqBhHtMzFK0>zGEC3uBF7IpnCagxa`qxPJp3=fl8TZH9Er1Z*=AHP#cfp z&7Jr@tNuY#+jCdbl(HxK7Y}+fmYRm0be~qvcC^`4YAt?Gd(I99(rcc;a+srIqe^ej z0InyOX(r$goXNUEpSoArXG?P<09uZ61A{N`yl0|8K9b~)hda(NuR5Txj;#lGt3_4mb1H?Cv8VpVg^2khEROAWYf>0@8EMpkMZXUISTv` zY|!k4PZ?i4<&VtX=M0eSx= z#zi!r+{k8{*R0(Q5?8ou=UCnYL|lu{;a!@M`^lIa(=rr%K6BB`5fo%BlV_Vw9nt76 zDKq14ADV#xeqM~+ZjJmJUaM&!>Fi2>@6MY-uhyf%R8L)Wk5YDSgN0}n5J*28K~$Yf zDIPv8@gDcZ0N7u4+x%|khfLaSCC6e@Q(v*g^p#8LZL>W8=XOE(wflQ27hs;3&b|;& zP;zM#p>20wa@1l)61ln-ItoW^6JMHf-VRYvpnRaf6q7c{)z&7alI0+miMk%}LA{ zHb`SOoy=t_ErqsGT2DqZP_+FFQgavKHrWU#n&47b{!WDFB2DRe%Kg+)Kv|PCN2jnr z#Z|o-oHfCZ02OSV6efbLKqHZ0(Vku;AX-?w4}eC4`oOW688mW7Y=;CCFXajC z72a9*+36((n}A|5XtePRa$)9o_5nYN0zPm6&wZG1mi93L@L=_EQxATnbJg9QqXdrzTA|7Q!tdH)Vu4{#Qqk%2TmcB>*fS^8br3{Yy-8Iu1xJ zL9DG`3y1~-O)5a(9&j}fAP{XVQdv!y$%dSHE^D1+%ga04=e5x9XE{g)erNeX>V7+*(Wef7B=5JwKgm0F_%w9J zE>;JF1c1B+plEcg0kjVQ>JjjcCY%>#0PL&y!@^+RAih4`^Tq!h1<9!yn1BsdN2>Ba zW*FGtW`ErLnNi;bG>p&Ei;&WPZJJOCG zCj|8=z1SfFy}#A}4=2CX|3v?+hf{j7WO}t{;smq=P=Ex67LUcm%>e$rR*>P-ZcAbi z^snN7kMtB%te9vOn2(?Y#!49=qA_|zmCD{4fp>DUB~mq^&nrVRbOI~OHBokk@m0q8 zx0C~m{qF=ixF=JmN-!||p2T1KIh#cH?@0)oMn1uASaYB8-LY|5=#+8PK#+{`C5Zkj zstrIMf&Kq*{#$=aC$@K}0#FPRj-!Bq1rmf2FaV*SDaaq%F$I-_W8weEr@tsUB^5hF zQUd^y2*eTg!G|VnNPrw5pmlz;H2Np9UI1|vtMD{>mfU)LGe#ls^%3kTB$;FLALS5` zKV1z0SgekA-Kmk_e@rSsgS|7zKa~Rz_$OneFsNu zoqGzYHmP3anKC-koL7&aHuQ{6m;%-OD?T8m1kO_b$r<77Q&P1>wQB*J<|GilXh$?vy!gQ9?R`KbshS(ghl8iJSZ>jV_>>xc_&6oX&>8 znKqUXjDSJl$Q+>VUlRqG51RZVPEYH^o#mG{?vw=({QrMo=5hLve_S$x?u-ISY!#ZH z3o}`m{&wE$}ktnkvc`6V%z!IZKCOJb0%xTgOBfMk+}1YFb^- zQin60i1HP<5MEoJy%*qUQ+DDp#&g@e=+ZTbIcHFNle?$X-|<4I{EQ)-PAwYre=6rS zjKl~6DM0){fhZ|&!n|evQPE)j~Z(GjqA<2Jiymg=|sGwzHogVdM?DL{0Qz(KgZkbf=gI3 ziAefbCYmUQK0=p~HrvDIrjx>|zo@O;$9()*>TJ^dlIDq;qbip*5$Akc*?@RooWE z&;1zT^^S**!2=VL_3}?VbsaTvDZ5%_h)8LR_pWg*y)vVjC{n-5ctkH(M0Eiw*=k|O z4ZQJoJw4l0OZeQ*@t8xme*)G9X4#d%Oki*B!dcc>u;g zy4L{Yxkul-n>8(;t#Q#uV|bClLp69ikkkN*;<_u2Cw8LQ$Wn-WHq?V`s9(~(llZuK zRyQL4I#?7VE7H&;c8tbc^P!QNb_WOzEml|^k+rfioa~dW{$!y?&o$OpbMWo9*^wrF zweq<~CVKl-YuzKO%hgh~9_|Ot1-QFKK9=;(?m4@;%j^sX+W4E}%uyX^e7bLrk9uQK zOn|_KJmj_7>khrN4={bO^B@(Bvjd#*ETED$$sd}+*EOLm=#w<_=#evh@FG0k*0~t> z3Y@=vV^-z6Y}{UgXA1usQgCZ(u5NlB*3~c$HC-(g+s$Oypb$_52FXo<9m|Lv58*5^O4jYBWu=<@;$Z8QHNO*qt%mH~hldLC&ZaV0 z>Kj=UN7Kr3c6D7H8Yq&lFH|09EM?N#5_>mIih-TB4SZfVDtXItMes-pS1Y_m?}m*h z@)Yhw8b|4BwP-jpRx2uCrIgz3Q4DZ$#V1&vwW6B64bCf%Ok5@@(N`9fuH;m<@ZXIZ z0aD27<5ttX<4{%#HCoxvJ^?2$-;XTk1WXAsDUd7HXqkC25OD@FM|~{#wjvQJImKsx zkn?T@*}pC_CKOYk$r`OY7PJk0gB_`uS3(RvXV~$deSQ9y9i0bF`xp`2E{BR*L({Q) z!;;96hE|a*y?md1KZI!BK8pFBd$R1~UkwbcUe$Vsv)s^hLr{tc=!eD~e zKFTeJC2hagSoPxaXBnxvx_oDT?AZMh|D(mEQtG>TaNrU44*e@JcFy2C#m;RbD5p5q z(WTrK^i^-?T>Plyw^sv0R7xo3Bptg34@GA^>~~@fqHL>skq2yQJANPDe*OGN;>LNJ zmn!;6?ia*7%)W_aj)n1rcz^yLoXtpi3Gs1&{-fva4wD9oSntS2><4oQDFUO++X}s- z*?->i-K%m}=CGtl2XviK+77zwd;Z6mudUdu9_n?{eJ!NpgbmHS2dk-{FO>sWPL-X3j<*MW#2b z;Zp~p`~G9|&0h|FT=htw>{UKY!(Usu`TFhdM-Ez5MxoKVpOEwN`;W%y7!q^Isw@SC zTn<&4jW_i(*U*Z~IQI&gHjV%?y9`BI{EnPn-qPE>s|cQI;#m?zM5=7sn~iF!s^<^A zul?X;YY%OE%lP&rm6E+7P61HI@u*{TWwHlV+ao2$Lh@xFDnHH_h=!VG$+Np5EA-yI zgFd~c#zAT?-6_k~>K?1by7$75HO%f}_F;RW;N{IEt>+t~K~6DPm!HEFtpyb|50m;r z``M>RW=xh`$1bXQAC0x*nZz83eA-oCameP>eM_H>*)V1j#xJhsu@oh$`WgjpUJVfJ zo)r4kPbZzuOtf<)emqj{dC}uS&phHag-6=)c;@G8WX81Bv9vdm$5Sfgh(29Y z?4ZJDs%pkT4@(YJhIxK2zImLOJh(S?ar8BL)1B+G4J?JXe<+{iHAw8zMJ1MerlS{Y zRq`Y|;(GK}(FYTzH}T16TaRY3K5WC~QiJG5gmk(J$wVd6V9^~+)eC3Rw7*Cags}Pg zEaXwxiOoEHfa0Lz-~;PrQUhgM#K2=NI$};Gef*Cv$_h(R>@{Z|=Zu+ee2e(z zRIRi&Qzv?R&qemun!3Qe^*oV&p#1mcUG{<8@a*pE5e-w)I@A3UaAkg#Tq`jtJ(_4E zjL%e!L_Fn)Thfv3qv6&494qD+Bi%Vq=-Uy9dHFZrJUrbI`H!DJxEUw~6tE>*YyRrO z{4Vy9Zhrctjgb}|kpubb(++K3>;{UNm?hV6I!Q-wAI0nW<4oW%#v}4u$Kmx>A^;WH zwVSaQOfuaPwC{XPaaIVp_tSa~#(Piw%ZFeZvkE0RH3lqu6o za0UW5K+qgN@$Kr7bnob-iH*ZU4M<}m%Xh09>($LRZ!^}vX?<5*+}zqtoV=yGjED&^ zpW`d<_;i~-jZx%6|6*cm3bsth$y$6%^vBL~l{;|}_a|>bx*Kt{ZF6d0XBx<>L{RBT z#m%>l&6nB?NZIz@tQZ*V387Py6q1fN?`MEA7E+GglJ^4Dq@7rW28*>o$AQwD!SYT1 zA+M9ARnm+P_kJ}-dmqxW&lW)rEkCnFS{dm#cH7x|hE)zT?K$XXPWc0)iKFtmwykCH zd_+o%?Aoj+Z;s#T2X$_0*fLv8+kHQrg;9vK`V{oJb@hmb*SEuh=C*on*x}Y<)o`P4 z7BZ_Dh6B_jq#_UOEpH{Zw$i+)X+Zx9w{3S0fU0_cte?ws16Il~TLTvw5@a1;SLHX0 zX+UO%54|oWVAWf!{AynTx9U_o4XXm z4h)w)sG9ftXY17kwD!(dmr4E@sUEPK{Sp-~=Kj8ErX+?jFSe>wegKIJlt#o={pxO{ zim|vWkf>}MQ{PEdyj6UwZOAGqTW;Z&c=_9{eaZ$KSxb8mc~p^=F(Q%?&UA`-UF9w%l?T-icb$~< zcisltg}mVLzo_;#t>Iyuw?6ZW*&7>o85Ag1;>z%T#v9O|2nAL=jX6(t-p;Qy=Bae0 zE323-%3U7+$VYrJpCd@0fkdG7nUR$q+k3PwhS zNB0x3rez70KO2JPEW&w06ia8!6=5en@s@tPg(vM>6xf3B$%xpu3An3tu%ziQ)w0`h5HH9M%algc1)emkvUlA^s11KD`tb<%s?Xl$n> zz_P}-s6~v^hBsS#VGIWk@VFVXG}zE7B%7b z%kuYT9;+m_7s}kX2CZ5; zU%gajDzgco?GiKhwRIe6m_fg?TfbXi+2@1EH$x=6L?!Um;^IqCYhxLx#Z5g_)SPnb zL3v;xLU>rzF|N$crTjrj6@Oew&3Jle+3X0bw8;(_V}A4HTHS!hx5E-N^BZa~sFVHZ zzJy2l{FoZg-m@jtiU8bbo=ziWNgnL4({aTmM!j+~uj73rz<0Rj!Q)Z0L#v1d8QhZ? zIeK+P$#~Ltj{N;z54;niVY|IOx#_)W#zODdpAJ;%a8H;lY>TD&I4_8L;6f5gE1(nM z)KJ7oiFVo0eLO>>oX5gZ2K(3k(NLsN{vn{0zC3}~E;=adMRqFRzAx@pL&&!v$$F{B z+lNp)TrMZ?7<_jJy|ES}FYpu6_fB>Z8Vj=uZgLnJ(aJtD?|gq8 zCExpzD-833Z{IMwfnyrbw$1w1Sva92VK-T5rp8NwSgwoCCbiHLXurOHz#wjbZ?aS^ zgY}wQC}d6P?tGS=mWzT_7CCTNzkbcw3X;W6ukY+VeK?d|rJ@a9ez7$U#My0^%7%RuR9b6|uG z9SBwL`g&s8`M8R47hXTo7|&1DBE8>FV~rhe__5aE*zV#fj99QDVPBkqHCxFf1RUNQ zS+^=>3?&u<9A96|3*=H|wQHQW`Z1@lpD?OWI986DnxFWfHbLI5?QrhI04c}L#<1@Z zV!#w!o6{Ch*8Cydcg$vFSQ%j|{ob-1nJ=|udOrf!zgDn$fuGvP?nOtvkLU!|c4x(d z=E#;k#g=Dv9*2S&ESHaYHtq|Q9n_#FKh`wW(*+f6MX9qCuaPf#1kdB+SrQhS{1?|u zgmYH!0U7qgHeHXICp}2=Rp6WCg!k5NpyugF*Va}Mj^wAfwPbgn6`XixYidgWA~6pbRy64I?1Ol8|xpf4B->T&aA*T%NI+& zmR8%TK&KCH`7a0EXA<;Tt>R!=@EOZRI8^8MYdzKCw4%#*i|p7NH@O&H#KuMCXefU( zSoOxs7|{|adxG)|=-tPq);jgnRa(J;0|Ofe<#r3kSzi84QEMG>=Di(r)zDO!u3%%i z-X*^pQ(vj*KvC7Z#{r_g#doy@nKBMoT8+#DcV5_4t6aATBgeCOvBPlMh zN6?a&Q+N{5L2@HExgd*L zZE4AkA;}`ElA}5GjKgs5j+DKeL%1nr2+OP}?6?ft6ER%;GB;gE$# zEa*7@a-gRrUL^=p}=w&ow@;`3st;_sb5j!Z~&0jp57R9~HDTI<5})XofjdWQokkMK@#5a$0mPdAYmA+jWP5h`rtd^>%#q8g stZm