From 63002d2099fab7c97ca098315c9583e371e28122 Mon Sep 17 00:00:00 2001 From: MHeinrichs Date: Mon, 25 Jan 2016 18:02:53 +0100 Subject: [PATCH] 50Mhz version --- Logic/68030-68000-bus.vhd | 5 +- Logic/68030_TK.tcl | 183 + Logic/68030_tk.bl2 | 2940 ++++---- Logic/68030_tk.bl3 | 669 +- Logic/68030_tk.crf | 2 +- Logic/68030_tk.eq3 | 132 +- Logic/68030_tk.fti | 182 +- Logic/68030_tk.grp | 41 +- Logic/68030_tk.ipr | 3 +- Logic/68030_tk.jed | 1029 ++- Logic/68030_tk.lco | 152 +- Logic/68030_tk.out | 146 + Logic/68030_tk.plc | 150 +- Logic/68030_tk.prd | 1188 ++-- Logic/68030_tk.rpt | 874 ++- Logic/68030_tk.tal | 6 +- Logic/68030_tk.tt2 | 1131 ++- Logic/68030_tk.tt3 | 1131 ++- Logic/68030_tk.tt4 | 582 +- Logic/68030_tk.tte | 582 +- Logic/68030_tk.vcl | 100 +- Logic/68030_tk.vco | 152 +- Logic/68030_tk.xrf | 2 +- Logic/BUS68030.bl0 | 2917 ++++---- Logic/BUS68030.bl1 | 2940 ++++---- Logic/BUS68030.edi | 4768 +++++++------ Logic/BUS68030.prj | 2 +- Logic/BUS68030.srm | 6043 +++++++++-------- Logic/BUS68030.srr | 18 +- Logic/BUS68030.srs | Bin 13331 -> 13108 bytes Logic/bus68030.exf | 1029 +-- Logic/bus68030.srf | 18 +- Logic/dm/BUS68030_comp.xdm | 4 +- Logic/run_options.txt | 2 +- Logic/synlog/BUS68030_multi_srs_gen.srr | 2 +- Logic/synlog/bus68030_fpga_mapper.srr | 8 +- .../report/BUS68030_compiler_runstatus.xml | 4 +- .../report/BUS68030_compiler_warnings.txt | 2 + .../report/BUS68030_fpga_mapper_runstatus.xml | 2 +- Logic/syntmp/BUS68030_srr.htm | 68 +- Logic/syntmp/BUS68030_toc.htm | 2 +- Logic/syntmp/run_option.xml | 2 +- Logic/syntmp/statusReport.html | 8 +- Logic/synwork/BUS68030_comp.fdep | 2 +- Logic/synwork/BUS68030_comp.fdeporig | 2 +- Logic/synwork/BUS68030_comp.srs | Bin 12644 -> 12521 bytes Logic/synwork/BUS68030_comp.tlg | 2 + Logic/synwork/BUS68030_mult.srs | Bin 13331 -> 13108 bytes Logic/synwork/BUS68030_mult_srs/skeleton.srs | Bin 1130 -> 1123 bytes Logic/synwork/BUS68030_s.srs | Bin 12644 -> 12521 bytes 50 files changed, 14741 insertions(+), 14486 deletions(-) diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index dc6c66d..f72124c 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -162,7 +162,8 @@ begin --here the clock is selected - CLK_OUT_PRE_D <= CLK_OUT_PRE_25; + --CLK_OUT_PRE_D <= CLK_OUT_PRE_25; + CLK_OUT_PRE_D <= CLK_OUT_PRE_50; -- the external clock to the processor is generated here CLK_OUT_INT <= CLK_OUT_PRE_D; --this way we know the clock of the next state: Its like looking in the future, cool! @@ -505,7 +506,7 @@ begin --output clock assignment CLK_DIV_OUT <= CLK_OUT_INT; - CLK_EXP <= not CLK_OUT_EXP_INT; + CLK_EXP <= CLK_OUT_INT;--not CLK_OUT_EXP_INT; --CLK_DIV_OUT <= 'Z'; --CLK_EXP <= CLK_030; diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index 3c1f52d..8c98343 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -351181,3 +351181,186 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 01/24/16 16:20:40 ########### + +########## Tcl recorder starts at 01/25/16 07:24:04 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 01/25/16 07:24:04 ########### + + +########## Tcl recorder starts at 01/25/16 07:24:04 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 01/25/16 07:24:04 ########### + diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index 6922127..9906ee4 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,118 +1,116 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ MODULE 68030_tk -#$ PINS 75 A_9_ A_8_ SIZE_1_ A_7_ A_6_ A_31_ A_5_ A_4_ IPL_030_2_ A_3_ A_2_ IPL_2_ \ -# IPL_030_1_ IPL_030_0_ FC_1_ IPL_1_ AS_030 IPL_0_ AS_000 FC_0_ RW_000 DS_030 UDS_000 \ -# LDS_000 A0 A1 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI \ -# CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E VPA VMA RST RESET RW \ -# AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ AMIGA_BUS_ENABLE_LOW A_30_ \ -# AMIGA_BUS_ENABLE_HIGH A_29_ CIIN A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ \ -# A_19_ A_18_ A_17_ A_16_ A_15_ A_14_ A_13_ A_12_ A_11_ A_10_ -#$ NODES 681 N_184 N_184_0 rw_000_dma_0_un1_n N_180 N_185_0 rw_000_dma_0_un0_n N_179 \ -# UDS_000_c_i a0_dma_0_un3_n pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 LDS_000_c_i \ -# a0_dma_0_un1_n N_312 N_173_i a0_dma_0_un0_n N_270 N_358_0 \ -# amiga_bus_enable_dma_low_0_un3_n inst_BGACK_030_INTreg N_357 N_239_i \ -# amiga_bus_enable_dma_low_0_un1_n inst_CLK_OUT_INTreg N_354 \ -# pos_clk_size_dma_6_0_1__n amiga_bus_enable_dma_low_0_un0_n vcc_n_n N_227 N_238_i \ -# amiga_bus_enable_dma_high_0_un3_n un5_e N_378 pos_clk_size_dma_6_0_0__n \ -# amiga_bus_enable_dma_high_0_un1_n inst_VMA_INTreg N_29 N_237_i \ -# amiga_bus_enable_dma_high_0_un0_n gnd_n_n N_28 N_236_i cpu_est_0_2__un3_n \ -# un1_amiga_bus_enable_low N_3 AMIGA_BUS_DATA_DIR_c_0 cpu_est_0_2__un1_n un3_size N_5 \ -# N_331_i cpu_est_0_2__un0_n un4_size N_7 pos_clk_un6_bgack_000_0_n \ -# cpu_est_0_3__un3_n un4_uds_000 N_190_i N_356_0 cpu_est_0_3__un1_n un4_lds_000 \ -# un1_amiga_bus_enable_low_i N_352_0 cpu_est_0_3__un0_n un5_ciin un21_fpu_cs_i N_8_i \ -# ipl_030_0_0__un3_n un4_as_000 CLK_OUT_EXP_INT_i N_46_0 ipl_030_0_0__un1_n \ -# un1_SM_AMIGA_5 AS_000_i N_10_i ipl_030_0_0__un0_n un21_fpu_cs DS_000_DMA_i N_44_0 \ -# rw_000_int_0_un3_n un22_berr sm_amiga_i_5__n N_19_i rw_000_int_0_un1_n un6_ds_030 \ -# sm_amiga_i_6__n N_41_0 rw_000_int_0_un0_n cpu_est_0_ sm_amiga_i_0__n N_20_i \ -# uds_000_int_0_un3_n cpu_est_1_ CLK_000_NE_i N_40_0 uds_000_int_0_un1_n cpu_est_2_ \ -# sm_amiga_i_4__n N_24_i uds_000_int_0_un0_n cpu_est_3_ RW_000_i N_36_0 \ -# vma_int_0_un3_n inst_AS_000_INT sm_amiga_i_2__n N_25_i vma_int_0_un1_n SM_AMIGA_5_ \ -# CLK_000_D0_i N_35_0 vma_int_0_un0_n inst_AMIGA_BUS_ENABLE_DMA_LOW BERR_i \ -# bg_000_0_un3_n inst_AS_030_D0 sm_amiga_i_1__n N_198_i bg_000_0_un1_n \ -# inst_nEXP_SPACE_D0reg CLK_000_PE_i N_243_2_i bg_000_0_un0_n inst_AS_030_000_SYNC \ -# N_410_i_0 N_196_i cpu_est_0_1__un3_n inst_BGACK_030_INT_D sm_amiga_i_i_7__n N_195_i \ -# cpu_est_0_1__un1_n inst_AS_000_DMA AS_030_i cpu_est_0_1__un0_n inst_DS_000_DMA \ -# FPU_SENSE_i N_201_i dsack1_int_0_un3_n CYCLE_DMA_0_ nEXP_SPACE_D0_i N_200_i \ -# dsack1_int_0_un1_n CYCLE_DMA_1_ BGACK_030_INT_i N_199_i dsack1_int_0_un0_n \ -# SIZE_DMA_0_ AMIGA_BUS_ENABLE_DMA_HIGH_i N_182_0 ds_000_enable_0_un3_n SIZE_DMA_1_ \ -# A1_i N_158_i ds_000_enable_0_un1_n inst_VPA_D CLK_030_H_i N_148_i \ -# ds_000_enable_0_un0_n inst_UDS_000_INT a_i_16__n N_307_i lds_000_int_0_un3_n \ -# inst_LDS_000_INT a_i_18__n N_143_0 lds_000_int_0_un1_n inst_CLK_OUT_PRE_D a_i_19__n \ -# N_217_i lds_000_int_0_un0_n inst_DTACK_D0 N_114_i N_235_i a_15__n inst_RESET_OUT \ -# N_113_i inst_CLK_OUT_PRE_50 AS_000_INT_i N_210_i a_14__n inst_CLK_OUT_PRE_25 \ -# AMIGA_BUS_ENABLE_DMA_LOW_i inst_CLK_000_D1 rst_dly_i_2__n N_207_i a_13__n \ -# inst_CLK_000_D0 rst_dly_i_0__n N_208_i inst_CLK_000_PE rst_dly_i_1__n N_206_i \ -# a_12__n inst_CLK_OUT_EXP_INT RESET_OUT_i CLK_000_P_SYNC_9_ size_dma_i_1__n N_313_i \ -# a_11__n inst_CLK_000_NE size_dma_i_0__n N_211_i CLK_000_N_SYNC_11_ AS_030_D0_i \ -# N_212_i a_10__n IPL_D0_0_ a_i_24__n N_183_0 IPL_D0_1_ sm_amiga_i_3__n N_181_0 a_9__n \ -# IPL_D0_2_ cpu_est_i_3__n N_178_0 inst_CLK_000_NE_D0 cpu_est_i_0__n N_69_0 a_8__n \ -# SM_AMIGA_0_ VPA_D_i N_329_i inst_AMIGA_BUS_ENABLE_DMA_HIGH cpu_est_i_1__n N_176_i \ -# a_7__n inst_DSACK1_INTreg CLK_030_i N_175_0 pos_clk_ipl_n CLK_000_D1_i N_174_0 a_6__n \ -# SM_AMIGA_4_ cpu_est_i_2__n N_171_0 inst_DS_000_ENABLE DTACK_D0_i un1_SM_AMIGA_5_i \ -# a_5__n RST_DLY_0_ RW_i N_324_i RST_DLY_1_ a_i_31__n N_326_i a_4__n RST_DLY_2_ a_i_29__n \ -# N_168_i pos_clk_un8_bg_030_n a_i_30__n VMA_INT_i a_3__n CLK_000_P_SYNC_0_ a_i_27__n \ -# N_165_i CLK_000_P_SYNC_1_ a_i_28__n N_164_i a_2__n CLK_000_P_SYNC_2_ a_i_25__n \ -# N_162_i CLK_000_P_SYNC_3_ a_i_26__n clk_000_n_sync_i_10__n CLK_000_P_SYNC_4_ \ -# N_213_i N_321_i CLK_000_P_SYNC_5_ N_214_i N_159_0 CLK_000_P_SYNC_6_ N_215_i N_318_i \ -# CLK_000_P_SYNC_7_ N_156_i CLK_000_P_SYNC_8_ DS_000_ENABLE_1_sqmuxa_i N_155_i \ -# CLK_000_N_SYNC_0_ N_98_i N_154_i CLK_000_N_SYNC_1_ un6_ds_030_i CLK_OUT_PRE_D_i \ -# CLK_000_N_SYNC_2_ un4_as_000_i N_152_0 CLK_000_N_SYNC_3_ un4_lds_000_i N_150_i \ -# CLK_000_N_SYNC_4_ un4_uds_000_i AS_030_000_SYNC_i CLK_000_N_SYNC_5_ LDS_000_INT_i \ -# N_147_i CLK_000_N_SYNC_6_ UDS_000_INT_i N_145_i CLK_000_N_SYNC_7_ AS_030_c N_281_i \ -# CLK_000_N_SYNC_8_ N_302_i CLK_000_N_SYNC_9_ AS_000_c CLK_000_N_SYNC_10_ N_279_i \ -# inst_RW_000_INT RW_000_c N_280_i inst_RW_000_DMA un5_e_0 pos_clk_un7_clk_000_pe_n \ -# N_278_i inst_A0_DMA UDS_000_c cpu_est_2_0_3__n SM_AMIGA_6_ N_277_i \ -# DS_000_ENABLE_1_sqmuxa LDS_000_c N_348_i inst_CLK_030_H cpu_est_2_0_2__n \ -# SM_AMIGA_1_ size_c_0__n N_128_i SM_AMIGA_3_ N_193_i SM_AMIGA_2_ size_c_1__n N_241_i \ -# pos_clk_un3_as_030_d0_n DS_000_ENABLE_1_sqmuxa_1 N_240_i N_4 N_124_0 N_6 N_269_0 \ -# un5_ciin_i N_61_0 un1_as_030_i N_17 N_228_i N_18 N_355_0 N_21 N_226_i N_22 N_26 N_224_i \ -# N_27 N_225_i CLK_OUT_PRE_25_0 N_282_0 N_221_i N_222_i N_219_i N_220_i N_283_0 N_216_i \ -# N_218_i cpu_est_2_0_1__n N_373_i N_375_i pos_clk_un7_clk_000_pe_0_n N_188_i \ -# a_c_16__n N_205_i a_c_17__n pos_clk_un8_sm_amiga_i_n A0_c_i a_c_18__n size_c_i_1__n \ -# N_27_i a_c_19__n N_31_0 ipl_c_i_0__n a_c_20__n N_52_0 N_4_i a_c_21__n N_49_0 N_17_i \ -# SM_AMIGA_i_7_ a_c_22__n N_43_0 N_124 N_18_i cpu_est_2_1__n a_c_23__n N_42_0 \ -# cpu_est_2_2__n N_21_i cpu_est_2_3__n a_c_24__n N_39_0 G_134 N_22_i G_135 a_c_25__n \ -# N_38_0 G_136 N_26_i N_269 a_c_26__n N_34_0 N_61 BG_030_c_i a_c_27__n \ -# pos_clk_un8_bg_030_0_n N_98 N_161_i_1 a_c_28__n N_161_i_2 \ -# pos_clk_un8_sm_amiga_i_1_n N_355 a_c_29__n N_324_1 N_324_2 N_128 a_c_30__n N_150_i_1 \ -# N_137 un1_SM_AMIGA_5_i_1 N_145 a_c_31__n un1_SM_AMIGA_5_i_2 N_148 N_138_i_1 N_150 A0_c \ -# N_138_i_2 N_152 N_146_i_1 N_154 A1_c N_146_i_2 N_156 N_146_i_3 N_159 nEXP_SPACE_c \ -# N_220_1 N_161 N_220_2 N_165 BERR_c N_375_1 N_168 N_375_2 N_171 BG_030_c N_373_1 N_174 \ -# N_373_2 N_175 BG_000DFFreg N_210_1 N_178 N_210_2 N_181 N_210_3 N_183 BGACK_000_c \ -# un5_ciin_1 N_188 un5_ciin_2 N_190 CLK_030_c un5_ciin_3 N_193 un5_ciin_4 N_195 \ -# un5_ciin_5 N_200 un5_ciin_6 N_205 CLK_OSZI_c un5_ciin_7 N_206 un5_ciin_8 N_207 \ -# un5_ciin_9 N_208 un5_ciin_10 N_210 un5_ciin_11 N_211 FPU_SENSE_c N_302_1 N_212 \ -# N_244_i_1 N_373 IPL_030DFF_0_reg N_244_i_2 N_375 N_243_i_1 N_216 IPL_030DFF_1_reg \ -# N_410_1 N_218 N_410_2 N_219 IPL_030DFF_2_reg N_410_3 N_220 N_410_4 N_221 ipl_c_0__n \ -# N_237_1 N_222 N_237_2 N_224 ipl_c_1__n un21_fpu_cs_1 N_225 un22_berr_1_0 N_226 \ -# ipl_c_2__n N_233_1 N_228 N_233_2 N_230 N_245_i_1 N_231 DTACK_c N_128_i_1 N_240 N_134_i_1 \ -# N_241 N_124_0_1 N_277 N_267_i_1 N_278 VPA_c N_268_i_1 N_279 N_355_0_1 N_280 N_353_i_1 \ -# N_281 RST_c N_140_i_1 N_302 N_142_i_1 N_313 N_280_1 N_318 RW_c N_225_1 N_321 N_224_1 N_324 \ -# fc_c_0__n N_219_1 N_326 N_218_1 N_329 fc_c_1__n N_212_1 N_332 N_208_1 N_348 N_207_1 \ -# cpu_est_0_0_x2_0_x2_0_ AMIGA_BUS_DATA_DIR_c N_200_1 pos_clk_CYCLE_DMA_5_0_i_0_x2 \ -# N_195_1 pos_clk_CYCLE_DMA_5_1_i_0_x2 pos_clk_ipl_1_n N_235 ipl_030_0_1__un3_n N_196 \ -# ipl_030_0_1__un1_n N_143 N_7_i ipl_030_0_1__un0_n N_158 N_47_0 \ -# as_030_000_sync_0_un3_n N_198 N_5_i as_030_000_sync_0_un1_n N_199 N_48_0 \ -# as_030_000_sync_0_un0_n N_307 N_3_i as_000_int_0_un3_n N_201 N_50_0 \ -# as_000_int_0_un1_n N_182 nEXP_SPACE_c_i as_000_int_0_un0_n N_243_2 N_55_0 \ -# ds_000_dma_0_un3_n N_8 VPA_c_i ds_000_dma_0_un1_n N_356 N_56_0 ds_000_dma_0_un0_n \ -# N_10 DTACK_c_i ipl_030_0_2__un3_n pos_clk_un6_bgack_000_n N_57_0 ipl_030_0_2__un1_n \ -# N_19 ipl_c_i_1__n ipl_030_0_2__un0_n N_352 N_53_0 \ -# un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n N_327 ipl_c_i_2__n \ -# un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n N_20 N_54_0 \ -# un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n pos_clk_a0_dma_3_n N_28_i \ -# sm_amiga_srsts_i_0_0_m3_1__un3_n N_24 N_32_0 sm_amiga_srsts_i_0_0_m3_1__un1_n \ -# N_113 N_29_i sm_amiga_srsts_i_0_0_m3_1__un0_n N_25 N_33_0 \ -# sm_amiga_srsts_i_0_0_m3_5__un3_n N_114 N_378_i sm_amiga_srsts_i_0_0_m3_5__un1_n \ -# pos_clk_size_dma_6_0__n sm_amiga_srsts_i_0_0_m3_5__un0_n N_232 \ -# size_dma_0_0__un3_n pos_clk_size_dma_6_1__n N_227_i size_dma_0_0__un1_n N_410 \ -# N_354_0 size_dma_0_0__un0_n N_185 N_233_i size_dma_0_1__un3_n N_236 N_357_0 \ -# size_dma_0_1__un1_n N_238 N_270_0 size_dma_0_1__un0_n N_173 AS_000_DMA_i \ -# as_000_dma_0_un3_n N_239 N_137_0 as_000_dma_0_un1_n N_331 N_312_i as_000_dma_0_un0_n \ -# N_237 pos_clk_un3_as_030_d0_i_n bgack_030_int_0_un3_n un22_berr_1 N_161_i \ -# bgack_030_int_0_un1_n N_233 N_179_0 bgack_030_int_0_un0_n N_209 N_180_0 \ -# rw_000_dma_0_un3_n +#$ PINS 75 A_8_ A_7_ SIZE_1_ A_6_ A_5_ A_31_ A_4_ A_3_ IPL_030_2_ A_2_ IPL_030_1_ IPL_2_ \ +# IPL_030_0_ IPL_1_ FC_1_ IPL_0_ AS_030 FC_0_ AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 A1 \ +# nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT \ +# CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE SIZE_0_ \ +# AMIGA_BUS_DATA_DIR A_30_ AMIGA_BUS_ENABLE_LOW A_29_ AMIGA_BUS_ENABLE_HIGH A_28_ CIIN \ +# A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_15_ A_14_ A_13_ \ +# A_12_ A_11_ A_10_ A_9_ +#$ NODES 680 N_280 N_210_0 cpu_est_0_1__un0_n N_279 N_289_0 cpu_est_0_2__un3_n N_271 \ +# N_218_0 cpu_est_0_2__un1_n N_272 cpu_est_0_2__un0_n N_276 N_242_i cpu_est_0_3__un3_n \ +# DS_000_ENABLE_1_sqmuxa_1 N_246_i cpu_est_0_3__un1_n inst_BGACK_030_INTreg N_4 \ +# N_240_i cpu_est_0_3__un0_n vcc_n_n N_5 N_241_i ipl_030_0_0__un3_n inst_VMA_INTreg N_7 \ +# ipl_030_0_0__un1_n gnd_n_n N_10 N_266_i ipl_030_0_0__un0_n un1_amiga_bus_enable_low \ +# N_18 N_267_i ipl_030_0_1__un3_n un3_size N_24 N_254_i ipl_030_0_1__un1_n un4_size N_6 \ +# N_317_i ipl_030_0_1__un0_n un4_uds_000 un1_amiga_bus_enable_low_i \ +# ipl_030_0_2__un3_n un4_lds_000 un21_fpu_cs_i N_313_i ipl_030_0_2__un1_n un5_ciin \ +# BGACK_030_INT_i N_316_i ipl_030_0_2__un0_n un4_as_000 AMIGA_BUS_ENABLE_DMA_LOW_i \ +# N_312_i a0_dma_0_un3_n un21_fpu_cs UDS_000_INT_i a0_dma_0_un1_n un22_berr \ +# LDS_000_INT_i N_347_i a0_dma_0_un0_n un6_ds_030 N_236_i N_323_i uds_000_int_0_un3_n \ +# cpu_est_2_ sm_amiga_i_5__n N_324_i uds_000_int_0_un1_n cpu_est_3_ \ +# DS_000_ENABLE_1_sqmuxa_i N_222_i uds_000_int_0_un0_n cpu_est_0_ N_276_i \ +# AS_000_DMA_i vma_int_0_un3_n cpu_est_1_ RST_i N_221_0 vma_int_0_un1_n \ +# inst_AS_000_INT a_i_19__n N_220_0 vma_int_0_un0_n SM_AMIGA_5_ a_i_18__n N_219_0 \ +# amiga_bus_enable_dma_high_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW size_dma_i_1__n \ +# N_216_0 amiga_bus_enable_dma_high_0_un1_n inst_AS_030_D0 size_dma_i_0__n N_290_0 \ +# amiga_bus_enable_dma_high_0_un0_n inst_nEXP_SPACE_D0reg RW_i N_212_0 \ +# bg_000_0_un3_n inst_AS_030_000_SYNC CLK_000_PE_i N_211_0 bg_000_0_un1_n \ +# inst_BGACK_030_INT_D a_i_16__n N_204_0 bg_000_0_un0_n inst_AS_000_DMA RESET_OUT_i \ +# N_351_i ds_000_dma_0_un3_n inst_DS_000_DMA BERR_i N_353_i ds_000_dma_0_un1_n \ +# CYCLE_DMA_0_ sm_amiga_i_i_7__n N_201_i ds_000_dma_0_un0_n CYCLE_DMA_1_ \ +# nEXP_SPACE_D0_i VMA_INT_i as_000_dma_0_un3_n SIZE_DMA_0_ sm_amiga_i_4__n N_197_i \ +# as_000_dma_0_un1_n SIZE_DMA_1_ FPU_SENSE_i N_196_i as_000_dma_0_un0_n inst_VPA_D \ +# AS_030_i N_193_i lds_000_int_0_un3_n inst_UDS_000_INT AS_030_D0_i N_192_0 \ +# lds_000_int_0_un1_n inst_LDS_000_INT a_i_24__n clk_000_n_sync_i_10__n \ +# lds_000_int_0_un0_n inst_CLK_OUT_PRE_D sm_amiga_i_3__n N_350_i rw_000_dma_0_un3_n \ +# inst_DTACK_D0 cpu_est_i_0__n N_188_0 rw_000_dma_0_un1_n inst_RESET_OUT \ +# cpu_est_i_3__n N_187_i rw_000_dma_0_un0_n inst_CLK_OUT_PRE_50 cpu_est_i_2__n \ +# N_185_i a_15__n inst_CLK_000_D1 cpu_est_i_1__n N_182_i inst_CLK_000_D0 VPA_D_i \ +# N_181_i a_14__n inst_CLK_000_PE CLK_000_NE_i CLK_OUT_PRE_D_i CLK_000_P_SYNC_9_ \ +# sm_amiga_i_1__n N_175_0 a_13__n inst_CLK_000_NE rst_dly_i_2__n N_168_i \ +# CLK_000_N_SYNC_11_ CLK_030_i AS_030_000_SYNC_i a_12__n IPL_D0_0_ rst_dly_i_0__n \ +# N_158_i IPL_D0_1_ rst_dly_i_1__n CLK_000_D0_i a_11__n IPL_D0_2_ CLK_000_D1_i N_148_i \ +# inst_CLK_000_NE_D0 DTACK_D0_i N_345_i a_10__n pos_clk_un6_bg_030_n RW_000_i N_344_i \ +# SM_AMIGA_0_ CLK_030_H_i N_144_0 a_9__n inst_AMIGA_BUS_ENABLE_DMA_HIGH \ +# sm_amiga_i_6__n N_138_0 inst_DSACK1_INTreg sm_amiga_i_2__n a_8__n AS_000_i N_342_i \ +# pos_clk_ipl_n sm_amiga_i_0__n N_343_i a_7__n SM_AMIGA_4_ A1_i N_124_0 \ +# inst_DS_000_ENABLE a_i_31__n N_341_i a_6__n RST_DLY_0_ a_i_29__n N_119_0 RST_DLY_1_ \ +# a_i_30__n N_340_i a_5__n RST_DLY_2_ a_i_27__n N_361_i pos_clk_un8_bg_030_n a_i_28__n \ +# cpu_est_2_0_2__n a_4__n CLK_000_P_SYNC_0_ a_i_25__n N_338_i CLK_000_P_SYNC_1_ \ +# a_i_26__n N_339_i a_3__n CLK_000_P_SYNC_2_ N_213_i cpu_est_2_0_1__n \ +# CLK_000_P_SYNC_3_ N_214_i N_332_i a_2__n CLK_000_P_SYNC_4_ N_215_i N_336_i \ +# CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_pe_0_n CLK_000_P_SYNC_6_ N_275_i N_99_0 \ +# CLK_000_P_SYNC_7_ un6_ds_030_i N_331_i CLK_000_P_SYNC_8_ DS_000_DMA_i N_96_0 \ +# CLK_000_N_SYNC_0_ un4_as_000_i N_330_i CLK_000_N_SYNC_1_ AS_000_INT_i N_90_0 \ +# CLK_000_N_SYNC_2_ un4_lds_000_i N_328_i CLK_000_N_SYNC_3_ un4_uds_000_i \ +# CLK_000_N_SYNC_4_ AS_030_c N_80_0 CLK_000_N_SYNC_5_ N_325_i CLK_000_N_SYNC_6_ \ +# AS_000_c N_326_i CLK_000_N_SYNC_7_ N_258_0 CLK_000_N_SYNC_8_ RW_000_c N_217_i \ +# CLK_000_N_SYNC_9_ N_321_i CLK_000_N_SYNC_10_ N_322_i inst_RW_000_INT UDS_000_c \ +# inst_RW_000_DMA N_320_i pos_clk_un7_clk_000_pe_n LDS_000_c inst_A0_DMA un5_ciin_i \ +# pos_clk_a0_dma_3_n size_c_0__n N_61_0 SM_AMIGA_6_ N_310_i inst_CLK_030_H size_c_1__n \ +# SM_AMIGA_1_ N_305_i SM_AMIGA_3_ N_307_i SM_AMIGA_2_ N_3 N_303_i N_8 N_304_i N_283_0 \ +# N_301_i N_300_i N_123_0 N_17 N_278_i N_19 N_297_i N_20 AMIGA_BUS_DATA_DIR_c_0 N_21 \ +# N_277_i N_22 N_25 N_26 pos_clk_un8_sm_amiga_i_n N_27 A0_c_i N_28 size_c_i_1__n N_29 \ +# N_29_i N_32_0 N_28_i N_31_0 N_27_i N_30_0 ipl_c_i_2__n N_53_0 ipl_c_i_1__n N_52_0 \ +# a_c_16__n ipl_c_i_0__n N_51_0 a_c_17__n DTACK_c_i N_56_0 a_c_18__n VPA_c_i N_55_0 \ +# a_c_19__n nEXP_SPACE_c_i N_54_0 a_c_20__n N_3_i N_49_0 a_c_21__n N_8_i N_45_0 a_c_22__n \ +# N_17_i N_42_0 a_c_23__n N_19_i N_40_0 SM_AMIGA_i_7_ a_c_24__n N_20_i N_123 N_39_0 \ +# cpu_est_2_1__n a_c_25__n N_21_i cpu_est_2_2__n N_38_0 N_209 a_c_26__n N_22_i G_134 \ +# N_37_0 G_135 a_c_27__n N_25_i G_136 N_34_0 N_217 a_c_28__n N_26_i N_33_0 N_61 a_c_29__n \ +# BG_030_c_i N_127 pos_clk_un6_bg_030_i_n a_c_30__n pos_clk_un8_bg_030_0_n N_80 \ +# N_289_0_1 a_c_31__n un1_SM_AMIGA_5_i_1 N_90 un1_SM_AMIGA_5_i_2 N_96 A0_c \ +# pos_clk_un8_sm_amiga_i_1_n N_99 N_351_1 N_119 A1_c N_351_2 N_124 N_168_i_1 N_138 \ +# nEXP_SPACE_c N_192_0_1 N_144 N_192_0_2 N_158 BERR_c N_137_i_1 N_168 N_137_i_2 N_175 \ +# BG_030_c N_145_i_1 N_182 N_145_i_2 N_185 BG_000DFFreg N_145_i_3 N_187 N_260_i_1 N_188 \ +# N_260_i_2 N_192 BGACK_000_c N_259_i_1 N_193 N_259_i_2 N_197 CLK_030_c N_336_1 N_201 \ +# N_336_2 N_204 N_332_1 N_206 N_332_2 N_207 CLK_OSZI_c N_332_3 N_317_1 N_211 N_317_2 N_212 \ +# CLK_OUT_INTreg N_317_3 N_290 N_304_1 N_216 N_304_2 N_219 FPU_SENSE_c un5_ciin_1 N_220 \ +# un5_ciin_2 N_221 IPL_030DFF_0_reg un5_ciin_3 N_227 un5_ciin_4 N_229 IPL_030DFF_1_reg \ +# un5_ciin_5 N_230 un5_ciin_6 N_236 IPL_030DFF_2_reg un5_ciin_7 N_240 un5_ciin_8 N_241 \ +# ipl_c_0__n un5_ciin_9 N_242 un5_ciin_10 N_246 ipl_c_1__n un5_ciin_11 N_254 \ +# un22_berr_1_0 N_266 ipl_c_2__n un21_fpu_cs_1 N_267 N_375_1 N_275 N_375_2 N_277 DTACK_c \ +# N_375_3 N_278 N_375_4 N_297 N_134_i_1 N_300 N_96_0_1 N_301 VPA_c N_83_i_1 N_303 N_261_i_1 \ +# N_304 N_141_i_1 N_305 RST_c N_139_i_1 N_307 N_133_i_1 N_310 N_123_0_1 N_312 RW_c \ +# N_282_i_1 N_313 N_343_1 N_316 fc_c_0__n N_339_1 N_317 pos_clk_un6_bg_030_1_n N_320 \ +# fc_c_1__n N_326_1 N_321 N_325_1 N_322 N_324_1 N_323 AMIGA_BUS_DATA_DIR_c N_316_1 N_324 \ +# N_313_1 N_325 N_303_1 N_326 N_297_1 N_328 N_266_1 N_330 N_24_i N_240_1 N_331 N_35_0 \ +# pos_clk_ipl_1_n N_332 N_18_i amiga_bus_enable_dma_low_0_un3_n N_336 N_41_0 \ +# amiga_bus_enable_dma_low_0_un1_n N_338 N_10_i amiga_bus_enable_dma_low_0_un0_n \ +# N_339 N_43_0 rw_000_int_0_un3_n N_340 N_7_i rw_000_int_0_un1_n N_341 N_46_0 \ +# rw_000_int_0_un0_n N_342 N_5_i bgack_030_int_0_un3_n N_343 N_47_0 \ +# bgack_030_int_0_un1_n N_344 N_4_i bgack_030_int_0_un0_n N_345 N_48_0 \ +# as_030_000_sync_0_un3_n N_347 N_272_i as_030_000_sync_0_un1_n N_350 N_271_i \ +# as_030_000_sync_0_un0_n N_351 N_279_0 ds_000_enable_0_un3_n N_353 N_280_0 \ +# ds_000_enable_0_un1_n N_361 N_281_0 ds_000_enable_0_un0_n \ +# pos_clk_un24_bgack_030_int_i_i_a4_i_x2 N_298_i as_000_int_0_un3_n \ +# pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_size_dma_6_0_0__n as_000_int_0_un1_n \ +# cpu_est_0_0_x2_0_ N_299_i as_000_int_0_un0_n pos_clk_CYCLE_DMA_5_1_i_x2 \ +# pos_clk_size_dma_6_0_1__n dsack1_int_0_un3_n un22_berr_1 un1_as_000_i \ +# dsack1_int_0_un1_n N_375 N_358_i dsack1_int_0_un0_n N_218 pos_clk_un6_bgack_000_0_n \ +# size_dma_0_1__un3_n N_156 N_284_i size_dma_0_1__un1_n N_289 N_285_i \ +# size_dma_0_1__un0_n N_354 N_286_0 size_dma_0_0__un3_n N_205 N_88_0 \ +# size_dma_0_0__un1_n un1_SM_AMIGA_5 pos_clk_un3_as_030_d0_i_n size_dma_0_0__un0_n \ +# DS_000_ENABLE_1_sqmuxa N_156_i sm_amiga_srsts_i_0_m2_1__un3_n N_349 N_349_i \ +# sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_un3_as_030_d0_n N_194_i \ +# sm_amiga_srsts_i_0_m2_1__un0_n N_286 un1_SM_AMIGA_5_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n pos_clk_un6_bgack_000_n UDS_000_c_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_358 LDS_000_c_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n pos_clk_size_dma_6_1__n N_205_i \ +# sm_amiga_srsts_i_0_m2_5__un3_n N_299 N_206_0 sm_amiga_srsts_i_0_m2_5__un1_n \ +# pos_clk_size_dma_6_0__n N_207_0 sm_amiga_srsts_i_0_m2_5__un0_n N_298 N_354_i \ +# cpu_est_0_1__un3_n N_281 N_208_0 cpu_est_0_1__un1_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -122,352 +120,342 @@ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF \ -A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_184.BLIF N_184_0.BLIF \ -rw_000_dma_0_un1_n.BLIF N_180.BLIF N_185_0.BLIF rw_000_dma_0_un0_n.BLIF \ -N_179.BLIF UDS_000_c_i.BLIF a0_dma_0_un3_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.BLIF LDS_000_c_i.BLIF \ -a0_dma_0_un1_n.BLIF N_312.BLIF N_173_i.BLIF a0_dma_0_un0_n.BLIF N_270.BLIF \ -N_358_0.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF inst_BGACK_030_INTreg.BLIF \ -N_357.BLIF N_239_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF \ -inst_CLK_OUT_INTreg.BLIF N_354.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF vcc_n_n.BLIF N_227.BLIF N_238_i.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF un5_e.BLIF N_378.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ -inst_VMA_INTreg.BLIF N_29.BLIF N_237_i.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF gnd_n_n.BLIF N_28.BLIF N_236_i.BLIF \ -cpu_est_0_2__un3_n.BLIF un1_amiga_bus_enable_low.BLIF N_3.BLIF \ -AMIGA_BUS_DATA_DIR_c_0.BLIF cpu_est_0_2__un1_n.BLIF un3_size.BLIF N_5.BLIF \ -N_331_i.BLIF cpu_est_0_2__un0_n.BLIF un4_size.BLIF N_7.BLIF \ -pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_3__un3_n.BLIF un4_uds_000.BLIF \ -N_190_i.BLIF N_356_0.BLIF cpu_est_0_3__un1_n.BLIF un4_lds_000.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_352_0.BLIF cpu_est_0_3__un0_n.BLIF \ -un5_ciin.BLIF un21_fpu_cs_i.BLIF N_8_i.BLIF ipl_030_0_0__un3_n.BLIF \ -un4_as_000.BLIF CLK_OUT_EXP_INT_i.BLIF N_46_0.BLIF ipl_030_0_0__un1_n.BLIF \ -un1_SM_AMIGA_5.BLIF AS_000_i.BLIF N_10_i.BLIF ipl_030_0_0__un0_n.BLIF \ -un21_fpu_cs.BLIF DS_000_DMA_i.BLIF N_44_0.BLIF rw_000_int_0_un3_n.BLIF \ -un22_berr.BLIF sm_amiga_i_5__n.BLIF N_19_i.BLIF rw_000_int_0_un1_n.BLIF \ -un6_ds_030.BLIF sm_amiga_i_6__n.BLIF N_41_0.BLIF rw_000_int_0_un0_n.BLIF \ -cpu_est_0_.BLIF sm_amiga_i_0__n.BLIF N_20_i.BLIF uds_000_int_0_un3_n.BLIF \ -cpu_est_1_.BLIF CLK_000_NE_i.BLIF N_40_0.BLIF uds_000_int_0_un1_n.BLIF \ -cpu_est_2_.BLIF sm_amiga_i_4__n.BLIF N_24_i.BLIF uds_000_int_0_un0_n.BLIF \ -cpu_est_3_.BLIF RW_000_i.BLIF N_36_0.BLIF vma_int_0_un3_n.BLIF \ -inst_AS_000_INT.BLIF sm_amiga_i_2__n.BLIF N_25_i.BLIF vma_int_0_un1_n.BLIF \ -SM_AMIGA_5_.BLIF CLK_000_D0_i.BLIF N_35_0.BLIF vma_int_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF BERR_i.BLIF bg_000_0_un3_n.BLIF \ -inst_AS_030_D0.BLIF sm_amiga_i_1__n.BLIF N_198_i.BLIF bg_000_0_un1_n.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF CLK_000_PE_i.BLIF N_243_2_i.BLIF \ -bg_000_0_un0_n.BLIF inst_AS_030_000_SYNC.BLIF N_410_i_0.BLIF N_196_i.BLIF \ -cpu_est_0_1__un3_n.BLIF inst_BGACK_030_INT_D.BLIF sm_amiga_i_i_7__n.BLIF \ -N_195_i.BLIF cpu_est_0_1__un1_n.BLIF inst_AS_000_DMA.BLIF AS_030_i.BLIF \ -cpu_est_0_1__un0_n.BLIF inst_DS_000_DMA.BLIF FPU_SENSE_i.BLIF N_201_i.BLIF \ -dsack1_int_0_un3_n.BLIF CYCLE_DMA_0_.BLIF nEXP_SPACE_D0_i.BLIF N_200_i.BLIF \ -dsack1_int_0_un1_n.BLIF CYCLE_DMA_1_.BLIF BGACK_030_INT_i.BLIF N_199_i.BLIF \ -dsack1_int_0_un0_n.BLIF SIZE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF \ -N_182_0.BLIF ds_000_enable_0_un3_n.BLIF SIZE_DMA_1_.BLIF A1_i.BLIF \ -N_158_i.BLIF ds_000_enable_0_un1_n.BLIF inst_VPA_D.BLIF CLK_030_H_i.BLIF \ -N_148_i.BLIF ds_000_enable_0_un0_n.BLIF inst_UDS_000_INT.BLIF a_i_16__n.BLIF \ -N_307_i.BLIF lds_000_int_0_un3_n.BLIF inst_LDS_000_INT.BLIF a_i_18__n.BLIF \ -N_143_0.BLIF lds_000_int_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_19__n.BLIF \ -N_217_i.BLIF lds_000_int_0_un0_n.BLIF inst_DTACK_D0.BLIF N_114_i.BLIF \ -N_235_i.BLIF a_15__n.BLIF inst_RESET_OUT.BLIF N_113_i.BLIF \ -inst_CLK_OUT_PRE_50.BLIF AS_000_INT_i.BLIF N_210_i.BLIF a_14__n.BLIF \ -inst_CLK_OUT_PRE_25.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF inst_CLK_000_D1.BLIF \ -rst_dly_i_2__n.BLIF N_207_i.BLIF a_13__n.BLIF inst_CLK_000_D0.BLIF \ -rst_dly_i_0__n.BLIF N_208_i.BLIF inst_CLK_000_PE.BLIF rst_dly_i_1__n.BLIF \ -N_206_i.BLIF a_12__n.BLIF inst_CLK_OUT_EXP_INT.BLIF RESET_OUT_i.BLIF \ -CLK_000_P_SYNC_9_.BLIF size_dma_i_1__n.BLIF N_313_i.BLIF a_11__n.BLIF \ -inst_CLK_000_NE.BLIF size_dma_i_0__n.BLIF N_211_i.BLIF CLK_000_N_SYNC_11_.BLIF \ -AS_030_D0_i.BLIF N_212_i.BLIF a_10__n.BLIF IPL_D0_0_.BLIF a_i_24__n.BLIF \ -N_183_0.BLIF IPL_D0_1_.BLIF sm_amiga_i_3__n.BLIF N_181_0.BLIF a_9__n.BLIF \ -IPL_D0_2_.BLIF cpu_est_i_3__n.BLIF N_178_0.BLIF inst_CLK_000_NE_D0.BLIF \ -cpu_est_i_0__n.BLIF N_69_0.BLIF a_8__n.BLIF SM_AMIGA_0_.BLIF VPA_D_i.BLIF \ -N_329_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF cpu_est_i_1__n.BLIF \ -N_176_i.BLIF a_7__n.BLIF inst_DSACK1_INTreg.BLIF CLK_030_i.BLIF N_175_0.BLIF \ -pos_clk_ipl_n.BLIF CLK_000_D1_i.BLIF N_174_0.BLIF a_6__n.BLIF SM_AMIGA_4_.BLIF \ -cpu_est_i_2__n.BLIF N_171_0.BLIF inst_DS_000_ENABLE.BLIF DTACK_D0_i.BLIF \ -un1_SM_AMIGA_5_i.BLIF a_5__n.BLIF RST_DLY_0_.BLIF RW_i.BLIF N_324_i.BLIF \ -RST_DLY_1_.BLIF a_i_31__n.BLIF N_326_i.BLIF a_4__n.BLIF RST_DLY_2_.BLIF \ -a_i_29__n.BLIF N_168_i.BLIF pos_clk_un8_bg_030_n.BLIF a_i_30__n.BLIF \ -VMA_INT_i.BLIF a_3__n.BLIF CLK_000_P_SYNC_0_.BLIF a_i_27__n.BLIF N_165_i.BLIF \ -CLK_000_P_SYNC_1_.BLIF a_i_28__n.BLIF N_164_i.BLIF a_2__n.BLIF \ -CLK_000_P_SYNC_2_.BLIF a_i_25__n.BLIF N_162_i.BLIF CLK_000_P_SYNC_3_.BLIF \ -a_i_26__n.BLIF clk_000_n_sync_i_10__n.BLIF CLK_000_P_SYNC_4_.BLIF N_213_i.BLIF \ -N_321_i.BLIF CLK_000_P_SYNC_5_.BLIF N_214_i.BLIF N_159_0.BLIF \ -CLK_000_P_SYNC_6_.BLIF N_215_i.BLIF N_318_i.BLIF CLK_000_P_SYNC_7_.BLIF \ -N_156_i.BLIF CLK_000_P_SYNC_8_.BLIF DS_000_ENABLE_1_sqmuxa_i.BLIF N_155_i.BLIF \ -CLK_000_N_SYNC_0_.BLIF N_98_i.BLIF N_154_i.BLIF CLK_000_N_SYNC_1_.BLIF \ -un6_ds_030_i.BLIF CLK_OUT_PRE_D_i.BLIF CLK_000_N_SYNC_2_.BLIF \ -un4_as_000_i.BLIF N_152_0.BLIF CLK_000_N_SYNC_3_.BLIF un4_lds_000_i.BLIF \ -N_150_i.BLIF CLK_000_N_SYNC_4_.BLIF un4_uds_000_i.BLIF AS_030_000_SYNC_i.BLIF \ -CLK_000_N_SYNC_5_.BLIF LDS_000_INT_i.BLIF N_147_i.BLIF CLK_000_N_SYNC_6_.BLIF \ -UDS_000_INT_i.BLIF N_145_i.BLIF CLK_000_N_SYNC_7_.BLIF AS_030_c.BLIF \ -N_281_i.BLIF CLK_000_N_SYNC_8_.BLIF N_302_i.BLIF CLK_000_N_SYNC_9_.BLIF \ -AS_000_c.BLIF CLK_000_N_SYNC_10_.BLIF N_279_i.BLIF inst_RW_000_INT.BLIF \ -RW_000_c.BLIF N_280_i.BLIF inst_RW_000_DMA.BLIF un5_e_0.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF N_278_i.BLIF inst_A0_DMA.BLIF UDS_000_c.BLIF \ -cpu_est_2_0_3__n.BLIF SM_AMIGA_6_.BLIF N_277_i.BLIF \ -DS_000_ENABLE_1_sqmuxa.BLIF LDS_000_c.BLIF N_348_i.BLIF inst_CLK_030_H.BLIF \ -cpu_est_2_0_2__n.BLIF SM_AMIGA_1_.BLIF size_c_0__n.BLIF N_128_i.BLIF \ -SM_AMIGA_3_.BLIF N_193_i.BLIF SM_AMIGA_2_.BLIF size_c_1__n.BLIF N_241_i.BLIF \ -pos_clk_un3_as_030_d0_n.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_240_i.BLIF \ -N_4.BLIF N_124_0.BLIF N_6.BLIF N_269_0.BLIF un5_ciin_i.BLIF N_61_0.BLIF \ -un1_as_030_i.BLIF N_17.BLIF N_228_i.BLIF N_18.BLIF N_355_0.BLIF N_21.BLIF \ -N_226_i.BLIF N_22.BLIF N_26.BLIF N_224_i.BLIF N_27.BLIF N_225_i.BLIF \ -CLK_OUT_PRE_25_0.BLIF N_282_0.BLIF N_221_i.BLIF N_222_i.BLIF N_219_i.BLIF \ -N_220_i.BLIF N_283_0.BLIF N_216_i.BLIF N_218_i.BLIF cpu_est_2_0_1__n.BLIF \ -N_373_i.BLIF N_375_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF N_188_i.BLIF \ -a_c_16__n.BLIF N_205_i.BLIF a_c_17__n.BLIF pos_clk_un8_sm_amiga_i_n.BLIF \ -A0_c_i.BLIF a_c_18__n.BLIF size_c_i_1__n.BLIF N_27_i.BLIF a_c_19__n.BLIF \ -N_31_0.BLIF ipl_c_i_0__n.BLIF a_c_20__n.BLIF N_52_0.BLIF N_4_i.BLIF \ -a_c_21__n.BLIF N_49_0.BLIF N_17_i.BLIF SM_AMIGA_i_7_.BLIF a_c_22__n.BLIF \ -N_43_0.BLIF N_124.BLIF N_18_i.BLIF cpu_est_2_1__n.BLIF a_c_23__n.BLIF \ -N_42_0.BLIF cpu_est_2_2__n.BLIF N_21_i.BLIF cpu_est_2_3__n.BLIF a_c_24__n.BLIF \ -N_39_0.BLIF G_134.BLIF N_22_i.BLIF G_135.BLIF a_c_25__n.BLIF N_38_0.BLIF \ -G_136.BLIF N_26_i.BLIF N_269.BLIF a_c_26__n.BLIF N_34_0.BLIF N_61.BLIF \ -BG_030_c_i.BLIF a_c_27__n.BLIF pos_clk_un8_bg_030_0_n.BLIF N_98.BLIF \ -N_161_i_1.BLIF a_c_28__n.BLIF N_161_i_2.BLIF pos_clk_un8_sm_amiga_i_1_n.BLIF \ -N_355.BLIF a_c_29__n.BLIF N_324_1.BLIF N_324_2.BLIF N_128.BLIF a_c_30__n.BLIF \ -N_150_i_1.BLIF N_137.BLIF un1_SM_AMIGA_5_i_1.BLIF N_145.BLIF a_c_31__n.BLIF \ -un1_SM_AMIGA_5_i_2.BLIF N_148.BLIF N_138_i_1.BLIF N_150.BLIF A0_c.BLIF \ -N_138_i_2.BLIF N_152.BLIF N_146_i_1.BLIF N_154.BLIF A1_c.BLIF N_146_i_2.BLIF \ -N_156.BLIF N_146_i_3.BLIF N_159.BLIF nEXP_SPACE_c.BLIF N_220_1.BLIF N_161.BLIF \ -N_220_2.BLIF N_165.BLIF BERR_c.BLIF N_375_1.BLIF N_168.BLIF N_375_2.BLIF \ -N_171.BLIF BG_030_c.BLIF N_373_1.BLIF N_174.BLIF N_373_2.BLIF N_175.BLIF \ -BG_000DFFreg.BLIF N_210_1.BLIF N_178.BLIF N_210_2.BLIF N_181.BLIF N_210_3.BLIF \ -N_183.BLIF BGACK_000_c.BLIF un5_ciin_1.BLIF N_188.BLIF un5_ciin_2.BLIF \ -N_190.BLIF CLK_030_c.BLIF un5_ciin_3.BLIF N_193.BLIF un5_ciin_4.BLIF \ -N_195.BLIF un5_ciin_5.BLIF N_200.BLIF un5_ciin_6.BLIF N_205.BLIF \ -CLK_OSZI_c.BLIF un5_ciin_7.BLIF N_206.BLIF un5_ciin_8.BLIF N_207.BLIF \ -un5_ciin_9.BLIF N_208.BLIF un5_ciin_10.BLIF N_210.BLIF un5_ciin_11.BLIF \ -N_211.BLIF FPU_SENSE_c.BLIF N_302_1.BLIF N_212.BLIF N_244_i_1.BLIF N_373.BLIF \ -IPL_030DFF_0_reg.BLIF N_244_i_2.BLIF N_375.BLIF N_243_i_1.BLIF N_216.BLIF \ -IPL_030DFF_1_reg.BLIF N_410_1.BLIF N_218.BLIF N_410_2.BLIF N_219.BLIF \ -IPL_030DFF_2_reg.BLIF N_410_3.BLIF N_220.BLIF N_410_4.BLIF N_221.BLIF \ -ipl_c_0__n.BLIF N_237_1.BLIF N_222.BLIF N_237_2.BLIF N_224.BLIF \ -ipl_c_1__n.BLIF un21_fpu_cs_1.BLIF N_225.BLIF un22_berr_1_0.BLIF N_226.BLIF \ -ipl_c_2__n.BLIF N_233_1.BLIF N_228.BLIF N_233_2.BLIF N_230.BLIF N_245_i_1.BLIF \ -N_231.BLIF DTACK_c.BLIF N_128_i_1.BLIF N_240.BLIF N_134_i_1.BLIF N_241.BLIF \ -N_124_0_1.BLIF N_277.BLIF N_267_i_1.BLIF N_278.BLIF VPA_c.BLIF N_268_i_1.BLIF \ -N_279.BLIF N_355_0_1.BLIF N_280.BLIF N_353_i_1.BLIF N_281.BLIF RST_c.BLIF \ -N_140_i_1.BLIF N_302.BLIF N_142_i_1.BLIF N_313.BLIF N_280_1.BLIF N_318.BLIF \ -RW_c.BLIF N_225_1.BLIF N_321.BLIF N_224_1.BLIF N_324.BLIF fc_c_0__n.BLIF \ -N_219_1.BLIF N_326.BLIF N_218_1.BLIF N_329.BLIF fc_c_1__n.BLIF N_212_1.BLIF \ -N_332.BLIF N_208_1.BLIF N_348.BLIF N_207_1.BLIF cpu_est_0_0_x2_0_x2_0_.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF N_200_1.BLIF pos_clk_CYCLE_DMA_5_0_i_0_x2.BLIF \ -N_195_1.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF pos_clk_ipl_1_n.BLIF N_235.BLIF \ -ipl_030_0_1__un3_n.BLIF N_196.BLIF ipl_030_0_1__un1_n.BLIF N_143.BLIF \ -N_7_i.BLIF ipl_030_0_1__un0_n.BLIF N_158.BLIF N_47_0.BLIF \ -as_030_000_sync_0_un3_n.BLIF N_198.BLIF N_5_i.BLIF \ -as_030_000_sync_0_un1_n.BLIF N_199.BLIF N_48_0.BLIF \ -as_030_000_sync_0_un0_n.BLIF N_307.BLIF N_3_i.BLIF as_000_int_0_un3_n.BLIF \ -N_201.BLIF N_50_0.BLIF as_000_int_0_un1_n.BLIF N_182.BLIF nEXP_SPACE_c_i.BLIF \ -as_000_int_0_un0_n.BLIF N_243_2.BLIF N_55_0.BLIF ds_000_dma_0_un3_n.BLIF \ -N_8.BLIF VPA_c_i.BLIF ds_000_dma_0_un1_n.BLIF N_356.BLIF N_56_0.BLIF \ -ds_000_dma_0_un0_n.BLIF N_10.BLIF DTACK_c_i.BLIF ipl_030_0_2__un3_n.BLIF \ -pos_clk_un6_bgack_000_n.BLIF N_57_0.BLIF ipl_030_0_2__un1_n.BLIF N_19.BLIF \ -ipl_c_i_1__n.BLIF ipl_030_0_2__un0_n.BLIF N_352.BLIF N_53_0.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n.BLIF N_327.BLIF \ -ipl_c_i_2__n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n.BLIF \ -N_20.BLIF N_54_0.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n.BLIF \ -pos_clk_a0_dma_3_n.BLIF N_28_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n.BLIF \ -N_24.BLIF N_32_0.BLIF sm_amiga_srsts_i_0_0_m3_1__un1_n.BLIF N_113.BLIF \ -N_29_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un0_n.BLIF N_25.BLIF N_33_0.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un3_n.BLIF N_114.BLIF N_378_i.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un1_n.BLIF pos_clk_size_dma_6_0__n.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un0_n.BLIF N_232.BLIF size_dma_0_0__un3_n.BLIF \ -pos_clk_size_dma_6_1__n.BLIF N_227_i.BLIF size_dma_0_0__un1_n.BLIF N_410.BLIF \ -N_354_0.BLIF size_dma_0_0__un0_n.BLIF N_185.BLIF N_233_i.BLIF \ -size_dma_0_1__un3_n.BLIF N_236.BLIF N_357_0.BLIF size_dma_0_1__un1_n.BLIF \ -N_238.BLIF N_270_0.BLIF size_dma_0_1__un0_n.BLIF N_173.BLIF AS_000_DMA_i.BLIF \ -as_000_dma_0_un3_n.BLIF N_239.BLIF N_137_0.BLIF as_000_dma_0_un1_n.BLIF \ -N_331.BLIF N_312_i.BLIF as_000_dma_0_un0_n.BLIF N_237.BLIF \ -pos_clk_un3_as_030_d0_i_n.BLIF bgack_030_int_0_un3_n.BLIF un22_berr_1.BLIF \ -N_161_i.BLIF bgack_030_int_0_un1_n.BLIF N_233.BLIF N_179_0.BLIF \ -bgack_030_int_0_un0_n.BLIF N_209.BLIF N_180_0.BLIF rw_000_dma_0_un3_n.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF \ -RW.PIN.BLIF +A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_280.BLIF N_210_0.BLIF \ +cpu_est_0_1__un0_n.BLIF N_279.BLIF N_289_0.BLIF cpu_est_0_2__un3_n.BLIF \ +N_271.BLIF N_218_0.BLIF cpu_est_0_2__un1_n.BLIF N_272.BLIF \ +cpu_est_0_2__un0_n.BLIF N_276.BLIF N_242_i.BLIF cpu_est_0_3__un3_n.BLIF \ +DS_000_ENABLE_1_sqmuxa_1.BLIF N_246_i.BLIF cpu_est_0_3__un1_n.BLIF \ +inst_BGACK_030_INTreg.BLIF N_4.BLIF N_240_i.BLIF cpu_est_0_3__un0_n.BLIF \ +vcc_n_n.BLIF N_5.BLIF N_241_i.BLIF ipl_030_0_0__un3_n.BLIF \ +inst_VMA_INTreg.BLIF N_7.BLIF ipl_030_0_0__un1_n.BLIF gnd_n_n.BLIF N_10.BLIF \ +N_266_i.BLIF ipl_030_0_0__un0_n.BLIF un1_amiga_bus_enable_low.BLIF N_18.BLIF \ +N_267_i.BLIF ipl_030_0_1__un3_n.BLIF un3_size.BLIF N_24.BLIF N_254_i.BLIF \ +ipl_030_0_1__un1_n.BLIF un4_size.BLIF N_6.BLIF N_317_i.BLIF \ +ipl_030_0_1__un0_n.BLIF un4_uds_000.BLIF un1_amiga_bus_enable_low_i.BLIF \ +ipl_030_0_2__un3_n.BLIF un4_lds_000.BLIF un21_fpu_cs_i.BLIF N_313_i.BLIF \ +ipl_030_0_2__un1_n.BLIF un5_ciin.BLIF BGACK_030_INT_i.BLIF N_316_i.BLIF \ +ipl_030_0_2__un0_n.BLIF un4_as_000.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF \ +N_312_i.BLIF a0_dma_0_un3_n.BLIF un21_fpu_cs.BLIF UDS_000_INT_i.BLIF \ +a0_dma_0_un1_n.BLIF un22_berr.BLIF LDS_000_INT_i.BLIF N_347_i.BLIF \ +a0_dma_0_un0_n.BLIF un6_ds_030.BLIF N_236_i.BLIF N_323_i.BLIF \ +uds_000_int_0_un3_n.BLIF cpu_est_2_.BLIF sm_amiga_i_5__n.BLIF N_324_i.BLIF \ +uds_000_int_0_un1_n.BLIF cpu_est_3_.BLIF DS_000_ENABLE_1_sqmuxa_i.BLIF \ +N_222_i.BLIF uds_000_int_0_un0_n.BLIF cpu_est_0_.BLIF N_276_i.BLIF \ +AS_000_DMA_i.BLIF vma_int_0_un3_n.BLIF cpu_est_1_.BLIF RST_i.BLIF N_221_0.BLIF \ +vma_int_0_un1_n.BLIF inst_AS_000_INT.BLIF a_i_19__n.BLIF N_220_0.BLIF \ +vma_int_0_un0_n.BLIF SM_AMIGA_5_.BLIF a_i_18__n.BLIF N_219_0.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +size_dma_i_1__n.BLIF N_216_0.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ +inst_AS_030_D0.BLIF size_dma_i_0__n.BLIF N_290_0.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF RW_i.BLIF \ +N_212_0.BLIF bg_000_0_un3_n.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_PE_i.BLIF \ +N_211_0.BLIF bg_000_0_un1_n.BLIF inst_BGACK_030_INT_D.BLIF a_i_16__n.BLIF \ +N_204_0.BLIF bg_000_0_un0_n.BLIF inst_AS_000_DMA.BLIF RESET_OUT_i.BLIF \ +N_351_i.BLIF ds_000_dma_0_un3_n.BLIF inst_DS_000_DMA.BLIF BERR_i.BLIF \ +N_353_i.BLIF ds_000_dma_0_un1_n.BLIF CYCLE_DMA_0_.BLIF sm_amiga_i_i_7__n.BLIF \ +N_201_i.BLIF ds_000_dma_0_un0_n.BLIF CYCLE_DMA_1_.BLIF nEXP_SPACE_D0_i.BLIF \ +VMA_INT_i.BLIF as_000_dma_0_un3_n.BLIF SIZE_DMA_0_.BLIF sm_amiga_i_4__n.BLIF \ +N_197_i.BLIF as_000_dma_0_un1_n.BLIF SIZE_DMA_1_.BLIF FPU_SENSE_i.BLIF \ +N_196_i.BLIF as_000_dma_0_un0_n.BLIF inst_VPA_D.BLIF AS_030_i.BLIF \ +N_193_i.BLIF lds_000_int_0_un3_n.BLIF inst_UDS_000_INT.BLIF AS_030_D0_i.BLIF \ +N_192_0.BLIF lds_000_int_0_un1_n.BLIF inst_LDS_000_INT.BLIF a_i_24__n.BLIF \ +clk_000_n_sync_i_10__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_D.BLIF \ +sm_amiga_i_3__n.BLIF N_350_i.BLIF rw_000_dma_0_un3_n.BLIF inst_DTACK_D0.BLIF \ +cpu_est_i_0__n.BLIF N_188_0.BLIF rw_000_dma_0_un1_n.BLIF inst_RESET_OUT.BLIF \ +cpu_est_i_3__n.BLIF N_187_i.BLIF rw_000_dma_0_un0_n.BLIF \ +inst_CLK_OUT_PRE_50.BLIF cpu_est_i_2__n.BLIF N_185_i.BLIF a_15__n.BLIF \ +inst_CLK_000_D1.BLIF cpu_est_i_1__n.BLIF N_182_i.BLIF inst_CLK_000_D0.BLIF \ +VPA_D_i.BLIF N_181_i.BLIF a_14__n.BLIF inst_CLK_000_PE.BLIF CLK_000_NE_i.BLIF \ +CLK_OUT_PRE_D_i.BLIF CLK_000_P_SYNC_9_.BLIF sm_amiga_i_1__n.BLIF N_175_0.BLIF \ +a_13__n.BLIF inst_CLK_000_NE.BLIF rst_dly_i_2__n.BLIF N_168_i.BLIF \ +CLK_000_N_SYNC_11_.BLIF CLK_030_i.BLIF AS_030_000_SYNC_i.BLIF a_12__n.BLIF \ +IPL_D0_0_.BLIF rst_dly_i_0__n.BLIF N_158_i.BLIF IPL_D0_1_.BLIF \ +rst_dly_i_1__n.BLIF CLK_000_D0_i.BLIF a_11__n.BLIF IPL_D0_2_.BLIF \ +CLK_000_D1_i.BLIF N_148_i.BLIF inst_CLK_000_NE_D0.BLIF DTACK_D0_i.BLIF \ +N_345_i.BLIF a_10__n.BLIF pos_clk_un6_bg_030_n.BLIF RW_000_i.BLIF N_344_i.BLIF \ +SM_AMIGA_0_.BLIF CLK_030_H_i.BLIF N_144_0.BLIF a_9__n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF sm_amiga_i_6__n.BLIF N_138_0.BLIF \ +inst_DSACK1_INTreg.BLIF sm_amiga_i_2__n.BLIF a_8__n.BLIF AS_000_i.BLIF \ +N_342_i.BLIF pos_clk_ipl_n.BLIF sm_amiga_i_0__n.BLIF N_343_i.BLIF a_7__n.BLIF \ +SM_AMIGA_4_.BLIF A1_i.BLIF N_124_0.BLIF inst_DS_000_ENABLE.BLIF a_i_31__n.BLIF \ +N_341_i.BLIF a_6__n.BLIF RST_DLY_0_.BLIF a_i_29__n.BLIF N_119_0.BLIF \ +RST_DLY_1_.BLIF a_i_30__n.BLIF N_340_i.BLIF a_5__n.BLIF RST_DLY_2_.BLIF \ +a_i_27__n.BLIF N_361_i.BLIF pos_clk_un8_bg_030_n.BLIF a_i_28__n.BLIF \ +cpu_est_2_0_2__n.BLIF a_4__n.BLIF CLK_000_P_SYNC_0_.BLIF a_i_25__n.BLIF \ +N_338_i.BLIF CLK_000_P_SYNC_1_.BLIF a_i_26__n.BLIF N_339_i.BLIF a_3__n.BLIF \ +CLK_000_P_SYNC_2_.BLIF N_213_i.BLIF cpu_est_2_0_1__n.BLIF \ +CLK_000_P_SYNC_3_.BLIF N_214_i.BLIF N_332_i.BLIF a_2__n.BLIF \ +CLK_000_P_SYNC_4_.BLIF N_215_i.BLIF N_336_i.BLIF CLK_000_P_SYNC_5_.BLIF \ +pos_clk_un7_clk_000_pe_0_n.BLIF CLK_000_P_SYNC_6_.BLIF N_275_i.BLIF \ +N_99_0.BLIF CLK_000_P_SYNC_7_.BLIF un6_ds_030_i.BLIF N_331_i.BLIF \ +CLK_000_P_SYNC_8_.BLIF DS_000_DMA_i.BLIF N_96_0.BLIF CLK_000_N_SYNC_0_.BLIF \ +un4_as_000_i.BLIF N_330_i.BLIF CLK_000_N_SYNC_1_.BLIF AS_000_INT_i.BLIF \ +N_90_0.BLIF CLK_000_N_SYNC_2_.BLIF un4_lds_000_i.BLIF N_328_i.BLIF \ +CLK_000_N_SYNC_3_.BLIF un4_uds_000_i.BLIF CLK_000_N_SYNC_4_.BLIF AS_030_c.BLIF \ +N_80_0.BLIF CLK_000_N_SYNC_5_.BLIF N_325_i.BLIF CLK_000_N_SYNC_6_.BLIF \ +AS_000_c.BLIF N_326_i.BLIF CLK_000_N_SYNC_7_.BLIF N_258_0.BLIF \ +CLK_000_N_SYNC_8_.BLIF RW_000_c.BLIF N_217_i.BLIF CLK_000_N_SYNC_9_.BLIF \ +N_321_i.BLIF CLK_000_N_SYNC_10_.BLIF N_322_i.BLIF inst_RW_000_INT.BLIF \ +UDS_000_c.BLIF inst_RW_000_DMA.BLIF N_320_i.BLIF pos_clk_un7_clk_000_pe_n.BLIF \ +LDS_000_c.BLIF inst_A0_DMA.BLIF un5_ciin_i.BLIF pos_clk_a0_dma_3_n.BLIF \ +size_c_0__n.BLIF N_61_0.BLIF SM_AMIGA_6_.BLIF N_310_i.BLIF inst_CLK_030_H.BLIF \ +size_c_1__n.BLIF SM_AMIGA_1_.BLIF N_305_i.BLIF SM_AMIGA_3_.BLIF N_307_i.BLIF \ +SM_AMIGA_2_.BLIF N_3.BLIF N_303_i.BLIF N_8.BLIF N_304_i.BLIF N_283_0.BLIF \ +N_301_i.BLIF N_300_i.BLIF N_123_0.BLIF N_17.BLIF N_278_i.BLIF N_19.BLIF \ +N_297_i.BLIF N_20.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_21.BLIF N_277_i.BLIF \ +N_22.BLIF N_25.BLIF N_26.BLIF pos_clk_un8_sm_amiga_i_n.BLIF N_27.BLIF \ +A0_c_i.BLIF N_28.BLIF size_c_i_1__n.BLIF N_29.BLIF N_29_i.BLIF N_32_0.BLIF \ +N_28_i.BLIF N_31_0.BLIF N_27_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF N_53_0.BLIF \ +ipl_c_i_1__n.BLIF N_52_0.BLIF a_c_16__n.BLIF ipl_c_i_0__n.BLIF N_51_0.BLIF \ +a_c_17__n.BLIF DTACK_c_i.BLIF N_56_0.BLIF a_c_18__n.BLIF VPA_c_i.BLIF \ +N_55_0.BLIF a_c_19__n.BLIF nEXP_SPACE_c_i.BLIF N_54_0.BLIF a_c_20__n.BLIF \ +N_3_i.BLIF N_49_0.BLIF a_c_21__n.BLIF N_8_i.BLIF N_45_0.BLIF a_c_22__n.BLIF \ +N_17_i.BLIF N_42_0.BLIF a_c_23__n.BLIF N_19_i.BLIF N_40_0.BLIF \ +SM_AMIGA_i_7_.BLIF a_c_24__n.BLIF N_20_i.BLIF N_123.BLIF N_39_0.BLIF \ +cpu_est_2_1__n.BLIF a_c_25__n.BLIF N_21_i.BLIF cpu_est_2_2__n.BLIF N_38_0.BLIF \ +N_209.BLIF a_c_26__n.BLIF N_22_i.BLIF G_134.BLIF N_37_0.BLIF G_135.BLIF \ +a_c_27__n.BLIF N_25_i.BLIF G_136.BLIF N_34_0.BLIF N_217.BLIF a_c_28__n.BLIF \ +N_26_i.BLIF N_33_0.BLIF N_61.BLIF a_c_29__n.BLIF BG_030_c_i.BLIF N_127.BLIF \ +pos_clk_un6_bg_030_i_n.BLIF a_c_30__n.BLIF pos_clk_un8_bg_030_0_n.BLIF \ +N_80.BLIF N_289_0_1.BLIF a_c_31__n.BLIF un1_SM_AMIGA_5_i_1.BLIF N_90.BLIF \ +un1_SM_AMIGA_5_i_2.BLIF N_96.BLIF A0_c.BLIF pos_clk_un8_sm_amiga_i_1_n.BLIF \ +N_99.BLIF N_351_1.BLIF N_119.BLIF A1_c.BLIF N_351_2.BLIF N_124.BLIF \ +N_168_i_1.BLIF N_138.BLIF nEXP_SPACE_c.BLIF N_192_0_1.BLIF N_144.BLIF \ +N_192_0_2.BLIF N_158.BLIF BERR_c.BLIF N_137_i_1.BLIF N_168.BLIF N_137_i_2.BLIF \ +N_175.BLIF BG_030_c.BLIF N_145_i_1.BLIF N_182.BLIF N_145_i_2.BLIF N_185.BLIF \ +BG_000DFFreg.BLIF N_145_i_3.BLIF N_187.BLIF N_260_i_1.BLIF N_188.BLIF \ +N_260_i_2.BLIF N_192.BLIF BGACK_000_c.BLIF N_259_i_1.BLIF N_193.BLIF \ +N_259_i_2.BLIF N_197.BLIF CLK_030_c.BLIF N_336_1.BLIF N_201.BLIF N_336_2.BLIF \ +N_204.BLIF N_332_1.BLIF N_206.BLIF N_332_2.BLIF N_207.BLIF CLK_OSZI_c.BLIF \ +N_332_3.BLIF N_317_1.BLIF N_211.BLIF N_317_2.BLIF N_212.BLIF \ +CLK_OUT_INTreg.BLIF N_317_3.BLIF N_290.BLIF N_304_1.BLIF N_216.BLIF \ +N_304_2.BLIF N_219.BLIF FPU_SENSE_c.BLIF un5_ciin_1.BLIF N_220.BLIF \ +un5_ciin_2.BLIF N_221.BLIF IPL_030DFF_0_reg.BLIF un5_ciin_3.BLIF N_227.BLIF \ +un5_ciin_4.BLIF N_229.BLIF IPL_030DFF_1_reg.BLIF un5_ciin_5.BLIF N_230.BLIF \ +un5_ciin_6.BLIF N_236.BLIF IPL_030DFF_2_reg.BLIF un5_ciin_7.BLIF N_240.BLIF \ +un5_ciin_8.BLIF N_241.BLIF ipl_c_0__n.BLIF un5_ciin_9.BLIF N_242.BLIF \ +un5_ciin_10.BLIF N_246.BLIF ipl_c_1__n.BLIF un5_ciin_11.BLIF N_254.BLIF \ +un22_berr_1_0.BLIF N_266.BLIF ipl_c_2__n.BLIF un21_fpu_cs_1.BLIF N_267.BLIF \ +N_375_1.BLIF N_275.BLIF N_375_2.BLIF N_277.BLIF DTACK_c.BLIF N_375_3.BLIF \ +N_278.BLIF N_375_4.BLIF N_297.BLIF N_134_i_1.BLIF N_300.BLIF N_96_0_1.BLIF \ +N_301.BLIF VPA_c.BLIF N_83_i_1.BLIF N_303.BLIF N_261_i_1.BLIF N_304.BLIF \ +N_141_i_1.BLIF N_305.BLIF RST_c.BLIF N_139_i_1.BLIF N_307.BLIF N_133_i_1.BLIF \ +N_310.BLIF N_123_0_1.BLIF N_312.BLIF RW_c.BLIF N_282_i_1.BLIF N_313.BLIF \ +N_343_1.BLIF N_316.BLIF fc_c_0__n.BLIF N_339_1.BLIF N_317.BLIF \ +pos_clk_un6_bg_030_1_n.BLIF N_320.BLIF fc_c_1__n.BLIF N_326_1.BLIF N_321.BLIF \ +N_325_1.BLIF N_322.BLIF N_324_1.BLIF N_323.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ +N_316_1.BLIF N_324.BLIF N_313_1.BLIF N_325.BLIF N_303_1.BLIF N_326.BLIF \ +N_297_1.BLIF N_328.BLIF N_266_1.BLIF N_330.BLIF N_24_i.BLIF N_240_1.BLIF \ +N_331.BLIF N_35_0.BLIF pos_clk_ipl_1_n.BLIF N_332.BLIF N_18_i.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF N_336.BLIF N_41_0.BLIF \ +amiga_bus_enable_dma_low_0_un1_n.BLIF N_338.BLIF N_10_i.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_339.BLIF N_43_0.BLIF \ +rw_000_int_0_un3_n.BLIF N_340.BLIF N_7_i.BLIF rw_000_int_0_un1_n.BLIF \ +N_341.BLIF N_46_0.BLIF rw_000_int_0_un0_n.BLIF N_342.BLIF N_5_i.BLIF \ +bgack_030_int_0_un3_n.BLIF N_343.BLIF N_47_0.BLIF bgack_030_int_0_un1_n.BLIF \ +N_344.BLIF N_4_i.BLIF bgack_030_int_0_un0_n.BLIF N_345.BLIF N_48_0.BLIF \ +as_030_000_sync_0_un3_n.BLIF N_347.BLIF N_272_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF N_350.BLIF N_271_i.BLIF \ +as_030_000_sync_0_un0_n.BLIF N_351.BLIF N_279_0.BLIF \ +ds_000_enable_0_un3_n.BLIF N_353.BLIF N_280_0.BLIF ds_000_enable_0_un1_n.BLIF \ +N_361.BLIF N_281_0.BLIF ds_000_enable_0_un0_n.BLIF \ +pos_clk_un24_bgack_030_int_i_i_a4_i_x2.BLIF N_298_i.BLIF \ +as_000_int_0_un3_n.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF \ +pos_clk_size_dma_6_0_0__n.BLIF as_000_int_0_un1_n.BLIF cpu_est_0_0_x2_0_.BLIF \ +N_299_i.BLIF as_000_int_0_un0_n.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF \ +pos_clk_size_dma_6_0_1__n.BLIF dsack1_int_0_un3_n.BLIF un22_berr_1.BLIF \ +un1_as_000_i.BLIF dsack1_int_0_un1_n.BLIF N_375.BLIF N_358_i.BLIF \ +dsack1_int_0_un0_n.BLIF N_218.BLIF pos_clk_un6_bgack_000_0_n.BLIF \ +size_dma_0_1__un3_n.BLIF N_156.BLIF N_284_i.BLIF size_dma_0_1__un1_n.BLIF \ +N_289.BLIF N_285_i.BLIF size_dma_0_1__un0_n.BLIF N_354.BLIF N_286_0.BLIF \ +size_dma_0_0__un3_n.BLIF N_205.BLIF N_88_0.BLIF size_dma_0_0__un1_n.BLIF \ +un1_SM_AMIGA_5.BLIF pos_clk_un3_as_030_d0_i_n.BLIF size_dma_0_0__un0_n.BLIF \ +DS_000_ENABLE_1_sqmuxa.BLIF N_156_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ +N_349.BLIF N_349_i.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF \ +pos_clk_un3_as_030_d0_n.BLIF N_194_i.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ +N_286.BLIF un1_SM_AMIGA_5_i.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF pos_clk_un6_bgack_000_n.BLIF \ +UDS_000_c_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_358.BLIF \ +LDS_000_c_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF \ +pos_clk_size_dma_6_1__n.BLIF N_205_i.BLIF sm_amiga_srsts_i_0_m2_5__un3_n.BLIF \ +N_299.BLIF N_206_0.BLIF sm_amiga_srsts_i_0_m2_5__un1_n.BLIF \ +pos_clk_size_dma_6_0__n.BLIF N_207_0.BLIF sm_amiga_srsts_i_0_m2_5__un0_n.BLIF \ +N_298.BLIF N_354_i.BLIF cpu_est_0_1__un3_n.BLIF N_281.BLIF N_208_0.BLIF \ +cpu_est_0_1__un1_n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ +UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ +A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.D \ -SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_5_.D SM_AMIGA_5_.C \ SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D \ SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ -cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D \ -IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ +IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ +IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D \ +IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C \ +SM_AMIGA_6_.D SM_AMIGA_6_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ +CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D \ +CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D \ +CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C \ +cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C \ +cpu_est_3_.D cpu_est_3_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C \ +CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D \ +CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ +CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ +CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ +CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ +CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ +CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ -CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D \ -CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D \ -CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D \ -CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C \ -CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D \ -CLK_000_N_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C \ -RST_DLY_2_.D RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ -inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D \ +CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D \ +RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D \ +CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C \ +CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D \ +CLK_000_P_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C inst_AS_000_DMA.D \ inst_AS_000_DMA.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_DS_000_DMA.D inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C \ inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C \ inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RESET_OUT.D inst_RESET_OUT.C inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C \ +inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_NE.D \ -inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_INTreg.D inst_CLK_OUT_INTreg.C inst_CLK_000_D1.D \ +inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C \ +inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D \ inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C \ -inst_CLK_OUT_EXP_INT.D inst_CLK_OUT_EXP_INT.C inst_CLK_OUT_PRE_D.D \ -inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D \ -inst_CLK_000_PE.C SIZE_1_ AS_030 AS_000 RW_000 UDS_000 LDS_000 A0 BERR RW \ -SIZE_0_ N_184 N_184_0 rw_000_dma_0_un1_n N_180 N_185_0 rw_000_dma_0_un0_n \ -N_179 UDS_000_c_i a0_dma_0_un3_n LDS_000_c_i a0_dma_0_un1_n N_312 N_173_i \ -a0_dma_0_un0_n N_270 N_358_0 amiga_bus_enable_dma_low_0_un3_n N_357 N_239_i \ -amiga_bus_enable_dma_low_0_un1_n N_354 pos_clk_size_dma_6_0_1__n \ -amiga_bus_enable_dma_low_0_un0_n vcc_n_n N_227 N_238_i \ -amiga_bus_enable_dma_high_0_un3_n un5_e N_378 pos_clk_size_dma_6_0_0__n \ -amiga_bus_enable_dma_high_0_un1_n N_29 N_237_i \ -amiga_bus_enable_dma_high_0_un0_n gnd_n_n N_28 N_236_i cpu_est_0_2__un3_n \ -un1_amiga_bus_enable_low N_3 AMIGA_BUS_DATA_DIR_c_0 cpu_est_0_2__un1_n \ -un3_size N_5 N_331_i cpu_est_0_2__un0_n un4_size N_7 pos_clk_un6_bgack_000_0_n \ -cpu_est_0_3__un3_n un4_uds_000 N_190_i N_356_0 cpu_est_0_3__un1_n un4_lds_000 \ -un1_amiga_bus_enable_low_i N_352_0 cpu_est_0_3__un0_n un5_ciin un21_fpu_cs_i \ -N_8_i ipl_030_0_0__un3_n un4_as_000 CLK_OUT_EXP_INT_i N_46_0 \ -ipl_030_0_0__un1_n un1_SM_AMIGA_5 AS_000_i N_10_i ipl_030_0_0__un0_n \ -un21_fpu_cs DS_000_DMA_i N_44_0 rw_000_int_0_un3_n un22_berr sm_amiga_i_5__n \ -N_19_i rw_000_int_0_un1_n un6_ds_030 sm_amiga_i_6__n N_41_0 rw_000_int_0_un0_n \ -sm_amiga_i_0__n N_20_i uds_000_int_0_un3_n CLK_000_NE_i N_40_0 \ -uds_000_int_0_un1_n sm_amiga_i_4__n N_24_i uds_000_int_0_un0_n RW_000_i N_36_0 \ -vma_int_0_un3_n sm_amiga_i_2__n N_25_i vma_int_0_un1_n CLK_000_D0_i N_35_0 \ -vma_int_0_un0_n BERR_i bg_000_0_un3_n sm_amiga_i_1__n N_198_i bg_000_0_un1_n \ -CLK_000_PE_i N_243_2_i bg_000_0_un0_n N_410_i_0 N_196_i cpu_est_0_1__un3_n \ -sm_amiga_i_i_7__n N_195_i cpu_est_0_1__un1_n AS_030_i cpu_est_0_1__un0_n \ -FPU_SENSE_i N_201_i dsack1_int_0_un3_n nEXP_SPACE_D0_i N_200_i \ -dsack1_int_0_un1_n BGACK_030_INT_i N_199_i dsack1_int_0_un0_n \ -AMIGA_BUS_ENABLE_DMA_HIGH_i N_182_0 ds_000_enable_0_un3_n A1_i N_158_i \ -ds_000_enable_0_un1_n CLK_030_H_i N_148_i ds_000_enable_0_un0_n a_i_16__n \ -N_307_i lds_000_int_0_un3_n a_i_18__n N_143_0 lds_000_int_0_un1_n a_i_19__n \ -N_217_i lds_000_int_0_un0_n N_114_i N_235_i a_15__n N_113_i AS_000_INT_i \ -N_210_i a_14__n AMIGA_BUS_ENABLE_DMA_LOW_i rst_dly_i_2__n N_207_i a_13__n \ -rst_dly_i_0__n N_208_i rst_dly_i_1__n N_206_i a_12__n RESET_OUT_i \ -size_dma_i_1__n N_313_i a_11__n size_dma_i_0__n N_211_i AS_030_D0_i N_212_i \ -a_10__n a_i_24__n N_183_0 sm_amiga_i_3__n N_181_0 a_9__n cpu_est_i_3__n \ -N_178_0 cpu_est_i_0__n N_69_0 a_8__n VPA_D_i N_329_i cpu_est_i_1__n N_176_i \ -a_7__n CLK_030_i N_175_0 pos_clk_ipl_n CLK_000_D1_i N_174_0 a_6__n \ -cpu_est_i_2__n N_171_0 DTACK_D0_i un1_SM_AMIGA_5_i a_5__n RW_i N_324_i \ -a_i_31__n N_326_i a_4__n a_i_29__n N_168_i pos_clk_un8_bg_030_n a_i_30__n \ -VMA_INT_i a_3__n a_i_27__n N_165_i a_i_28__n N_164_i a_2__n a_i_25__n N_162_i \ -a_i_26__n clk_000_n_sync_i_10__n N_213_i N_321_i N_214_i N_159_0 N_215_i \ -N_318_i N_156_i DS_000_ENABLE_1_sqmuxa_i N_155_i N_98_i N_154_i un6_ds_030_i \ -CLK_OUT_PRE_D_i un4_as_000_i N_152_0 un4_lds_000_i N_150_i un4_uds_000_i \ -AS_030_000_SYNC_i LDS_000_INT_i N_147_i UDS_000_INT_i N_145_i AS_030_c N_281_i \ -N_302_i AS_000_c N_279_i RW_000_c N_280_i un5_e_0 pos_clk_un7_clk_000_pe_n \ -N_278_i UDS_000_c cpu_est_2_0_3__n N_277_i DS_000_ENABLE_1_sqmuxa LDS_000_c \ -N_348_i cpu_est_2_0_2__n size_c_0__n N_128_i N_193_i size_c_1__n N_241_i \ -pos_clk_un3_as_030_d0_n DS_000_ENABLE_1_sqmuxa_1 N_240_i N_4 N_124_0 N_6 \ -N_269_0 un5_ciin_i N_61_0 un1_as_030_i N_17 N_228_i N_18 N_355_0 N_21 N_226_i \ -N_22 N_26 N_224_i N_27 N_225_i N_282_0 N_221_i N_222_i N_219_i N_220_i N_283_0 \ -N_216_i N_218_i cpu_est_2_0_1__n N_373_i N_375_i pos_clk_un7_clk_000_pe_0_n \ -N_188_i a_c_16__n N_205_i a_c_17__n pos_clk_un8_sm_amiga_i_n A0_c_i a_c_18__n \ -size_c_i_1__n N_27_i a_c_19__n N_31_0 ipl_c_i_0__n a_c_20__n N_52_0 N_4_i \ -a_c_21__n N_49_0 N_17_i a_c_22__n N_43_0 N_124 N_18_i cpu_est_2_1__n a_c_23__n \ -N_42_0 cpu_est_2_2__n N_21_i cpu_est_2_3__n a_c_24__n N_39_0 N_22_i a_c_25__n \ -N_38_0 N_26_i N_269 a_c_26__n N_34_0 N_61 BG_030_c_i a_c_27__n \ -pos_clk_un8_bg_030_0_n N_98 N_161_i_1 a_c_28__n N_161_i_2 \ -pos_clk_un8_sm_amiga_i_1_n N_355 a_c_29__n N_324_1 N_324_2 N_128 a_c_30__n \ -N_150_i_1 N_137 un1_SM_AMIGA_5_i_1 N_145 a_c_31__n un1_SM_AMIGA_5_i_2 N_148 \ -N_138_i_1 N_150 A0_c N_138_i_2 N_152 N_146_i_1 N_154 A1_c N_146_i_2 N_156 \ -N_146_i_3 N_159 nEXP_SPACE_c N_220_1 N_161 N_220_2 N_165 BERR_c N_375_1 N_168 \ -N_375_2 N_171 BG_030_c N_373_1 N_174 N_373_2 N_175 N_210_1 N_178 N_210_2 N_181 \ -N_210_3 N_183 BGACK_000_c un5_ciin_1 N_188 un5_ciin_2 N_190 CLK_030_c \ -un5_ciin_3 N_193 un5_ciin_4 N_195 un5_ciin_5 N_200 un5_ciin_6 N_205 CLK_OSZI_c \ -un5_ciin_7 N_206 un5_ciin_8 N_207 un5_ciin_9 N_208 un5_ciin_10 N_210 \ -un5_ciin_11 N_211 FPU_SENSE_c N_302_1 N_212 N_244_i_1 N_373 N_244_i_2 N_375 \ -N_243_i_1 N_216 N_410_1 N_218 N_410_2 N_219 N_410_3 N_220 N_410_4 N_221 \ -ipl_c_0__n N_237_1 N_222 N_237_2 N_224 ipl_c_1__n un21_fpu_cs_1 N_225 \ -un22_berr_1_0 N_226 ipl_c_2__n N_233_1 N_228 N_233_2 N_230 N_245_i_1 N_231 \ -DTACK_c N_128_i_1 N_240 N_134_i_1 N_241 N_124_0_1 N_277 N_267_i_1 N_278 VPA_c \ -N_268_i_1 N_279 N_355_0_1 N_280 N_353_i_1 N_281 RST_c N_140_i_1 N_302 \ -N_142_i_1 N_313 N_280_1 N_318 RW_c N_225_1 N_321 N_224_1 N_324 fc_c_0__n \ -N_219_1 N_326 N_218_1 N_329 fc_c_1__n N_212_1 N_332 N_208_1 N_348 N_207_1 \ -AMIGA_BUS_DATA_DIR_c N_200_1 N_195_1 pos_clk_ipl_1_n N_235 ipl_030_0_1__un3_n \ -N_196 ipl_030_0_1__un1_n N_143 N_7_i ipl_030_0_1__un0_n N_158 N_47_0 \ -as_030_000_sync_0_un3_n N_198 N_5_i as_030_000_sync_0_un1_n N_199 N_48_0 \ -as_030_000_sync_0_un0_n N_307 N_3_i as_000_int_0_un3_n N_201 N_50_0 \ -as_000_int_0_un1_n N_182 nEXP_SPACE_c_i as_000_int_0_un0_n N_243_2 N_55_0 \ -ds_000_dma_0_un3_n N_8 VPA_c_i ds_000_dma_0_un1_n N_356 N_56_0 \ -ds_000_dma_0_un0_n N_10 DTACK_c_i ipl_030_0_2__un3_n pos_clk_un6_bgack_000_n \ -N_57_0 ipl_030_0_2__un1_n N_19 ipl_c_i_1__n ipl_030_0_2__un0_n N_352 N_53_0 \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n N_327 ipl_c_i_2__n \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n N_20 N_54_0 \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n pos_clk_a0_dma_3_n N_28_i \ -sm_amiga_srsts_i_0_0_m3_1__un3_n N_24 N_32_0 sm_amiga_srsts_i_0_0_m3_1__un1_n \ -N_113 N_29_i sm_amiga_srsts_i_0_0_m3_1__un0_n N_25 N_33_0 \ -sm_amiga_srsts_i_0_0_m3_5__un3_n N_114 N_378_i \ -sm_amiga_srsts_i_0_0_m3_5__un1_n pos_clk_size_dma_6_0__n \ -sm_amiga_srsts_i_0_0_m3_5__un0_n N_232 size_dma_0_0__un3_n \ -pos_clk_size_dma_6_1__n N_227_i size_dma_0_0__un1_n N_410 N_354_0 \ -size_dma_0_0__un0_n N_185 N_233_i size_dma_0_1__un3_n N_236 N_357_0 \ -size_dma_0_1__un1_n N_238 N_270_0 size_dma_0_1__un0_n N_173 AS_000_DMA_i \ -as_000_dma_0_un3_n N_239 N_137_0 as_000_dma_0_un1_n N_331 N_312_i \ -as_000_dma_0_un0_n N_237 pos_clk_un3_as_030_d0_i_n bgack_030_int_0_un3_n \ -un22_berr_1 N_161_i bgack_030_int_0_un1_n N_233 N_179_0 bgack_030_int_0_un0_n \ -N_209 N_180_0 rw_000_dma_0_un3_n AS_030.OE AS_000.OE RW_000.OE UDS_000.OE \ -LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE \ -RESET.OE CIIN.OE pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 CLK_OUT_PRE_25_0 \ -G_134 G_135 G_136 cpu_est_0_0_x2_0_x2_0_ pos_clk_CYCLE_DMA_5_0_i_0_x2 \ -pos_clk_CYCLE_DMA_5_1_i_0_x2 -.names N_146_i_3.BLIF N_210_i.BLIF SM_AMIGA_i_7_.D +inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ +inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C \ +inst_CLK_000_NE.D inst_CLK_000_NE.C SIZE_1_ AS_030 AS_000 RW_000 UDS_000 \ +LDS_000 A0 BERR RW SIZE_0_ N_280 N_210_0 cpu_est_0_1__un0_n N_279 N_289_0 \ +cpu_est_0_2__un3_n N_271 N_218_0 cpu_est_0_2__un1_n N_272 cpu_est_0_2__un0_n \ +N_276 N_242_i cpu_est_0_3__un3_n DS_000_ENABLE_1_sqmuxa_1 N_246_i \ +cpu_est_0_3__un1_n N_4 N_240_i cpu_est_0_3__un0_n vcc_n_n N_5 N_241_i \ +ipl_030_0_0__un3_n N_7 ipl_030_0_0__un1_n gnd_n_n N_10 N_266_i \ +ipl_030_0_0__un0_n un1_amiga_bus_enable_low N_18 N_267_i ipl_030_0_1__un3_n \ +un3_size N_24 N_254_i ipl_030_0_1__un1_n un4_size N_6 N_317_i \ +ipl_030_0_1__un0_n un4_uds_000 un1_amiga_bus_enable_low_i ipl_030_0_2__un3_n \ +un4_lds_000 un21_fpu_cs_i N_313_i ipl_030_0_2__un1_n un5_ciin BGACK_030_INT_i \ +N_316_i ipl_030_0_2__un0_n un4_as_000 AMIGA_BUS_ENABLE_DMA_LOW_i N_312_i \ +a0_dma_0_un3_n un21_fpu_cs UDS_000_INT_i a0_dma_0_un1_n un22_berr \ +LDS_000_INT_i N_347_i a0_dma_0_un0_n un6_ds_030 N_236_i N_323_i \ +uds_000_int_0_un3_n sm_amiga_i_5__n N_324_i uds_000_int_0_un1_n \ +DS_000_ENABLE_1_sqmuxa_i N_222_i uds_000_int_0_un0_n N_276_i AS_000_DMA_i \ +vma_int_0_un3_n RST_i N_221_0 vma_int_0_un1_n a_i_19__n N_220_0 \ +vma_int_0_un0_n a_i_18__n N_219_0 amiga_bus_enable_dma_high_0_un3_n \ +size_dma_i_1__n N_216_0 amiga_bus_enable_dma_high_0_un1_n size_dma_i_0__n \ +N_290_0 amiga_bus_enable_dma_high_0_un0_n RW_i N_212_0 bg_000_0_un3_n \ +CLK_000_PE_i N_211_0 bg_000_0_un1_n a_i_16__n N_204_0 bg_000_0_un0_n \ +RESET_OUT_i N_351_i ds_000_dma_0_un3_n BERR_i N_353_i ds_000_dma_0_un1_n \ +sm_amiga_i_i_7__n N_201_i ds_000_dma_0_un0_n nEXP_SPACE_D0_i VMA_INT_i \ +as_000_dma_0_un3_n sm_amiga_i_4__n N_197_i as_000_dma_0_un1_n FPU_SENSE_i \ +N_196_i as_000_dma_0_un0_n AS_030_i N_193_i lds_000_int_0_un3_n AS_030_D0_i \ +N_192_0 lds_000_int_0_un1_n a_i_24__n clk_000_n_sync_i_10__n \ +lds_000_int_0_un0_n sm_amiga_i_3__n N_350_i rw_000_dma_0_un3_n cpu_est_i_0__n \ +N_188_0 rw_000_dma_0_un1_n cpu_est_i_3__n N_187_i rw_000_dma_0_un0_n \ +cpu_est_i_2__n N_185_i a_15__n cpu_est_i_1__n N_182_i VPA_D_i N_181_i a_14__n \ +CLK_000_NE_i CLK_OUT_PRE_D_i sm_amiga_i_1__n N_175_0 a_13__n rst_dly_i_2__n \ +N_168_i CLK_030_i AS_030_000_SYNC_i a_12__n rst_dly_i_0__n N_158_i \ +rst_dly_i_1__n CLK_000_D0_i a_11__n CLK_000_D1_i N_148_i DTACK_D0_i N_345_i \ +a_10__n pos_clk_un6_bg_030_n RW_000_i N_344_i CLK_030_H_i N_144_0 a_9__n \ +sm_amiga_i_6__n N_138_0 sm_amiga_i_2__n a_8__n AS_000_i N_342_i pos_clk_ipl_n \ +sm_amiga_i_0__n N_343_i a_7__n A1_i N_124_0 a_i_31__n N_341_i a_6__n a_i_29__n \ +N_119_0 a_i_30__n N_340_i a_5__n a_i_27__n N_361_i pos_clk_un8_bg_030_n \ +a_i_28__n cpu_est_2_0_2__n a_4__n a_i_25__n N_338_i a_i_26__n N_339_i a_3__n \ +N_213_i cpu_est_2_0_1__n N_214_i N_332_i a_2__n N_215_i N_336_i \ +pos_clk_un7_clk_000_pe_0_n N_275_i N_99_0 un6_ds_030_i N_331_i DS_000_DMA_i \ +N_96_0 un4_as_000_i N_330_i AS_000_INT_i N_90_0 un4_lds_000_i N_328_i \ +un4_uds_000_i AS_030_c N_80_0 N_325_i AS_000_c N_326_i N_258_0 RW_000_c \ +N_217_i N_321_i N_322_i UDS_000_c N_320_i pos_clk_un7_clk_000_pe_n LDS_000_c \ +un5_ciin_i pos_clk_a0_dma_3_n size_c_0__n N_61_0 N_310_i size_c_1__n N_305_i \ +N_307_i N_3 N_303_i N_8 N_304_i N_283_0 N_301_i N_300_i N_123_0 N_17 N_278_i \ +N_19 N_297_i N_20 AMIGA_BUS_DATA_DIR_c_0 N_21 N_277_i N_22 N_25 N_26 \ +pos_clk_un8_sm_amiga_i_n N_27 A0_c_i N_28 size_c_i_1__n N_29 N_29_i N_32_0 \ +N_28_i N_31_0 N_27_i N_30_0 ipl_c_i_2__n N_53_0 ipl_c_i_1__n N_52_0 a_c_16__n \ +ipl_c_i_0__n N_51_0 a_c_17__n DTACK_c_i N_56_0 a_c_18__n VPA_c_i N_55_0 \ +a_c_19__n nEXP_SPACE_c_i N_54_0 a_c_20__n N_3_i N_49_0 a_c_21__n N_8_i N_45_0 \ +a_c_22__n N_17_i N_42_0 a_c_23__n N_19_i N_40_0 a_c_24__n N_20_i N_123 N_39_0 \ +cpu_est_2_1__n a_c_25__n N_21_i cpu_est_2_2__n N_38_0 N_209 a_c_26__n N_22_i \ +N_37_0 a_c_27__n N_25_i N_34_0 N_217 a_c_28__n N_26_i N_33_0 N_61 a_c_29__n \ +BG_030_c_i N_127 pos_clk_un6_bg_030_i_n a_c_30__n pos_clk_un8_bg_030_0_n N_80 \ +N_289_0_1 a_c_31__n un1_SM_AMIGA_5_i_1 N_90 un1_SM_AMIGA_5_i_2 N_96 A0_c \ +pos_clk_un8_sm_amiga_i_1_n N_99 N_351_1 N_119 A1_c N_351_2 N_124 N_168_i_1 \ +N_138 nEXP_SPACE_c N_192_0_1 N_144 N_192_0_2 N_158 BERR_c N_137_i_1 N_168 \ +N_137_i_2 N_175 BG_030_c N_145_i_1 N_182 N_145_i_2 N_185 N_145_i_3 N_187 \ +N_260_i_1 N_188 N_260_i_2 N_192 BGACK_000_c N_259_i_1 N_193 N_259_i_2 N_197 \ +CLK_030_c N_336_1 N_201 N_336_2 N_204 N_332_1 N_206 N_332_2 N_207 CLK_OSZI_c \ +N_332_3 N_317_1 N_211 N_317_2 N_212 N_317_3 N_290 N_304_1 N_216 N_304_2 N_219 \ +FPU_SENSE_c un5_ciin_1 N_220 un5_ciin_2 N_221 un5_ciin_3 N_227 un5_ciin_4 \ +N_229 un5_ciin_5 N_230 un5_ciin_6 N_236 un5_ciin_7 N_240 un5_ciin_8 N_241 \ +ipl_c_0__n un5_ciin_9 N_242 un5_ciin_10 N_246 ipl_c_1__n un5_ciin_11 N_254 \ +un22_berr_1_0 N_266 ipl_c_2__n un21_fpu_cs_1 N_267 N_375_1 N_275 N_375_2 N_277 \ +DTACK_c N_375_3 N_278 N_375_4 N_297 N_134_i_1 N_300 N_96_0_1 N_301 VPA_c \ +N_83_i_1 N_303 N_261_i_1 N_304 N_141_i_1 N_305 RST_c N_139_i_1 N_307 N_133_i_1 \ +N_310 N_123_0_1 N_312 RW_c N_282_i_1 N_313 N_343_1 N_316 fc_c_0__n N_339_1 \ +N_317 pos_clk_un6_bg_030_1_n N_320 fc_c_1__n N_326_1 N_321 N_325_1 N_322 \ +N_324_1 N_323 AMIGA_BUS_DATA_DIR_c N_316_1 N_324 N_313_1 N_325 N_303_1 N_326 \ +N_297_1 N_328 N_266_1 N_330 N_24_i N_240_1 N_331 N_35_0 pos_clk_ipl_1_n N_332 \ +N_18_i amiga_bus_enable_dma_low_0_un3_n N_336 N_41_0 \ +amiga_bus_enable_dma_low_0_un1_n N_338 N_10_i amiga_bus_enable_dma_low_0_un0_n \ +N_339 N_43_0 rw_000_int_0_un3_n N_340 N_7_i rw_000_int_0_un1_n N_341 N_46_0 \ +rw_000_int_0_un0_n N_342 N_5_i bgack_030_int_0_un3_n N_343 N_47_0 \ +bgack_030_int_0_un1_n N_344 N_4_i bgack_030_int_0_un0_n N_345 N_48_0 \ +as_030_000_sync_0_un3_n N_347 N_272_i as_030_000_sync_0_un1_n N_350 N_271_i \ +as_030_000_sync_0_un0_n N_351 N_279_0 ds_000_enable_0_un3_n N_353 N_280_0 \ +ds_000_enable_0_un1_n N_361 N_281_0 ds_000_enable_0_un0_n N_298_i \ +as_000_int_0_un3_n pos_clk_size_dma_6_0_0__n as_000_int_0_un1_n N_299_i \ +as_000_int_0_un0_n pos_clk_size_dma_6_0_1__n dsack1_int_0_un3_n un22_berr_1 \ +un1_as_000_i dsack1_int_0_un1_n N_375 N_358_i dsack1_int_0_un0_n N_218 \ +pos_clk_un6_bgack_000_0_n size_dma_0_1__un3_n N_156 N_284_i \ +size_dma_0_1__un1_n N_289 N_285_i size_dma_0_1__un0_n N_354 N_286_0 \ +size_dma_0_0__un3_n N_205 N_88_0 size_dma_0_0__un1_n un1_SM_AMIGA_5 \ +pos_clk_un3_as_030_d0_i_n size_dma_0_0__un0_n DS_000_ENABLE_1_sqmuxa N_156_i \ +sm_amiga_srsts_i_0_m2_1__un3_n N_349 N_349_i sm_amiga_srsts_i_0_m2_1__un1_n \ +pos_clk_un3_as_030_d0_n N_194_i sm_amiga_srsts_i_0_m2_1__un0_n N_286 \ +un1_SM_AMIGA_5_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n \ +pos_clk_un6_bgack_000_n UDS_000_c_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_358 LDS_000_c_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n pos_clk_size_dma_6_1__n N_205_i \ +sm_amiga_srsts_i_0_m2_5__un3_n N_299 N_206_0 sm_amiga_srsts_i_0_m2_5__un1_n \ +pos_clk_size_dma_6_0__n N_207_0 sm_amiga_srsts_i_0_m2_5__un0_n N_298 N_354_i \ +cpu_est_0_1__un3_n N_281 N_208_0 cpu_est_0_1__un1_n AS_030.OE AS_000.OE \ +RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ +DS_030.OE DSACK1.OE RESET.OE CIIN.OE G_134 G_135 G_136 \ +pos_clk_un24_bgack_030_int_i_i_a4_i_x2 pos_clk_CYCLE_DMA_5_0_i_x2 \ +cpu_est_0_0_x2_0_ pos_clk_CYCLE_DMA_5_1_i_x2 +.names N_141_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D 11 1 -.names N_282_0.BLIF SM_AMIGA_6_.D -0 1 -.names N_142_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D +.names N_139_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D 11 1 -.names N_140_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names N_138_i_1.BLIF N_138_i_2.BLIF SM_AMIGA_3_.D +.names N_137_i_1.BLIF N_137_i_2.BLIF SM_AMIGA_3_.D 11 1 .names N_283_0.BLIF SM_AMIGA_2_.D 0 1 -.names N_134_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D +.names N_133_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D 11 1 -.names N_176_i.BLIF N_378_i.BLIF SM_AMIGA_0_.D +.names N_208_0.BLIF N_277_i.BLIF SM_AMIGA_0_.D 11 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D -1- 1 --1 1 -.names N_31_0.BLIF IPL_030DFF_0_reg.D +.names N_30_0.BLIF IPL_030DFF_0_reg.D 0 1 -.names N_32_0.BLIF IPL_030DFF_1_reg.D +.names N_31_0.BLIF IPL_030DFF_1_reg.D 0 1 -.names N_33_0.BLIF IPL_030DFF_2_reg.D +.names N_32_0.BLIF IPL_030DFF_2_reg.D 0 1 -.names N_52_0.BLIF IPL_D0_0_.D +.names N_51_0.BLIF IPL_D0_0_.D 0 1 -.names N_53_0.BLIF IPL_D0_1_.D +.names N_52_0.BLIF IPL_D0_1_.D 0 1 -.names N_54_0.BLIF IPL_D0_2_.D +.names N_53_0.BLIF IPL_D0_2_.D 0 1 -.names N_268_i_1.BLIF N_69_0.BLIF CYCLE_DMA_0_.D +.names N_145_i_3.BLIF N_317_i.BLIF SM_AMIGA_i_7_.D 11 1 -.names N_267_i_1.BLIF N_69_0.BLIF CYCLE_DMA_1_.D +.names N_258_0.BLIF SM_AMIGA_6_.D +0 1 +.names N_282_i_1.BLIF N_210_0.BLIF CYCLE_DMA_0_.D +11 1 +.names N_134_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -478,1193 +466,1187 @@ pos_clk_CYCLE_DMA_5_1_i_0_x2 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 -1 1 -.names N_245_i_1.BLIF RST_c.BLIF RST_DLY_0_.D +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D +1- 1 +-1 1 +.names N_260_i_1.BLIF N_260_i_2.BLIF RST_DLY_1_.D 11 1 -.names N_244_i_1.BLIF N_244_i_2.BLIF RST_DLY_1_.D -11 1 -.names N_243_i_1.BLIF N_196_i.BLIF RST_DLY_2_.D +.names N_259_i_1.BLIF N_259_i_2.BLIF RST_DLY_2_.D 11 1 .names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D 11 1 +.names N_261_i_1.BLIF N_322_i.BLIF RST_DLY_0_.D +11 1 +.names N_45_0.BLIF inst_AS_000_DMA.D +0 1 +.names N_46_0.BLIF inst_AS_030_000_SYNC.D +0 1 +.names N_47_0.BLIF inst_AS_000_INT.D +0 1 +.names N_48_0.BLIF inst_DSACK1_INTreg.D +0 1 +.names N_49_0.BLIF inst_DS_000_DMA.D +0 1 +.names N_88_0.BLIF inst_AS_030_D0.D +0 1 +.names N_54_0.BLIF inst_nEXP_SPACE_D0reg.D +0 1 +.names N_55_0.BLIF inst_VPA_D.D +0 1 +.names N_56_0.BLIF inst_DTACK_D0.D +0 1 +.names N_83_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D +11 1 +.names N_320_i.BLIF RST_c.BLIF inst_RESET_OUT.D +11 1 .names N_6.BLIF RST_c.BLIF inst_DS_000_ENABLE.D 11 1 -.names N_41_0.BLIF inst_RW_000_DMA.D +.names N_33_0.BLIF BG_000DFFreg.D 0 1 -.names N_42_0.BLIF inst_RW_000_INT.D +.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D 0 1 -.names N_43_0.BLIF inst_LDS_000_INT.D +.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D 0 1 -.names N_44_0.BLIF inst_BGACK_030_INTreg.D +.names N_37_0.BLIF inst_VMA_INTreg.D 0 1 -.names N_46_0.BLIF inst_AS_000_DMA.D +.names N_38_0.BLIF inst_UDS_000_INT.D 0 1 -.names N_47_0.BLIF inst_AS_030_000_SYNC.D +.names N_39_0.BLIF inst_A0_DMA.D 0 1 -.names N_48_0.BLIF inst_AS_000_INT.D +.names N_40_0.BLIF inst_RW_000_DMA.D 0 1 -.names N_49_0.BLIF inst_DSACK1_INTreg.D +.names N_41_0.BLIF inst_RW_000_INT.D 0 1 -.names N_50_0.BLIF inst_DS_000_DMA.D +.names N_42_0.BLIF inst_LDS_000_INT.D 0 1 -.names N_358_0.BLIF inst_AS_030_D0.D +.names N_43_0.BLIF inst_BGACK_030_INTreg.D 0 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D -0 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names N_353_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D -11 1 -.names N_235_i.BLIF RST_c.BLIF inst_RESET_OUT.D -11 1 -.names N_34_0.BLIF BG_000DFFreg.D -0 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D -0 1 -.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names N_38_0.BLIF inst_VMA_INTreg.D -0 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_40_0.BLIF inst_A0_DMA.D -0 1 -.names N_69_0.BLIF inst_BGACK_030_INT_D.D +.names N_210_0.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_184_0.BLIF N_184 +.names N_280_0.BLIF N_280 0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_184_0 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_210_0 11 1 -.names inst_RW_000_DMA.BLIF N_327.BLIF rw_000_dma_0_un1_n +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n 11 1 -.names N_180_0.BLIF N_180 +.names N_279_0.BLIF N_279 0 1 -.names AS_000_DMA_i.BLIF CLK_030_i.BLIF N_185_0 +.names N_289_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_289_0 11 1 -.names N_352.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_179_0.BLIF N_179 -0 1 -.names UDS_000_c.BLIF UDS_000_c_i -0 1 -.names N_327.BLIF a0_dma_0_un3_n -0 1 -.names LDS_000_c.BLIF LDS_000_c_i -0 1 -.names inst_A0_DMA.BLIF N_327.BLIF a0_dma_0_un1_n -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_312 -11 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_173_i -11 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names N_270_0.BLIF N_270 -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_358_0 -11 1 -.names N_327.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names N_357_0.BLIF N_357 -0 1 -.names N_239.BLIF N_239_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_327.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names N_354_0.BLIF N_354 -0 1 -.names N_239_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names N_113_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n -11 1 -.names vcc_n_n - 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_227 -11 1 -.names N_238.BLIF N_238_i -0 1 -.names N_327.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names un5_e_0.BLIF un5_e -0 1 -.names N_184.BLIF sm_amiga_i_0__n.BLIF N_378 -11 1 -.names N_238_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_327.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 -1- 1 --1 1 -.names N_237.BLIF N_237_i -0 1 -.names N_114_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n -11 1 -.names gnd_n_n -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 -1- 1 --1 1 -.names N_236.BLIF N_236_i -0 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n 0 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low +.names N_289.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_271 11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names N_236_i.BLIF N_237_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +.names N_156_i.BLIF SM_AMIGA_4_.BLIF N_218_0 11 1 .names cpu_est_2_2__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n 11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +.names N_375.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_272 11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 -1- 1 --1 1 -.names N_331.BLIF N_331_i -0 1 .names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 -1- 1 --1 1 -.names BGACK_000_c.BLIF N_331_i.BLIF pos_clk_un6_bgack_000_0_n +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_276 11 1 +.names N_242.BLIF N_242_i +0 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n 0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un4_uds_000 -11 1 -.names N_190.BLIF N_190_i -0 1 -.names CLK_030_i.BLIF N_161_i.BLIF N_356_0 -11 1 -.names cpu_est_2_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un4_lds_000 -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_352_0 -11 1 -.names cpu_est_3_.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names N_8.BLIF N_8_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names inst_CLK_OUT_EXP_INT.BLIF CLK_OUT_EXP_INT_i -0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names un1_SM_AMIGA_5_i.BLIF un1_SM_AMIGA_5 -0 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names N_10.BLIF N_10_i -0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names N_124.BLIF rw_000_int_0_un3_n -0 1 -.names un22_berr_1_0.BLIF FPU_SENSE_c.BLIF un22_berr -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names N_19.BLIF N_19_i -0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_124.BLIF rw_000_int_0_un1_n -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_19_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_20.BLIF N_20_i -0 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n -0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_20_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names A0_c.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names N_24.BLIF N_24_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_24_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_25.BLIF N_25_i -0 1 -.names cpu_est_i_1__n.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names N_25_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names N_198.BLIF N_198_i -0 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names N_198_i.BLIF RST_c.BLIF N_243_2_i -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names N_410.BLIF N_410_i_0 -0 1 -.names N_196.BLIF N_196_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names N_195.BLIF N_195_i -0 1 -.names cpu_est_2_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names N_201.BLIF N_201_i -0 1 -.names N_269.BLIF dsack1_int_0_un3_n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names N_200.BLIF N_200_i -0 1 -.names N_98_i.BLIF N_269.BLIF dsack1_int_0_un1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names N_199.BLIF N_199_i -0 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i -0 1 -.names inst_CLK_000_NE.BLIF N_158_i.BLIF N_182_0 -11 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names A1_c.BLIF A1_i -0 1 -.names N_148_i.BLIF RST_DLY_2_.BLIF N_158_i -11 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ -ds_000_enable_0_un1_n -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_148_i -11 1 -.names un1_SM_AMIGA_5_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names N_307.BLIF N_307_i -0 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n -0 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names N_307_i.BLIF RST_c.BLIF N_143_0 -11 1 -.names pos_clk_un8_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_158.BLIF RST_c.BLIF N_217_i -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names N_114.BLIF N_114_i -0 1 -.names N_235.BLIF N_235_i -0 1 -.names N_113.BLIF N_113_i -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_210.BLIF N_210_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names N_207.BLIF N_207_i -0 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n -0 1 -.names N_208.BLIF N_208_i -0 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n -0 1 -.names N_206.BLIF N_206_i -0 1 -.names inst_RESET_OUT.BLIF RESET_OUT_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_313.BLIF N_313_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names N_211.BLIF N_211_i -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names N_212.BLIF N_212_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_4_.BLIF N_183_0 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_181_0 -11 1 -.names cpu_est_3_.BLIF cpu_est_i_3__n -0 1 -.names N_145_i.BLIF SM_AMIGA_4_.BLIF N_178_0 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_69_0 -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_329.BLIF N_329_i -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names N_329_i.BLIF RST_c.BLIF N_176_i -11 1 -.names CLK_030_c.BLIF CLK_030_i -0 1 -.names N_145.BLIF sm_amiga_i_3__n.BLIF N_175_0 -11 1 -.names pos_clk_ipl_1_n.BLIF N_214_i.BLIF pos_clk_ipl_n -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_145.BLIF SM_AMIGA_i_7_.BLIF N_174_0 -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_164_i.BLIF sm_amiga_i_6__n.BLIF N_171_0 -11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names un1_SM_AMIGA_5_i_1.BLIF un1_SM_AMIGA_5_i_2.BLIF un1_SM_AMIGA_5_i -11 1 -.names RW_c.BLIF RW_i -0 1 -.names N_324.BLIF N_324_i -0 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names N_326.BLIF N_326_i -0 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_324_i.BLIF N_326_i.BLIF N_168_i -11 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_165_i -11 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_164_i -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_162_i -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names G_134.BLIF N_213_i -0 1 -.names N_321.BLIF N_321_i -0 1 -.names G_135.BLIF N_214_i -0 1 -.names clk_000_n_sync_i_10__n.BLIF N_321_i.BLIF N_159_0 -11 1 -.names G_136.BLIF N_215_i -0 1 -.names N_318.BLIF N_318_i -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_156_i -11 1 -.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_155_i -11 1 -.names N_98.BLIF N_98_i -0 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_154_i -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names CLK_030_c.BLIF CLK_OUT_PRE_D_i.BLIF N_152_0 -11 1 -.names un4_lds_000.BLIF un4_lds_000_i -0 1 -.names N_150_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_150_i -11 1 -.names un4_uds_000.BLIF un4_uds_000_i -0 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_147_i -11 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_145_i -11 1 -.names N_281.BLIF N_281_i -0 1 -.names N_302.BLIF N_302_i -0 1 -.names N_279.BLIF N_279_i -0 1 -.names N_280.BLIF N_280_i -0 1 -.names N_279_i.BLIF N_280_i.BLIF un5_e_0 -11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names N_278.BLIF N_278_i -0 1 -.names N_154.BLIF N_278_i.BLIF cpu_est_2_0_3__n -11 1 -.names N_277.BLIF N_277_i -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa -11 1 -.names N_348.BLIF N_348_i -0 1 -.names N_277_i.BLIF N_348_i.BLIF cpu_est_2_0_2__n -11 1 -.names N_128_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_128_i -11 1 -.names N_193.BLIF N_193_i -0 1 -.names N_241.BLIF N_241_i -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 .names pos_clk_un3_as_030_d0_i_n.BLIF un1_SM_AMIGA_5.BLIF \ DS_000_ENABLE_1_sqmuxa_1 11 1 -.names N_240.BLIF N_240_i +.names N_246.BLIF N_246_i 0 1 +.names N_119.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +11 1 .names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_4 1- 1 -1 1 -.names N_124_0_1.BLIF SM_AMIGA_i_7_.BLIF N_124_0 +.names N_240.BLIF N_240_i +0 1 +.names cpu_est_3_.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names vcc_n_n + 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 +1- 1 +-1 1 +.names N_241.BLIF N_241_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 +1- 1 +-1 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names gnd_n_n +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 +1- 1 +-1 1 +.names N_266.BLIF N_266_i +0 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ +un1_amiga_bus_enable_low +11 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 +1- 1 +-1 1 +.names N_267.BLIF N_267_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +11 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 +1- 1 +-1 1 +.names N_254.BLIF N_254_i +0 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size 11 1 .names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_6 1- 1 -1 1 -.names N_98_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_269_0 +.names N_317.BLIF N_317_i +0 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un4_uds_000 +11 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un4_lds_000 +11 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names N_313.BLIF N_313_i +0 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names N_316.BLIF N_316_i +0 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names N_312.BLIF N_312_i +0 1 +.names N_193.BLIF a0_dma_0_un3_n +0 1 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names pos_clk_a0_dma_3_n.BLIF N_193.BLIF a0_dma_0_un1_n +11 1 +.names un22_berr_1_0.BLIF N_375.BLIF un22_berr +11 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_347.BLIF N_347_i +0 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names N_236.BLIF N_236_i +0 1 +.names N_323.BLIF N_323_i +0 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +0 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names N_324.BLIF N_324_i +0 1 +.names A0_c.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +11 1 +.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF N_222_i +11 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names N_276.BLIF N_276_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n +0 1 +.names RST_c.BLIF RST_i +0 1 +.names AS_000_DMA_i.BLIF CLK_030_i.BLIF N_221_0 +11 1 +.names cpu_est_i_1__n.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names inst_CLK_000_PE.BLIF SM_AMIGA_4_.BLIF N_220_0 +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_219_0 +11 1 +.names N_193.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names SIZE_DMA_1_.BLIF size_dma_i_1__n +0 1 +.names inst_CLK_000_NE.BLIF N_187_i.BLIF N_216_0 +11 1 +.names N_275_i.BLIF N_193.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_290_0 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names RW_c.BLIF RW_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_212_0 +11 1 +.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names inst_CLK_000_PE.BLIF CLK_000_PE_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_211_0 +11 1 +.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names N_196_i.BLIF sm_amiga_i_6__n.BLIF N_204_0 +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names inst_RESET_OUT.BLIF RESET_OUT_i +0 1 +.names N_351.BLIF N_351_i +0 1 +.names N_96.BLIF ds_000_dma_0_un3_n +0 1 +.names BERR_c.BLIF BERR_i +0 1 +.names N_353.BLIF N_353_i +0 1 +.names N_90.BLIF N_96.BLIF ds_000_dma_0_un1_n +11 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names N_351_i.BLIF N_353_i.BLIF N_201_i +11 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names N_99.BLIF as_000_dma_0_un3_n +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_197_i +11 1 +.names N_192.BLIF N_99.BLIF as_000_dma_0_un1_n +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_196_i +11 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_193_i +11 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names N_192_0_1.BLIF N_192_0_2.BLIF N_192_0 +11 1 +.names pos_clk_un8_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +0 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_350.BLIF N_350_i +0 1 +.names N_193.BLIF rw_000_dma_0_un3_n +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names clk_000_n_sync_i_10__n.BLIF N_350_i.BLIF N_188_0 +11 1 +.names N_80.BLIF N_193.BLIF rw_000_dma_0_un1_n +11 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names N_158_i.BLIF RST_DLY_2_.BLIF N_187_i +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_185_i +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names N_181_i.BLIF cpu_est_i_0__n.BLIF N_182_i +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names cpu_est_3_.BLIF cpu_est_i_2__n.BLIF N_181_i +11 1 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names CLK_030_c.BLIF CLK_OUT_PRE_D_i.BLIF N_175_0 +11 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names N_168_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_168_i +11 1 +.names CLK_030_c.BLIF CLK_030_i +0 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_158_i +11 1 +.names RST_DLY_1_.BLIF rst_dly_i_1__n +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_148_i +11 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names N_345.BLIF N_345_i +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n +11 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names N_344.BLIF N_344_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names N_344_i.BLIF RST_c.BLIF N_144_0 +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF N_138_0 +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names N_342.BLIF N_342_i +0 1 +.names pos_clk_ipl_1_n.BLIF N_214_i.BLIF pos_clk_ipl_n +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names N_343.BLIF N_343_i +0 1 +.names A1_c.BLIF A1_i +0 1 +.names N_342_i.BLIF N_343_i.BLIF N_124_0 +11 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names N_341.BLIF N_341_i +0 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names N_182.BLIF N_341_i.BLIF N_119_0 +11 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names N_340.BLIF N_340_i +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names N_361.BLIF N_361_i +0 1 +.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names N_340_i.BLIF N_361_i.BLIF cpu_est_2_0_2__n +11 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names N_338.BLIF N_338_i +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_339.BLIF N_339_i +0 1 +.names G_134.BLIF N_213_i +0 1 +.names N_338_i.BLIF N_339_i.BLIF cpu_est_2_0_1__n +11 1 +.names G_135.BLIF N_214_i +0 1 +.names N_332.BLIF N_332_i +0 1 +.names G_136.BLIF N_215_i +0 1 +.names N_336.BLIF N_336_i +0 1 +.names N_332_i.BLIF N_336_i.BLIF pos_clk_un7_clk_000_pe_0_n +11 1 +.names N_275.BLIF N_275_i +0 1 +.names CLK_030_i.BLIF N_192_0.BLIF N_99_0 +11 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 +.names N_331.BLIF N_331_i +0 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names N_96_0_1.BLIF RW_000_i.BLIF N_96_0 +11 1 +.names un4_as_000.BLIF un4_as_000_i +0 1 +.names N_330.BLIF N_330_i +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_192_0.BLIF N_330_i.BLIF N_90_0 +11 1 +.names un4_lds_000.BLIF un4_lds_000_i +0 1 +.names N_328.BLIF N_328_i +0 1 +.names un4_uds_000.BLIF un4_uds_000_i +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_80_0 +11 1 +.names N_325.BLIF N_325_i +0 1 +.names N_326.BLIF N_326_i +0 1 +.names N_325_i.BLIF N_326_i.BLIF N_258_0 +11 1 +.names N_187.BLIF RST_c.BLIF N_217_i +11 1 +.names N_321.BLIF N_321_i +0 1 +.names N_322.BLIF N_322_i +0 1 +.names N_320.BLIF N_320_i +0 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 .names un5_ciin.BLIF un5_ciin_i 0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 .names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF N_61_0 11 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +.names N_310.BLIF N_310_i +0 1 +.names N_305.BLIF N_305_i +0 1 +.names N_307.BLIF N_307_i +0 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names N_303.BLIF N_303_i +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 +1- 1 +-1 1 +.names N_304.BLIF N_304_i +0 1 +.names N_303_i.BLIF N_304_i.BLIF N_283_0 +11 1 +.names N_301.BLIF N_301_i +0 1 +.names N_300.BLIF N_300_i +0 1 +.names N_123_0_1.BLIF SM_AMIGA_i_7_.BLIF N_123_0 11 1 .names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_17 1- 1 -1 1 -.names N_228.BLIF N_228_i +.names N_278.BLIF N_278_i 0 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 1- 1 -1 1 -.names N_355_0_1.BLIF RW_000_i.BLIF N_355_0 +.names N_297.BLIF N_297_i +0 1 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names N_278_i.BLIF N_297_i.BLIF AMIGA_BUS_DATA_DIR_c_0 11 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 1- 1 -1 1 -.names N_226.BLIF N_226_i +.names N_277.BLIF N_277_i 0 1 .names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_22 1- 1 -1 1 +.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 +1- 1 +-1 1 .names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 1- 1 -1 1 -.names N_224.BLIF N_224_i -0 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 -1- 1 --1 1 -.names N_225.BLIF N_225_i -0 1 -.names N_224_i.BLIF N_225_i.BLIF N_282_0 -11 1 -.names N_221.BLIF N_221_i -0 1 -.names N_222.BLIF N_222_i -0 1 -.names N_219.BLIF N_219_i -0 1 -.names N_220.BLIF N_220_i -0 1 -.names N_219_i.BLIF N_220_i.BLIF N_283_0 -11 1 -.names N_216.BLIF N_216_i -0 1 -.names N_218.BLIF N_218_i -0 1 -.names N_216_i.BLIF N_218_i.BLIF cpu_est_2_0_1__n -11 1 -.names N_373.BLIF N_373_i -0 1 -.names N_375.BLIF N_375_i -0 1 -.names N_373_i.BLIF N_375_i.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names N_188.BLIF N_188_i -0 1 -.names N_205.BLIF N_205_i -0 1 .names pos_clk_un8_sm_amiga_i_1_n.BLIF size_c_0__n.BLIF \ pos_clk_un8_sm_amiga_i_n 11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 +1- 1 +-1 1 .names A0_c.BLIF A0_c_i 0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 +1- 1 +-1 1 .names size_c_1__n.BLIF size_c_i_1__n 0 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 +1- 1 +-1 1 +.names N_29.BLIF N_29_i +0 1 +.names N_29_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names N_28.BLIF N_28_i +0 1 +.names N_28_i.BLIF RST_c.BLIF N_31_0 +11 1 .names N_27.BLIF N_27_i 0 1 -.names N_27_i.BLIF RST_c.BLIF N_31_0 +.names N_27_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_52_0 11 1 .names ipl_c_0__n.BLIF ipl_c_i_0__n 0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_51_0 11 1 -.names N_4.BLIF N_4_i +.names DTACK_c.BLIF DTACK_c_i 0 1 -.names N_4_i.BLIF RST_c.BLIF N_49_0 +.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 +11 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_55_0 +11 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i +0 1 +.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_54_0 +11 1 +.names N_3.BLIF N_3_i +0 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names N_8.BLIF N_8_i +0 1 +.names N_8_i.BLIF RST_c.BLIF N_45_0 11 1 .names N_17.BLIF N_17_i 0 1 -.names N_17_i.BLIF RST_c.BLIF N_43_0 +.names N_17_i.BLIF RST_c.BLIF N_42_0 11 1 -.names N_124_0.BLIF N_124 +.names N_19.BLIF N_19_i 0 1 -.names N_18.BLIF N_18_i +.names N_19_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names N_20.BLIF N_20_i 0 1 +.names N_123_0.BLIF N_123 +0 1 +.names N_20_i.BLIF RST_c.BLIF N_39_0 +11 1 .names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n 0 1 -.names N_18_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 .names N_21.BLIF N_21_i 0 1 -.names cpu_est_2_0_3__n.BLIF cpu_est_2_3__n +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n 0 1 -.names N_21_i.BLIF RST_c.BLIF N_39_0 +.names N_21_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_209 11 1 .names N_22.BLIF N_22_i 0 1 -.names N_22_i.BLIF RST_c.BLIF N_38_0 +.names N_22_i.BLIF RST_c.BLIF N_37_0 11 1 +.names N_25.BLIF N_25_i +0 1 +.names N_25_i.BLIF RST_c.BLIF N_34_0 +11 1 +.names N_217_i.BLIF N_217 +0 1 .names N_26.BLIF N_26_i 0 1 -.names N_269_0.BLIF N_269 -0 1 -.names N_26_i.BLIF RST_c.BLIF N_34_0 +.names N_26_i.BLIF RST_c.BLIF N_33_0 11 1 .names N_61_0.BLIF N_61 0 1 .names BG_030_c.BLIF BG_030_c_i 0 1 -.names BG_030_c_i.BLIF N_128.BLIF pos_clk_un8_bg_030_0_n +.names CLK_000_NE_i.BLIF RST_c.BLIF N_127 11 1 -.names N_159.BLIF SM_AMIGA_1_.BLIF N_98 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n +0 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n 11 1 -.names AS_000_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.BLIF N_161_i_1 +.names N_80_0.BLIF N_80 +0 1 +.names N_193_i.BLIF sm_amiga_i_i_7__n.BLIF N_289_0_1 11 1 -.names BGACK_030_INT_i.BLIF N_312_i.BLIF N_161_i_2 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_349_i.BLIF un1_SM_AMIGA_5_i_1 11 1 +.names N_90_0.BLIF N_90 +0 1 +.names N_194_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_5_i_2 +11 1 +.names N_96_0.BLIF N_96 +0 1 .names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un8_sm_amiga_i_1_n 11 1 -.names N_355_0.BLIF N_355 +.names N_99_0.BLIF N_99 0 1 -.names N_154_i.BLIF N_155_i.BLIF N_324_1 +.names N_182_i.BLIF VMA_INT_i.BLIF N_351_1 11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_324_2 -11 1 -.names N_128_i.BLIF N_128 +.names N_119_0.BLIF N_119 0 1 -.names AS_030_000_SYNC_i.BLIF N_147_i.BLIF N_150_i_1 +.names VPA_D_i.BLIF cpu_est_i_1__n.BLIF N_351_2 11 1 -.names N_137_0.BLIF N_137 +.names N_124_0.BLIF N_124 0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_162_i.BLIF un1_SM_AMIGA_5_i_1 +.names AS_030_000_SYNC_i.BLIF N_148_i.BLIF N_168_i_1 11 1 -.names N_145_i.BLIF N_145 +.names N_138_0.BLIF N_138 0 1 -.names N_318_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_5_i_2 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_192_0_1 11 1 -.names N_148_i.BLIF N_148 +.names N_144_0.BLIF N_144 0 1 -.names N_211_i.BLIF N_212_i.BLIF N_138_i_1 +.names pos_clk_un24_bgack_030_int_i_i_a4_i_x2.BLIF N_345_i.BLIF N_192_0_2 11 1 -.names N_150_i.BLIF N_150 +.names N_158_i.BLIF N_158 0 1 -.names N_313_i.BLIF RST_c.BLIF N_138_i_2 -11 1 -.names N_152_0.BLIF N_152 -0 1 -.names N_176_i.BLIF N_206_i.BLIF N_146_i_1 -11 1 -.names N_154_i.BLIF N_154 -0 1 -.names N_207_i.BLIF N_208_i.BLIF N_146_i_2 -11 1 -.names N_156_i.BLIF N_156 -0 1 -.names N_146_i_1.BLIF N_146_i_2.BLIF N_146_i_3 -11 1 -.names N_159_0.BLIF N_159 -0 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_220_1 -11 1 -.names N_161_i.BLIF N_161 -0 1 -.names RST_c.BLIF SM_AMIGA_3_.BLIF N_220_2 -11 1 -.names N_165_i.BLIF N_165 -0 1 -.names inst_CLK_000_NE.BLIF N_348.BLIF N_375_1 +.names N_323_i.BLIF N_324_i.BLIF N_137_i_1 11 1 .names N_168_i.BLIF N_168 0 1 -.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_375_2 -11 1 -.names N_171_0.BLIF N_171 -0 1 -.names inst_CLK_000_PE.BLIF N_155_i.BLIF N_373_1 -11 1 -.names N_174_0.BLIF N_174 -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_373_2 +.names N_347_i.BLIF RST_c.BLIF N_137_i_2 11 1 .names N_175_0.BLIF N_175 0 1 -.names N_150.BLIF N_162_i.BLIF N_210_1 +.names N_208_0.BLIF N_312_i.BLIF N_145_i_1 11 1 -.names N_178_0.BLIF N_178 +.names N_182_i.BLIF N_182 0 1 -.names N_164_i.BLIF N_165_i.BLIF N_210_2 +.names N_313_i.BLIF N_316_i.BLIF N_145_i_2 11 1 -.names N_181_0.BLIF N_181 +.names N_185_i.BLIF N_185 0 1 -.names N_210_1.BLIF N_210_2.BLIF N_210_3 +.names N_145_i_1.BLIF N_145_i_2.BLIF N_145_i_3 11 1 -.names N_183_0.BLIF N_183 +.names N_187_i.BLIF N_187 +0 1 +.names N_242_i.BLIF N_254_i.BLIF N_260_i_1 +11 1 +.names N_188_0.BLIF N_188 +0 1 +.names N_266_i.BLIF N_267_i.BLIF N_260_i_2 +11 1 +.names N_192_0.BLIF N_192 +0 1 +.names N_240_i.BLIF N_241_i.BLIF N_259_i_1 +11 1 +.names N_193_i.BLIF N_193 +0 1 +.names N_242_i.BLIF N_246_i.BLIF N_259_i_2 +11 1 +.names N_197_i.BLIF N_197 +0 1 +.names inst_CLK_000_NE.BLIF N_361.BLIF N_336_1 +11 1 +.names N_201_i.BLIF N_201 +0 1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_336_2 +11 1 +.names N_204_0.BLIF N_204 +0 1 +.names inst_CLK_000_PE.BLIF cpu_est_i_0__n.BLIF N_332_1 +11 1 +.names N_206_0.BLIF N_206 +0 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_332_2 +11 1 +.names N_207_0.BLIF N_207 +0 1 +.names N_332_1.BLIF N_332_2.BLIF N_332_3 +11 1 +.names N_168.BLIF N_194_i.BLIF N_317_1 +11 1 +.names N_211_0.BLIF N_211 +0 1 +.names N_196_i.BLIF N_197_i.BLIF N_317_2 +11 1 +.names N_212_0.BLIF N_212 +0 1 +.names N_317_1.BLIF N_317_2.BLIF N_317_3 +11 1 +.names N_290_0.BLIF N_290 +0 1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_304_1 +11 1 +.names N_216_0.BLIF N_216 +0 1 +.names RST_c.BLIF SM_AMIGA_3_.BLIF N_304_2 +11 1 +.names N_219_0.BLIF N_219 0 1 .names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 11 1 -.names sm_amiga_srsts_i_0_0_m3_5__un1_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un0_n.BLIF N_188 -1- 1 --1 1 +.names N_220_0.BLIF N_220 +0 1 .names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 11 1 -.names un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n.BLIF N_190 -1- 1 --1 1 +.names N_221_0.BLIF N_221 +0 1 .names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 11 1 -.names sm_amiga_srsts_i_0_0_m3_1__un1_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_1__un0_n.BLIF N_193 +.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_227 1- 1 -1 1 .names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 11 1 -.names N_195_1.BLIF rst_dly_i_2__n.BLIF N_195 -11 1 +.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ +N_229 +1- 1 +-1 1 .names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 11 1 -.names N_200_1.BLIF rst_dly_i_1__n.BLIF N_200 -11 1 +.names sm_amiga_srsts_i_0_m2_5__un1_n.BLIF sm_amiga_srsts_i_0_m2_5__un0_n.BLIF \ +N_230 +1- 1 +-1 1 .names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 11 1 -.names N_180.BLIF sm_amiga_i_6__n.BLIF N_205 +.names N_188.BLIF SM_AMIGA_1_.BLIF N_236 11 1 .names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 11 1 -.names N_181.BLIF N_313.BLIF N_206 +.names N_240_1.BLIF rst_dly_i_2__n.BLIF N_240 11 1 .names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 11 1 -.names N_207_1.BLIF CLK_000_PE_i.BLIF N_207 +.names N_144.BLIF N_187_i.BLIF N_241 11 1 .names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 11 1 -.names N_208_1.BLIF CLK_000_NE_i.BLIF N_208 +.names N_217.BLIF RST_i.BLIF N_242 11 1 .names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 11 1 -.names N_210_3.BLIF sm_amiga_i_3__n.BLIF N_210 +.names N_127.BLIF rst_dly_i_2__n.BLIF N_246 11 1 .names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 11 1 -.names N_183.BLIF sm_amiga_i_3__n.BLIF N_211 +.names N_144.BLIF N_158_i.BLIF N_254 11 1 -.names CLK_000_NE_i.BLIF rst_dly_i_0__n.BLIF N_302_1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 11 1 -.names N_212_1.BLIF sm_amiga_i_4__n.BLIF N_212 +.names N_266_1.BLIF rst_dly_i_1__n.BLIF N_266 11 1 -.names N_199_i.BLIF N_200_i.BLIF N_244_i_1 +.names FPU_SENSE_i.BLIF N_375.BLIF un21_fpu_cs_1 11 1 -.names N_373_1.BLIF N_373_2.BLIF N_373 +.names N_127.BLIF rst_dly_i_1__n.BLIF N_267 11 1 -.names N_201_i.BLIF RST_c.BLIF N_244_i_2 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_375_1 11 1 -.names N_375_1.BLIF N_375_2.BLIF N_375 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_275 11 1 -.names N_243_2_i.BLIF N_195_i.BLIF N_243_i_1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_375_2 11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_216 +.names N_219.BLIF sm_amiga_i_0__n.BLIF N_277 11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_410_1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_375_3 11 1 -.names N_218_1.BLIF cpu_est_i_3__n.BLIF N_218 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_278 11 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_410_2 +.names N_375_1.BLIF N_375_2.BLIF N_375_4 11 1 -.names N_219_1.BLIF SM_AMIGA_2_.BLIF N_219 +.names N_297_1.BLIF RW_000_c.BLIF N_297 11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_410_3 +.names AS_000_i.BLIF N_210_0.BLIF N_134_i_1 11 1 -.names N_220_1.BLIF N_220_2.BLIF N_220 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_300 11 1 -.names N_410_1.BLIF N_410_2.BLIF N_410_4 +.names N_192_0.BLIF N_331_i.BLIF N_96_0_1 11 1 -.names N_178.BLIF sm_amiga_i_5__n.BLIF N_221 +.names N_212.BLIF sm_amiga_i_2__n.BLIF N_301 11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_237_1 +.names N_192_0.BLIF N_328_i.BLIF N_83_i_1 11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_222 +.names N_303_1.BLIF SM_AMIGA_2_.BLIF N_303 11 1 -.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_237_2 +.names N_242_i.BLIF N_321_i.BLIF N_261_i_1 11 1 -.names N_224_1.BLIF SM_AMIGA_6_.BLIF N_224 +.names N_304_1.BLIF N_304_2.BLIF N_304 11 1 -.names AS_030_i.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 +.names N_230.BLIF N_310_i.BLIF N_141_i_1 11 1 -.names N_225_1.BLIF sm_amiga_i_i_7__n.BLIF N_225 +.names N_218.BLIF sm_amiga_i_5__n.BLIF N_305 11 1 -.names un22_berr_1.BLIF AS_030_i.BLIF un22_berr_1_0 +.names N_305_i.BLIF N_307_i.BLIF N_139_i_1 11 1 -.names CLK_030_H_i.BLIF N_185.BLIF N_226 +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_307 11 1 -.names N_327.BLIF N_410_i_0.BLIF N_233_1 +.names N_229.BLIF N_301_i.BLIF N_133_i_1 11 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_228 +.names N_211.BLIF sm_amiga_i_6__n.BLIF N_310 11 1 -.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_233_2 +.names N_300_i.BLIF sm_amiga_i_5__n.BLIF N_123_0_1 11 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_230 +.names N_290.BLIF N_347.BLIF N_312 11 1 -.names N_281_i.BLIF N_302_i.BLIF N_245_i_1 +.names pos_clk_CYCLE_DMA_5_0_i_x2.BLIF AS_000_i.BLIF N_282_i_1 11 1 -.names N_332.BLIF nEXP_SPACE_D0_i.BLIF N_231 +.names N_313_1.BLIF CLK_000_PE_i.BLIF N_313 11 1 -.names inst_AS_030_D0.BLIF inst_CLK_000_D0.BLIF N_128_i_1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_343_1 11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_240 +.names N_316_1.BLIF CLK_000_NE_i.BLIF N_316 11 1 -.names N_193_i.BLIF N_241_i.BLIF N_134_i_1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_339_1 11 1 -.names N_179.BLIF sm_amiga_i_2__n.BLIF N_241 +.names N_317_3.BLIF sm_amiga_i_3__n.BLIF N_317 11 1 -.names N_240_i.BLIF sm_amiga_i_5__n.BLIF N_124_0_1 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names N_156.BLIF cpu_est_2_.BLIF N_277 +.names N_216.BLIF RESET_OUT_i.BLIF N_320 11 1 -.names pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF AS_000_i.BLIF N_267_i_1 +.names N_168_i.BLIF RST_c.BLIF N_326_1 11 1 -.names N_156_i.BLIF cpu_est_2_.BLIF N_278 +.names N_144.BLIF RST_DLY_0_.BLIF N_321 11 1 -.names pos_clk_CYCLE_DMA_5_0_i_0_x2.BLIF AS_000_i.BLIF N_268_i_1 +.names N_206.BLIF RST_c.BLIF N_325_1 11 1 -.names N_155_i.BLIF cpu_est_3_.BLIF N_279 +.names N_127.BLIF rst_dly_i_0__n.BLIF N_322 11 1 -.names N_161_i.BLIF N_228_i.BLIF N_355_0_1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_324_1 11 1 -.names N_280_1.BLIF cpu_est_i_3__n.BLIF N_280 -11 1 -.names N_161_i.BLIF N_226_i.BLIF N_353_i_1 -11 1 -.names N_143.BLIF RST_DLY_0_.BLIF N_281 -11 1 -.names N_221_i.BLIF N_222_i.BLIF N_140_i_1 -11 1 -.names N_302_1.BLIF RST_c.BLIF N_302 -11 1 -.names N_188_i.BLIF N_205_i.BLIF N_142_i_1 -11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_313 -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_280_1 -11 1 -.names CLK_000_PE_i.BLIF SM_AMIGA_4_.BLIF N_318 -11 1 -.names N_150_i.BLIF RST_c.BLIF N_225_1 -11 1 -.names CLK_000_N_SYNC_9_.BLIF N_152.BLIF N_321 -11 1 -.names N_174.BLIF RST_c.BLIF N_224_1 -11 1 -.names N_324_1.BLIF N_324_2.BLIF N_324 -11 1 -.names N_175.BLIF RST_c.BLIF N_219_1 -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_326 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_218_1 -11 1 -.names N_145.BLIF SM_AMIGA_0_.BLIF N_329 -11 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_212_1 -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_332 -11 1 -.names N_165.BLIF BERR_i.BLIF N_208_1 -11 1 -.names N_156_i.BLIF cpu_est_i_2__n.BLIF N_348 -11 1 -.names N_171.BLIF BERR_i.BLIF N_207_1 +.names N_220.BLIF sm_amiga_i_3__n.BLIF N_323 11 1 .names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names N_217_i.BLIF rst_dly_i_0__n.BLIF N_200_1 +.names N_197.BLIF BERR_i.BLIF N_316_1 11 1 -.names N_148.BLIF N_217_i.BLIF N_195_1 +.names N_324_1.BLIF sm_amiga_i_4__n.BLIF N_324 +11 1 +.names N_204.BLIF BERR_i.BLIF N_313_1 +11 1 +.names N_325_1.BLIF SM_AMIGA_6_.BLIF N_325 +11 1 +.names N_207.BLIF RST_c.BLIF N_303_1 +11 1 +.names N_326_1.BLIF sm_amiga_i_i_7__n.BLIF N_326 +11 1 +.names AS_000_i.BLIF N_222_i.BLIF N_297_1 +11 1 +.names CLK_030_H_i.BLIF N_221.BLIF N_328 +11 1 +.names N_217_i.BLIF rst_dly_i_0__n.BLIF N_266_1 +11 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_330 +11 1 +.names N_24.BLIF N_24_i +0 1 +.names N_158.BLIF N_217_i.BLIF N_240_1 +11 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_331 +11 1 +.names N_24_i.BLIF RST_c.BLIF N_35_0 11 1 .names N_215_i.BLIF N_213_i.BLIF pos_clk_ipl_1_n 11 1 -.names N_182.BLIF RESET_OUT_i.BLIF N_235 +.names N_332_3.BLIF cpu_est_i_3__n.BLIF N_332 11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +.names N_18.BLIF N_18_i 0 1 -.names N_158_i.BLIF N_243_2.BLIF N_196 -11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names N_143_0.BLIF N_143 +.names N_193.BLIF amiga_bus_enable_dma_low_0_un3_n 0 1 +.names N_336_1.BLIF N_336_2.BLIF N_336 +11 1 +.names N_18_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names N_276_i.BLIF N_193.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_338 +11 1 +.names N_10.BLIF N_10_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names N_339_1.BLIF cpu_est_i_3__n.BLIF N_339 +11 1 +.names N_10_i.BLIF RST_c.BLIF N_43_0 +11 1 +.names N_123.BLIF rw_000_int_0_un3_n +0 1 +.names N_185.BLIF cpu_est_2_.BLIF N_340 +11 1 .names N_7.BLIF N_7_i 0 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_123.BLIF rw_000_int_0_un1_n 11 1 -.names N_158_i.BLIF N_158 -0 1 -.names N_7_i.BLIF RST_c.BLIF N_47_0 +.names N_185_i.BLIF cpu_est_2_.BLIF N_341 11 1 -.names N_357.BLIF as_030_000_sync_0_un3_n -0 1 -.names CLK_000_NE_i.BLIF rst_dly_i_2__n.BLIF N_198 +.names N_7_i.BLIF RST_c.BLIF N_46_0 +11 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names N_181_i.BLIF cpu_est_i_1__n.BLIF N_342 11 1 .names N_5.BLIF N_5_i 0 1 -.names pos_clk_un3_as_030_d0_n.BLIF N_357.BLIF as_030_000_sync_0_un1_n -11 1 -.names N_148_i.BLIF N_307.BLIF N_199 -11 1 -.names N_5_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names inst_CLK_000_NE.BLIF N_158.BLIF N_307 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_270.BLIF as_000_int_0_un3_n -0 1 -.names CLK_000_NE_i.BLIF rst_dly_i_1__n.BLIF N_201 -11 1 -.names N_3_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names sm_amiga_i_5__n.BLIF N_270.BLIF as_000_int_0_un1_n -11 1 -.names N_182_0.BLIF N_182 -0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i -0 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names N_243_2_i.BLIF N_243_2 -0 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 -11 1 -.names N_355.BLIF ds_000_dma_0_un3_n -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names N_354.BLIF N_355.BLIF ds_000_dma_0_un1_n -11 1 -.names N_356_0.BLIF N_356 -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 -11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names N_352_0.BLIF N_352 -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_327 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names SM_AMIGA_i_7_.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_28.BLIF N_28_i -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n -0 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 -1- 1 --1 1 -.names N_28_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_0_m3_1__un1_n -11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_113 -11 1 -.names N_29.BLIF N_29_i -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_1__un0_n -11 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 -1- 1 --1 1 -.names N_29_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_0_m3_5__un3_n -0 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_114 -11 1 -.names N_378.BLIF N_378_i -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_0_m3_5__un1_n -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_0_m3_5__un3_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un0_n -11 1 -.names N_327.BLIF RST_c.BLIF N_232 -11 1 -.names N_232.BLIF size_dma_0_0__un3_n -0 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_227.BLIF N_227_i -0 1 -.names SIZE_DMA_0_.BLIF N_232.BLIF size_dma_0_0__un1_n -11 1 -.names N_410_4.BLIF N_410_3.BLIF N_410 -11 1 -.names N_161_i.BLIF N_227_i.BLIF N_354_0 -11 1 -.names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF \ -size_dma_0_0__un0_n -11 1 -.names N_185_0.BLIF N_185 -0 1 -.names N_233.BLIF N_233_i -0 1 -.names N_232.BLIF size_dma_0_1__un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_236 -11 1 -.names N_233_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_357_0 -11 1 -.names SIZE_DMA_1_.BLIF N_232.BLIF size_dma_0_1__un1_n -11 1 -.names BGACK_030_INT_i.BLIF N_173.BLIF N_238 -11 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_270_0 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF \ -size_dma_0_1__un0_n -11 1 -.names N_173_i.BLIF N_173 -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_356.BLIF as_000_dma_0_un3_n -0 1 -.names BGACK_030_INT_i.BLIF N_173_i.BLIF N_239 -11 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF N_137_0 -11 1 -.names N_161.BLIF N_356.BLIF as_000_dma_0_un1_n -11 1 -.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_331 -11 1 -.names N_312.BLIF N_312_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names N_237_1.BLIF N_237_2.BLIF N_237 -11 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n -11 1 .names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names BGACK_000_c.BLIF N_410.BLIF un22_berr_1 +.names N_343_1.BLIF cpu_est_i_3__n.BLIF N_343 11 1 -.names N_161_i_1.BLIF N_161_i_2.BLIF N_161_i +.names N_5_i.BLIF RST_c.BLIF N_47_0 11 1 .names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n 11 1 -.names N_233_1.BLIF N_233_2.BLIF N_233 -11 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_179_0 +.names inst_CLK_000_NE.BLIF N_217_i.BLIF N_344 11 1 +.names N_4.BLIF N_4_i +0 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ bgack_030_int_0_un0_n 11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_209 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_345 11 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_180_0 +.names N_4_i.BLIF RST_c.BLIF N_48_0 11 1 -.names N_327.BLIF rw_000_dma_0_un3_n +.names N_279.BLIF as_030_000_sync_0_un3_n 0 1 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 -01 1 -10 1 -11 0 -00 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0 -01 1 -10 1 -11 0 -00 0 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_347 +11 1 +.names N_272.BLIF N_272_i +0 1 +.names inst_AS_030_000_SYNC.BLIF N_279.BLIF as_030_000_sync_0_un1_n +11 1 +.names CLK_000_N_SYNC_9_.BLIF N_175.BLIF N_350 +11 1 +.names N_271.BLIF N_271_i +0 1 +.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n +11 1 +.names N_351_1.BLIF N_351_2.BLIF N_351 +11 1 +.names N_271_i.BLIF N_272_i.BLIF N_279_0 +11 1 +.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n +0 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_353 +11 1 +.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_280_0 +11 1 +.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ +ds_000_enable_0_un1_n +11 1 +.names N_185_i.BLIF cpu_est_i_2__n.BLIF N_361 +11 1 +.names N_236_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_281_0 +11 1 +.names un1_SM_AMIGA_5_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 +.names N_298.BLIF N_298_i +0 1 +.names N_280.BLIF as_000_int_0_un3_n +0 1 +.names N_298_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names sm_amiga_i_5__n.BLIF N_280.BLIF as_000_int_0_un1_n +11 1 +.names N_299.BLIF N_299_i +0 1 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names N_299_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names N_281.BLIF dsack1_int_0_un3_n +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 +11 1 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i +11 1 +.names N_236_i.BLIF N_281.BLIF dsack1_int_0_un1_n +11 1 +.names N_375_4.BLIF N_375_3.BLIF N_375 +11 1 +.names N_358.BLIF N_358_i +0 1 +.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_218_0.BLIF N_218 +0 1 +.names BGACK_000_c.BLIF N_358_i.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names N_286.BLIF size_dma_0_1__un3_n +0 1 +.names N_156_i.BLIF N_156 +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_284_i +11 1 +.names pos_clk_size_dma_6_1__n.BLIF N_286.BLIF size_dma_0_1__un1_n +11 1 +.names N_289_0.BLIF N_289 +0 1 +.names N_222_i.BLIF inst_RESET_OUT.BLIF N_285_i +11 1 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n +11 1 +.names N_156.BLIF SM_AMIGA_0_.BLIF N_354 +11 1 +.names N_193_i.BLIF RST_c.BLIF N_286_0 +11 1 +.names N_286.BLIF size_dma_0_0__un3_n +0 1 +.names N_205_i.BLIF N_205 +0 1 +.names AS_030_i.BLIF RST_c.BLIF N_88_0 +11 1 +.names pos_clk_size_dma_6_0__n.BLIF N_286.BLIF size_dma_0_0__un1_n +11 1 +.names un1_SM_AMIGA_5_i.BLIF un1_SM_AMIGA_5 +0 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +11 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa +11 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_156_i +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n +0 1 +.names CLK_000_PE_i.BLIF SM_AMIGA_4_.BLIF N_349 +11 1 +.names N_349.BLIF N_349_i +0 1 +.names BERR_c.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n +11 1 +.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_194_i +11 1 +.names inst_CLK_000_PE.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ +sm_amiga_srsts_i_0_m2_1__un0_n +11 1 +.names N_286_0.BLIF N_286 +0 1 +.names un1_SM_AMIGA_5_i_1.BLIF un1_SM_AMIGA_5_i_2.BLIF un1_SM_AMIGA_5_i +11 1 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n +0 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names UDS_000_c.BLIF UDS_000_c_i +0 1 +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n +11 1 +.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_358 +11 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_205_i +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_m2_5__un3_n +0 1 +.names BGACK_030_INT_i.BLIF N_205_i.BLIF N_299 +11 1 +.names N_156.BLIF SM_AMIGA_i_7_.BLIF N_206_0 +11 1 +.names BERR_c.BLIF SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_m2_5__un1_n +11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names N_156.BLIF sm_amiga_i_3__n.BLIF N_207_0 +11 1 +.names inst_CLK_000_PE.BLIF sm_amiga_srsts_i_0_m2_5__un3_n.BLIF \ +sm_amiga_srsts_i_0_m2_5__un0_n +11 1 +.names BGACK_030_INT_i.BLIF N_205.BLIF N_298 +11 1 +.names N_354.BLIF N_354_i +0 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +0 1 +.names N_281_0.BLIF N_281 +0 1 +.names N_354_i.BLIF RST_c.BLIF N_208_0 +11 1 +.names cpu_est_2_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n +11 1 .names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_134 01 1 10 1 @@ -1680,17 +1662,23 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 10 1 11 0 00 0 -.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_x2_0_ +.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ +pos_clk_un24_bgack_030_int_i_i_a4_i_x2 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_0_x2 +.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_1_.BLIF N_209.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2 +.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_ +01 1 +10 1 +11 0 +00 0 +.names CYCLE_DMA_1_.BLIF N_209.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 01 1 10 1 11 0 @@ -1707,10 +1695,10 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names inst_BGACK_030_INTreg.BLIF BGACK_030 1 1 0 0 -.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT +.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT 1 1 0 0 -.names CLK_OUT_EXP_INT_i.BLIF CLK_EXP +.names CLK_OUT_INTreg.BLIF CLK_EXP 1 1 0 0 .names un21_fpu_cs_i.BLIF FPU_CS @@ -1722,7 +1710,7 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names vcc_n_n.BLIF AVEC 1 1 0 0 -.names un5_e.BLIF E +.names N_124.BLIF E 1 1 0 0 .names inst_VMA_INTreg.BLIF VMA @@ -1740,7 +1728,7 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_190_i.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_227.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 .names un5_ciin.BLIF CIIN @@ -1752,12 +1740,6 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_5_.C 1 1 0 0 @@ -1776,12 +1758,6 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C 1 1 0 0 @@ -1800,28 +1776,10 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C 1 1 0 0 .names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D @@ -1854,7 +1812,7 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 0 0 -.names cpu_est_0_0_x2_0_x2_0_.BLIF cpu_est_0_.D +.names cpu_est_0_0_x2_0_.BLIF cpu_est_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF cpu_est_0_.C @@ -1863,28 +1821,10 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF cpu_est_1_.C 1 1 0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D +.names CLK_OSZI_c.BLIF cpu_est_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +.names CLK_OSZI_c.BLIF cpu_est_3_.C 1 1 0 0 .names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D @@ -1917,7 +1857,7 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C 1 1 0 0 -.names N_147_i.BLIF CLK_000_N_SYNC_0_.D +.names N_148_i.BLIF CLK_000_N_SYNC_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C @@ -1947,7 +1887,28 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C +.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C +1 1 +0 0 +.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C +1 1 +0 0 +.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C +1 1 +0 0 +.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 .names CLK_OSZI_c.BLIF RST_DLY_1_.C @@ -1959,19 +1920,31 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C +1 1 +0 0 +.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF RST_DLY_0_.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_AS_000_DMA.C @@ -2007,10 +1980,7 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF inst_RESET_OUT.C 1 1 0 0 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C 1 1 0 0 .names CLK_OSZI_c.BLIF BG_000DFFreg.C @@ -2031,24 +2001,24 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C 1 1 0 0 -.names inst_CLK_OUT_PRE_D.BLIF inst_CLK_OUT_INTreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_INTreg.C -1 1 -0 0 .names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D 1 1 0 0 @@ -2061,18 +2031,18 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C 1 1 0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_EXP_INT.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_EXP_INT.C -1 1 -0 0 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_D.D +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D 1 1 0 0 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C 1 1 0 0 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C +1 1 +0 0 .names CLK_000.BLIF inst_CLK_000_D0.D 1 1 0 0 @@ -2085,10 +2055,16 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names CLK_OSZI_c.BLIF inst_CLK_000_PE.C 1 1 0 0 +.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C +1 1 +0 0 .names un3_size.BLIF SIZE_1_ 1 1 0 0 -.names N_137.BLIF AS_030 +.names N_138.BLIF AS_030 1 1 0 0 .names un4_as_000_i.BLIF AS_000 @@ -2280,37 +2256,37 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 .names FC_1_.BLIF fc_c_1__n 1 1 0 0 -.names N_231.BLIF AS_030.OE +.names N_285_i.BLIF AS_030.OE 1 1 0 0 -.names N_230.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names N_230.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 -.names N_230.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_230.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 0 0 -.names un1_as_030_i.BLIF SIZE_0_.OE +.names N_222_i.BLIF SIZE_0_.OE 1 1 0 0 -.names un1_as_030_i.BLIF SIZE_1_.OE +.names N_222_i.BLIF SIZE_1_.OE 1 1 0 0 -.names N_231.BLIF A0.OE +.names N_285_i.BLIF A0.OE 1 1 0 0 .names un22_berr.BLIF BERR.OE 1 1 0 0 -.names N_332.BLIF RW.OE +.names N_284_i.BLIF RW.OE 1 1 0 0 -.names N_231.BLIF DS_030.OE +.names N_285_i.BLIF DS_030.OE 1 1 0 0 .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index 404ce35..d5763c7 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,164 +1,110 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ MODULE 68030_tk -#$ PINS 61 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ IPL_030_1_ IPL_030_0_ FC_1_ IPL_1_ AS_030 \ -# IPL_0_ AS_000 FC_0_ RW_000 DS_030 UDS_000 LDS_000 A0 A1 nEXP_SPACE BERR BG_030 BG_000 \ +#$ PINS 61 SIZE_1_ A_31_ IPL_030_2_ IPL_030_1_ IPL_2_ IPL_030_0_ IPL_1_ FC_1_ IPL_0_ \ +# AS_030 FC_0_ AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 A1 nEXP_SPACE BERR BG_030 BG_000 \ # BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE \ -# DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ \ -# AMIGA_BUS_ENABLE_LOW A_30_ AMIGA_BUS_ENABLE_HIGH A_29_ CIIN A_28_ A_27_ A_26_ A_25_ \ +# DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE SIZE_0_ AMIGA_BUS_DATA_DIR \ +# A_30_ AMIGA_BUS_ENABLE_LOW A_29_ AMIGA_BUS_ENABLE_HIGH A_28_ CIIN A_27_ A_26_ A_25_ \ # A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ -#$ NODES 81 inst_BGACK_030_INTreg inst_CLK_OUT_INTreg inst_VMA_INTreg cpu_est_0_ \ -# cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT SM_AMIGA_5_ \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg \ -# inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA \ -# CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT \ -# inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_RESET_OUT \ -# inst_CLK_OUT_PRE_50 N_210_i inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D0 \ -# inst_CLK_000_PE inst_CLK_OUT_EXP_INT CLK_000_P_SYNC_9_ inst_CLK_000_NE \ -# CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ \ -# inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_DSACK1_INTreg SM_AMIGA_4_ inst_DS_000_ENABLE \ -# RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ \ -# CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ \ -# CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ \ -# CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ \ -# CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ \ -# CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_RW_000_INT inst_RW_000_DMA inst_A0_DMA \ -# SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ \ -# BG_000DFFreg IPL_030DFF_0_reg IPL_030DFF_1_reg IPL_030DFF_2_reg +#$ NODES 79 inst_BGACK_030_INTreg inst_VMA_INTreg N_317_i cpu_est_2_ cpu_est_3_ \ +# cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW \ +# inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_AS_030_000_SYNC inst_BGACK_030_INT_D \ +# inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ \ +# inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 \ +# inst_RESET_OUT inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE \ +# CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ \ +# inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_DSACK1_INTreg \ +# SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ \ +# CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ \ +# CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ \ +# CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ \ +# CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ \ +# CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_RW_000_INT \ +# inst_RW_000_DMA inst_A0_DMA SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ \ +# SM_AMIGA_2_ SM_AMIGA_i_7_ BG_000DFFreg CLK_OUT_INTreg IPL_030DFF_0_reg \ +# IPL_030DFF_1_reg IPL_030DFF_2_reg .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ -inst_BGACK_030_INTreg.BLIF inst_CLK_OUT_INTreg.BLIF inst_VMA_INTreg.BLIF \ -cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ -inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ -inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ -inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF inst_DS_000_DMA.BLIF \ -CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF \ -inst_VPA_D.BLIF inst_UDS_000_INT.BLIF inst_LDS_000_INT.BLIF \ -inst_CLK_OUT_PRE_D.BLIF inst_DTACK_D0.BLIF inst_RESET_OUT.BLIF \ -inst_CLK_OUT_PRE_50.BLIF N_210_i.BLIF inst_CLK_OUT_PRE_25.BLIF \ -inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF \ -inst_CLK_OUT_EXP_INT.BLIF CLK_000_P_SYNC_9_.BLIF inst_CLK_000_NE.BLIF \ -CLK_000_N_SYNC_11_.BLIF IPL_D0_0_.BLIF IPL_D0_1_.BLIF IPL_D0_2_.BLIF \ -inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -inst_DSACK1_INTreg.BLIF SM_AMIGA_4_.BLIF inst_DS_000_ENABLE.BLIF \ -RST_DLY_0_.BLIF RST_DLY_1_.BLIF RST_DLY_2_.BLIF CLK_000_P_SYNC_0_.BLIF \ -CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.BLIF \ -CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.BLIF \ -CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_N_SYNC_0_.BLIF \ -CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.BLIF \ -CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.BLIF \ -CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.BLIF \ -CLK_000_N_SYNC_10_.BLIF inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF \ -inst_A0_DMA.BLIF SM_AMIGA_6_.BLIF inst_CLK_030_H.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_i_7_.BLIF BG_000DFFreg.BLIF \ -IPL_030DFF_0_reg.BLIF IPL_030DFF_1_reg.BLIF IPL_030DFF_2_reg.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF \ -RW.PIN.BLIF +inst_BGACK_030_INTreg.BLIF inst_VMA_INTreg.BLIF N_317_i.BLIF cpu_est_2_.BLIF \ +cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INT.BLIF \ +SM_AMIGA_5_.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ +inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF \ +inst_AS_000_DMA.BLIF inst_DS_000_DMA.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ +SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF inst_VPA_D.BLIF inst_UDS_000_INT.BLIF \ +inst_LDS_000_INT.BLIF inst_CLK_OUT_PRE_D.BLIF inst_DTACK_D0.BLIF \ +inst_RESET_OUT.BLIF inst_CLK_OUT_PRE_50.BLIF inst_CLK_000_D1.BLIF \ +inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF CLK_000_P_SYNC_9_.BLIF \ +inst_CLK_000_NE.BLIF CLK_000_N_SYNC_11_.BLIF IPL_D0_0_.BLIF IPL_D0_1_.BLIF \ +IPL_D0_2_.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF inst_DSACK1_INTreg.BLIF SM_AMIGA_4_.BLIF \ +inst_DS_000_ENABLE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF RST_DLY_2_.BLIF \ +CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.BLIF \ +CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.BLIF \ +CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.BLIF \ +CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.BLIF \ +CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.BLIF \ +CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.BLIF \ +CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF inst_RW_000_INT.BLIF \ +inst_RW_000_DMA.BLIF inst_A0_DMA.BLIF SM_AMIGA_6_.BLIF inst_CLK_030_H.BLIF \ +SM_AMIGA_1_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_i_7_.BLIF \ +BG_000DFFreg.BLIF CLK_OUT_INTreg.BLIF IPL_030DFF_0_reg.BLIF \ +IPL_030DFF_1_reg.BLIF IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ +SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.D \ -SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_5_.D SM_AMIGA_5_.C \ SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_2_.D \ -cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ -IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C \ -IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C \ -CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ -CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ -CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D \ -CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D \ -CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D \ -CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C \ -CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D \ -CLK_000_N_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C \ -RST_DLY_2_.D RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ -inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D \ +SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D \ +IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ +IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ +IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ +CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D \ +CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D \ +CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ +SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ +cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C \ +CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ +CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ +CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ +CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ +CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ +CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ +CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ +CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ +CLK_000_N_SYNC_8_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ +CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D \ +CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C \ +CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D \ +CLK_000_P_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C inst_AS_000_DMA.D \ inst_AS_000_DMA.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_DS_000_DMA.D inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C \ inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C \ inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.D \ -inst_RESET_OUT.C inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C BG_000DFFreg.D \ +inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C BG_000DFFreg.D \ BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_NE.D \ -inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_INTreg.D inst_CLK_OUT_INTreg.C inst_CLK_000_D1.D \ +inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C \ +inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D \ inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C \ -inst_CLK_OUT_EXP_INT.D inst_CLK_OUT_EXP_INT.C inst_CLK_OUT_PRE_D.D \ -inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D \ -inst_CLK_000_PE.C SIZE_1_ AS_030 AS_000 RW_000 UDS_000 LDS_000 A0 BERR RW \ -SIZE_0_ N_210_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ -SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE \ -inst_CLK_030_H.D.X1 inst_CLK_030_H.D.X2 SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_.BLIF SM_AMIGA_5_.BLIF inst_VPA_D.BLIF \ -inst_DTACK_D0.BLIF N_210_i.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF \ -inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_1_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF BERR.PIN.BLIF \ -SM_AMIGA_i_7_.D -10000100-1--10000-0- 1 -100001-0-1-11000--0- 1 -10000100-11-10--0--- 1 -100001-0-11110------ 1 -1-----0101--10000-0- 1 -1------101-11000--0- 1 -1-----0--1---000000- 1 -1-----01011-10--0--- 1 -1--------1-1-000-00- 1 -1------1011110------ 1 -1-----0--11--0--00-- 1 -1--------111-0---0-- 1 -1--------10--------1 1 -1--------1---0-----1 1 --------11--------1-0 0 -----------1--1------ 0 ------0-0---------1-0 0 -----1--0---------1-0 0 ----1---0---------1-0 0 ---1----0---------1-0 0 --1-----0---------1-0 0 ------------0----1--0 0 -------1----0-------0 0 -----------0-------10 0 -----------0----1---0 0 -----------0---1----0 0 -------------0----1-0 0 ----------0---------- 0 -0------------------- 0 --------------1-----0 0 -.names RST.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ -inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF SM_AMIGA_6_.D -11010--0- 1 -1----01-1 1 -1-----10- 1 ------1-1- 0 -----1-0-- 0 ----0--0-- 0 ---1---0-- 0 --0----0-- 0 -------01- 0 -0-------- 0 --------10 0 +inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ +inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C \ +inst_CLK_000_NE.D inst_CLK_000_NE.C SIZE_1_ AS_030 AS_000 RW_000 UDS_000 \ +LDS_000 A0 BERR RW SIZE_0_ N_317_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE \ +LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE \ +RESET.OE CIIN.OE inst_CLK_030_H.D.X1 inst_CLK_030_H.D.X2 SM_AMIGA_3_.D.X1 \ +SM_AMIGA_3_.D.X2 .names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF \ SM_AMIGA_6_.BLIF BERR.PIN.BLIF SM_AMIGA_5_.D 101-1- 1 @@ -179,19 +125,19 @@ SM_AMIGA_4_.BLIF BERR.PIN.BLIF SM_AMIGA_4_.D -0--0- 0 0----- 0 -0---0 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ inst_CLK_000_PE.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ BERR.PIN.BLIF SM_AMIGA_2_.D -1000010--11-- 1 +1001000--11-- 1 1-----10-11-- 1 1-------0--11 1 1---------11- 1 ------11---0- 0 --------1-0-- 0 ------00----0- 0 +-----10----0- 0 ----1-0----0- 0 ----1--0----0- 0 +---0--0----0- 0 --1---0----0- 0 -1----0----0- 0 ---------0-0- 0 @@ -217,25 +163,6 @@ SM_AMIGA_1_.BLIF BERR.PIN.BLIF SM_AMIGA_0_.D --00-- 0 ---1-0 0 0----- 0 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF inst_CLK_000_NE_D0.BLIF \ -cpu_est_2_.D -1101 1 --01- 1 -0-1- 1 ---10 1 -1111 0 --00- 0 -0-0- 0 ---00 0 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ -inst_CLK_000_NE_D0.BLIF cpu_est_3_.D -111-1 1 -0--1- 1 ----10 1 -0--0- 0 -1-0-1 0 -10--1 0 ----00 0 .names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_0_reg.D 0-01100- 1 @@ -317,6 +244,56 @@ IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_2_reg.BLIF IPL_030DFF_2_reg.D 1- 1 -0 1 01 0 +.names RST.BLIF inst_VMA_INTreg.BLIF N_317_i.BLIF cpu_est_2_.BLIF \ +cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF SM_AMIGA_5_.BLIF \ +inst_VPA_D.BLIF inst_DTACK_D0.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF \ +inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_6_.BLIF \ +SM_AMIGA_1_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF BERR.PIN.BLIF \ +SM_AMIGA_i_7_.D +101010000---10000-0- 1 +1010100-0--11000--0- 1 +101010000-1-10--0--- 1 +1010100-0-1110------ 1 +1-1----010--10000-0- 1 +1-1-----10-11000--0- 1 +1-1----0-----000000- 1 +1-1----0101-10--0--- 1 +1-1--------1-000-00- 1 +1-1-----101110------ 1 +1-1----0--1--0--00-- 1 +1-1-------11-0---0-- 1 +1-1-------0--------1 1 +1-1----------0-----1 1 +--------11-------1-0 0 +----------1--1------ 0 +------1-0--------1-0 0 +-----1--0--------1-0 0 +----0---0--------1-0 0 +---1----0--------1-0 0 +-1------0--------1-0 0 +-----------0----1--0 0 +-------1---0-------0 0 +----------0-------10 0 +----------0----1---0 0 +----------0---1----0 0 +------------0----1-0 0 +--0----------------- 0 +0------------------- 0 +-------------1-----0 0 +.names RST.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ +inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF \ +SM_AMIGA_6_.BLIF SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF SM_AMIGA_6_.D +11010--0- 1 +1----01-1 1 +1-----10- 1 +-----1-1- 0 +----1-0-- 0 +---0--0-- 0 +--1---0-- 0 +-0----0-- 0 +------01- 0 +0-------- 0 +-------10 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF CYCLE_DMA_0_.BLIF \ inst_CLK_000_PE.BLIF AS_000.PIN.BLIF CYCLE_DMA_0_.D 10100 1 @@ -355,24 +332,35 @@ SIZE_DMA_1_.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_DMA_1_.D 11-0-- 0 110--- 0 10---1 0 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_3_.BLIF inst_CLK_000_NE_D0.BLIF \ +.names cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_NE_D0.BLIF \ cpu_est_1_.D -1001 1 -01-- 1 --1-0 1 --01- 0 -11-1 0 +0101 1 +-01- 1 +--10 1 +1-0- 0 +-111 0 +-00- 0 +--00 0 +.names cpu_est_2_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_NE_D0.BLIF \ +cpu_est_2_.D +0111 1 +1-0- 1 +10-- 1 +1--0 1 +1111 0 +0-0- 0 00-- 0 --0-0 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_0_.D +0--0 0 +.names cpu_est_2_.BLIF cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ +inst_CLK_000_NE_D0.BLIF cpu_est_3_.D 1-111 1 -110-- 1 -101-- 1 --110- 0 --00-- 0 --11-0 0 -0---- 0 +010-- 1 +-1--0 1 +--101 0 +00--- 0 +1-0-1 0 +0-1-1 0 +-0--0 0 .names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ RST_DLY_2_.BLIF RST_DLY_1_.D 1--11 1 @@ -395,65 +383,15 @@ RST_DLY_2_.BLIF RST_DLY_2_.D 01 1 1- 0 -0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF inst_CLK_000_PE.BLIF \ -SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF inst_DS_000_ENABLE.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D -10--00-01-- 1 -10-10--01-- 1 -1-0---1--1- 1 -1---00-01-1 1 -1--10--01-1 1 ----0-1---0- 0 ----0-10---- 0 ---10-1----- 0 --1-------00 0 --1----0---0 0 --11-------0 0 ---------00- 0 --------1-0- 0 -----1----0- 0 -------0-0-- 0 ---1-----0-- 0 -------01--- 0 ---1----1--- 0 -----1-0---- 0 ---1-1------ 0 -0---------- 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D --1-1- 1 --10-- 1 -0---- 1 --0--1 1 -1110- 0 -10--0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF \ -inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D --0--1-- 1 --011--- 1 --0---0- 1 -0------ 1 --1----1 1 -10-001- 0 -100-01- 0 -11----0 0 -.names RST.BLIF inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF SIZE_0_.PIN.BLIF \ -SIZE_1_.PIN.BLIF A0.PIN.BLIF inst_LDS_000_INT.D ---1100 1 --10--- 1 -0----- 1 -100--- 0 -1-1-1- 0 -1-10-- 0 -1-1--1 0 -.names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_PE.BLIF \ -AS_000.PIN.BLIF inst_BGACK_030_INTreg.D -1-1-- 1 -1--11 1 --0--- 1 --100- 0 --10-0 0 -01--- 0 +.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ +RST_DLY_2_.BLIF RST_DLY_0_.D +1-111 1 +110-- 1 +101-- 1 +-110- 0 +-00-- 0 +-11-0 0 +0---- 0 .names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ LDS_000.PIN.BLIF inst_AS_000_DMA.D @@ -570,6 +508,30 @@ RST_DLY_1_.BLIF RST_DLY_2_.BLIF inst_RESET_OUT.D -00--- 0 0----- 0 -0---0 0 +.names RST.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF inst_CLK_000_PE.BLIF \ +SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF inst_DS_000_ENABLE.BLIF SM_AMIGA_6_.BLIF \ +SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D +10--00-01-- 1 +10-10--01-- 1 +1-0---1--1- 1 +1---00-01-1 1 +1--10--01-1 1 +---0-1---0- 0 +---0-10---- 0 +--10-1----- 0 +-1-------00 0 +-1----0---0 0 +-11-------0 0 +--------00- 0 +-------1-0- 0 +----1----0- 0 +------0-0-- 0 +--1-----0-- 0 +------01--- 0 +--1----1--- 0 +----1-0---- 0 +--1-1------ 0 +0---------- 0 .names BG_030.BLIF RST.BLIF inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF \ inst_CLK_000_D0.BLIF BG_000DFFreg.BLIF BG_000DFFreg.D ----01 1 @@ -596,23 +558,23 @@ inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0--- 1 -1101 0 110-- 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_.BLIF inst_VPA_D.BLIF inst_CLK_000_PE.BLIF \ +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF inst_CLK_000_PE.BLIF \ inst_CLK_000_NE.BLIF inst_VMA_INTreg.D --0000-1- 1 -1----1-- 1 --1-0----- 1 --10------ 1 +-1---0--- 1 +-1--0---- 1 -1------0 1 0-------- 1 --1---1--- 1 --1--1---- 1 -1-11000-1 0 +-1-1----- 1 +-11------ 1 +1-00110-1 0 10-----0- 0 -10---1--- 0 -10--1---- 0 10-1----- 0 101------ 0 +10---1--- 0 +10--1---- 0 .names RST.BLIF inst_UDS_000_INT.BLIF SM_AMIGA_6_.BLIF A0.PIN.BLIF \ inst_UDS_000_INT.D -10- 1 @@ -628,6 +590,41 @@ inst_A0_DMA.BLIF UDS_000.PIN.BLIF inst_A0_DMA.D 11-0- 0 110-- 0 10--0 0 +.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ +inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D +-1-1- 1 +-10-- 1 +0---- 1 +-0--1 1 +1110- 0 +10--0 0 +.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF \ +inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D +-0--1-- 1 +-011--- 1 +-0---0- 1 +0------ 1 +-1----1 1 +10-001- 0 +100-01- 0 +11----0 0 +.names RST.BLIF inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF SIZE_0_.PIN.BLIF \ +SIZE_1_.PIN.BLIF A0.PIN.BLIF inst_LDS_000_INT.D +--1100 1 +-10--- 1 +0----- 1 +100--- 0 +1-1-1- 0 +1-10-- 0 +1-1--1 0 +.names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_PE.BLIF \ +AS_000.PIN.BLIF inst_BGACK_030_INTreg.D +1-1-- 1 +1--11 1 +-0--- 1 +-100- 0 +-10-0 0 +01--- 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D 0- 1 -1 1 @@ -637,7 +634,7 @@ inst_A0_DMA.BLIF UDS_000.PIN.BLIF inst_A0_DMA.D 1 0 .names SM_AMIGA_5_.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF N_210_i +SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF N_317_i -1010------ 1 ---------1- 1 --------1-- 1 @@ -663,12 +660,12 @@ SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF N_210_i .names inst_BGACK_030_INTreg.BLIF BGACK_030 1 1 0 0 -.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT +.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +0 0 +.names CLK_OUT_INTreg.BLIF CLK_EXP 1 1 0 0 -.names inst_CLK_OUT_EXP_INT.BLIF CLK_EXP -0 1 -1 0 .names FC_1_.BLIF BGACK_000.BLIF FPU_SENSE.BLIF A_19_.BLIF A_18_.BLIF \ A_17_.BLIF A_16_.BLIF FC_0_.BLIF AS_030.PIN.BLIF FPU_CS -------0- 1 @@ -686,12 +683,12 @@ A_17_.BLIF A_16_.BLIF FC_0_.BLIF AS_030.PIN.BLIF FPU_CS 0 0 .names AVEC 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF E -110 1 -001 1 +.names cpu_est_2_.BLIF cpu_est_3_.BLIF cpu_est_1_.BLIF E +010 1 +101 1 -00 0 -1-1 0 -01- 0 +0-1 0 +11- 0 .names inst_VMA_INTreg.BLIF VMA 1 1 0 0 @@ -741,12 +738,6 @@ inst_AS_030_D0.BLIF CIIN .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 -.names CLK_OSZI.BLIF SM_AMIGA_i_7_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_6_.C -1 1 -0 0 .names CLK_OSZI.BLIF SM_AMIGA_5_.C 1 1 0 0 @@ -765,12 +756,6 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF SM_AMIGA_0_.C 1 1 0 0 -.names CLK_OSZI.BLIF cpu_est_2_.C -1 1 -0 0 -.names CLK_OSZI.BLIF cpu_est_3_.C -1 1 -0 0 .names CLK_OSZI.BLIF IPL_030DFF_0_reg.C 1 1 0 0 @@ -789,28 +774,10 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF IPL_D0_2_.C 1 1 0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +.names CLK_OSZI.BLIF SM_AMIGA_i_7_.C 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_8_.C +.names CLK_OSZI.BLIF SM_AMIGA_6_.C 1 1 0 0 .names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D @@ -854,28 +821,10 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF cpu_est_1_.C 1 1 0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D +.names CLK_OSZI.BLIF cpu_est_2_.C 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_4_.C +.names CLK_OSZI.BLIF cpu_est_3_.C 1 1 0 0 .names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D @@ -939,7 +888,28 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF CLK_000_N_SYNC_4_.C 1 1 0 0 -.names CLK_OSZI.BLIF RST_DLY_0_.C +.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_5_.C +1 1 +0 0 +.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_6_.C +1 1 +0 0 +.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_7_.C +1 1 +0 0 +.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 .names CLK_OSZI.BLIF RST_DLY_1_.C @@ -951,19 +921,31 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C +.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D 1 1 0 0 -.names CLK_OSZI.BLIF inst_RW_000_DMA.C +.names CLK_OSZI.BLIF CLK_000_P_SYNC_1_.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_RW_000_INT.C +.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D 1 1 0 0 -.names CLK_OSZI.BLIF inst_LDS_000_INT.C +.names CLK_OSZI.BLIF CLK_000_P_SYNC_2_.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C +.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_P_SYNC_3_.C +1 1 +0 0 +.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_P_SYNC_4_.C +1 1 +0 0 +.names CLK_OSZI.BLIF RST_DLY_0_.C 1 1 0 0 .names CLK_OSZI.BLIF inst_AS_000_DMA.C @@ -999,12 +981,7 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF inst_RESET_OUT.C 1 1 0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D -10 1 -01 1 -00 0 -11 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE_25.C +.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C 1 1 0 0 .names CLK_OSZI.BLIF BG_000DFFreg.C @@ -1025,24 +1002,24 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF inst_A0_DMA.C 1 1 0 0 +.names CLK_OSZI.BLIF inst_RW_000_DMA.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_RW_000_INT.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_LDS_000_INT.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 .names CLK_OSZI.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_NE.C -1 1 -0 0 .names CLK_OSZI.BLIF inst_CLK_OUT_PRE_50.C 1 1 0 0 -.names inst_CLK_OUT_PRE_D.BLIF inst_CLK_OUT_INTreg.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_INTreg.C -1 1 -0 0 .names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D 1 1 0 0 @@ -1055,18 +1032,18 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF inst_CLK_000_NE_D0.C 1 1 0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_EXP_INT.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_EXP_INT.C -1 1 -0 0 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_D.D +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D 1 1 0 0 .names CLK_OSZI.BLIF inst_CLK_OUT_PRE_D.C 1 1 0 0 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_OUT_INTreg.C +1 1 +0 0 .names CLK_000.BLIF inst_CLK_000_D0.D 1 1 0 0 @@ -1079,6 +1056,12 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF inst_CLK_000_PE.C 1 1 0 0 +.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D +1 1 +0 0 +.names CLK_OSZI.BLIF inst_CLK_000_NE.C +1 1 +0 0 .names SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF SIZE_1_ 01 1 1- 0 @@ -1223,13 +1206,13 @@ UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_CLK_030_H.D.X2 11 1 0- 0 -0 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ inst_CLK_000_PE.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF \ BERR.PIN.BLIF SM_AMIGA_3_.D.X2 1-------1-10- 1 1----------10 1 -1000010--101- 1 +1001000--101- 1 1-----10-101- 1 0------------ 0 --------0--0- 0 @@ -1238,8 +1221,8 @@ BERR.PIN.BLIF SM_AMIGA_3_.D.X2 ----------111 0 -1----0----11 0 --1---0----11 0 ----1--0----11 0 +---0--0----11 0 ----1-0----11 0 ------00----11 0 +-----10----11 0 ------11---11 0 .end diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index 2bc3d13..97fff89 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 1.8.00.04.29.14 -// Design '68030_tk' created Sun Jan 24 16:20:54 2016 +// Design '68030_tk' created Mon Jan 25 07:24:19 2016 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index a9568d1..33b64eb 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,7 +2,7 @@ Copyright(C), 1992-2014, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Sun Jan 24 16:20:54 2016 +Design bus68030 created Mon Jan 25 07:24:19 2016 P-Terms Fan-in Fan-out Type Name (attributes) @@ -21,16 +21,17 @@ Design bus68030 created Sun Jan 24 16:20:54 2016 1 2 1 Pin LDS_000.OE 0 0 1 Pin BERR 1 9 1 Pin BERR.OE - 1 1 1 Pin CLK_EXP + 1 1 1 Pin CLK_DIV_OUT.D + 1 1 1 Pin CLK_DIV_OUT.C 1 9 1 Pin FPU_CS- 1 0 1 Pin AVEC 2 3 1 Pin E 0 0 1 Pin RESET 1 1 1 Pin RESET.OE 0 0 1 Pin AMIGA_ADDR_ENABLE - 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin SIZE_0_ 1 2 1 Pin SIZE_0_.OE + 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH 1 13 1 Pin CIIN @@ -51,8 +52,8 @@ Design bus68030 created Sun Jan 24 16:20:54 2016 1 1 1 Pin BG_000.C 3 5 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.C - 1 1 1 Pin CLK_DIV_OUT.D - 1 1 1 Pin CLK_DIV_OUT.C + 1 1 1 Pin CLK_EXP.D + 1 1 1 Pin CLK_EXP.C 1 1 1 Pin DSACK1.OE 4 9 1 Pin DSACK1.D- 1 1 1 Pin DSACK1.C @@ -61,14 +62,15 @@ Design bus68030 created Sun Jan 24 16:20:54 2016 1 2 1 Pin RW.OE 2 5 1 Pin RW.D- 1 1 1 Pin RW.C - 2 2 1 Node cpu_est_0_.D - 1 1 1 Node cpu_est_0_.C - 3 4 1 Node cpu_est_1_.D - 1 1 1 Node cpu_est_1_.C + 4 11 1 Node N_317_i- 4 4 1 Node cpu_est_2_.D 1 1 1 Node cpu_est_2_.C 3 5 1 Node cpu_est_3_.D 1 1 1 Node cpu_est_3_.C + 2 2 1 Node cpu_est_0_.D + 1 1 1 Node cpu_est_0_.C + 3 4 1 Node cpu_est_1_.D + 1 1 1 Node cpu_est_1_.C 2 5 1 Node inst_AS_000_INT.D- 1 1 1 Node inst_AS_000_INT.C 3 6 1 Node SM_AMIGA_5_.D @@ -109,17 +111,12 @@ Design bus68030 created Sun Jan 24 16:20:54 2016 1 1 1 Node inst_RESET_OUT.C 1 1 1 Node inst_CLK_OUT_PRE_50.D 1 1 1 Node inst_CLK_OUT_PRE_50.C - 4 11 1 Node N_210_i- - 2 2 1 Node inst_CLK_OUT_PRE_25.D - 1 1 1 Node inst_CLK_OUT_PRE_25.C 1 1 1 Node inst_CLK_000_D1.D 1 1 1 Node inst_CLK_000_D1.C 1 1 1 Node inst_CLK_000_D0.D 1 1 1 Node inst_CLK_000_D0.C 1 1 1 Node inst_CLK_000_PE.D 1 1 1 Node inst_CLK_000_PE.C - 1 1 1 Node inst_CLK_OUT_EXP_INT.D - 1 1 1 Node inst_CLK_OUT_EXP_INT.C 1 1 1 Node CLK_000_P_SYNC_9_.D 1 1 1 Node CLK_000_P_SYNC_9_.C 1 1 1 Node inst_CLK_000_NE.D @@ -202,9 +199,9 @@ Design bus68030 created Sun Jan 24 16:20:54 2016 1 1 1 Node SM_AMIGA_i_7_.C 2 14 1 Node CIIN_0 ========= - 327 P-Term Total: 327 + 323 P-Term Total: 323 Total Pins: 61 - Total Nodes: 71 + Total Nodes: 69 Average P-Term/Output: 2 @@ -238,14 +235,16 @@ BERR = (0); BERR.OE = (FC_1_ & BGACK_000 & FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); -CLK_EXP = (!inst_CLK_OUT_EXP_INT.Q); +CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); + +CLK_DIV_OUT.C = (CLK_OSZI); !FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); AVEC = (1); -E = (!cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q - # cpu_est_1_.Q & cpu_est_2_.Q & !cpu_est_3_.Q); +E = (cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_1_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_1_.Q); RESET = (0); @@ -253,13 +252,13 @@ RESET.OE = (!inst_RESET_OUT.Q); AMIGA_ADDR_ENABLE = (0); -AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN - # !BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q & !AS_000.PIN & RW_000.PIN); - SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); +AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN + # !BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q & !AS_000.PIN & RW_000.PIN); + !AMIGA_BUS_ENABLE_LOW = (!BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q); AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q @@ -335,9 +334,9 @@ BGACK_030.D = (!RST BGACK_030.C = (CLK_OSZI); -CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); +CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); -CLK_DIV_OUT.C = (CLK_OSZI); +CLK_EXP.C = (CLK_OSZI); DSACK1.OE = (inst_nEXP_SPACE_D0reg.Q); @@ -349,8 +348,8 @@ DSACK1.OE = (inst_nEXP_SPACE_D0reg.Q); DSACK1.C = (CLK_OSZI); VMA.T = (!RST & !VMA.Q - # !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & inst_CLK_000_PE.Q - # RST & VMA.Q & cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q); + # !VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_PE.Q + # RST & VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q); VMA.C = (CLK_OSZI); @@ -361,6 +360,24 @@ RW.OE = (!BGACK_030.Q & inst_RESET_OUT.Q); RW.C = (CLK_OSZI); +!N_317_i = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); + +cpu_est_2_.D = (cpu_est_2_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & !cpu_est_1_.Q + # cpu_est_2_.Q & !inst_CLK_000_NE_D0.Q + # !cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); + +cpu_est_2_.C = (CLK_OSZI); + +cpu_est_3_.D = (cpu_est_3_.Q & !inst_CLK_000_NE_D0.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); + +cpu_est_3_.C = (CLK_OSZI); + cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); @@ -368,23 +385,10 @@ cpu_est_0_.C = (CLK_OSZI); cpu_est_1_.D = (!cpu_est_0_.Q & cpu_est_1_.Q # cpu_est_1_.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_3_.Q & inst_CLK_000_NE_D0.Q); + # !cpu_est_3_.Q & cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.D = (!cpu_est_0_.Q & cpu_est_2_.Q - # !cpu_est_1_.Q & cpu_est_2_.Q - # cpu_est_2_.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_2_.C = (CLK_OSZI); - -cpu_est_3_.D = (!cpu_est_0_.Q & cpu_est_3_.Q - # cpu_est_3_.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_3_.C = (CLK_OSZI); - !inst_AS_000_INT.D = (RST & SM_AMIGA_5_.Q # RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q & BERR.PIN); @@ -483,7 +487,7 @@ inst_LDS_000_INT.D = (!RST inst_LDS_000_INT.C = (CLK_OSZI); -inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE_25.Q); +inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE_50.Q); inst_CLK_OUT_PRE_D.C = (CLK_OSZI); @@ -500,16 +504,6 @@ inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q); inst_CLK_OUT_PRE_50.C = (CLK_OSZI); -!N_210_i = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); - -inst_CLK_OUT_PRE_25.D = (!inst_CLK_OUT_PRE_50.Q & inst_CLK_OUT_PRE_25.Q - # inst_CLK_OUT_PRE_50.Q & !inst_CLK_OUT_PRE_25.Q); - -inst_CLK_OUT_PRE_25.C = (CLK_OSZI); - inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); inst_CLK_000_D1.C = (CLK_OSZI); @@ -522,10 +516,6 @@ inst_CLK_000_PE.D = (CLK_000_P_SYNC_9_.Q); inst_CLK_000_PE.C = (CLK_OSZI); -inst_CLK_OUT_EXP_INT.D = (inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_EXP_INT.C = (CLK_OSZI); - CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); CLK_000_P_SYNC_9_.C = (CLK_OSZI); @@ -703,31 +693,31 @@ SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q # SM_AMIGA_3_.Q & !BERR.PIN # RST & inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q # inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q - # !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q); + # !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q); SM_AMIGA_3_.C = (CLK_OSZI); SM_AMIGA_2_.D = (RST & SM_AMIGA_3_.Q & SM_AMIGA_2_.Q # RST & !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & BERR.PIN # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & SM_AMIGA_3_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & SM_AMIGA_3_.Q); + # RST & !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & SM_AMIGA_3_.Q); SM_AMIGA_2_.C = (CLK_OSZI); -SM_AMIGA_i_7_.D = (RST & N_210_i & !inst_CLK_000_PE.Q & BERR.PIN - # RST & N_210_i & !SM_AMIGA_0_.Q & BERR.PIN - # RST & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_3_.Q - # RST & !SM_AMIGA_5_.Q & N_210_i & inst_CLK_000_PE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & N_210_i & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !SM_AMIGA_5_.Q & N_210_i & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q); +SM_AMIGA_i_7_.D = (RST & N_317_i & !inst_CLK_000_PE.Q & BERR.PIN + # RST & N_317_i & !SM_AMIGA_0_.Q & BERR.PIN + # RST & N_317_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_3_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q + # RST & N_317_i & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & N_317_i & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # RST & N_317_i & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti index c4c4638..7ca0563 100644 --- a/Logic/68030_tk.fti +++ b/Logic/68030_tk.fti @@ -34,36 +34,36 @@ DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} DATA LOCATION BG_000:D_1_29 // IO {RN_BG_000} DATA LOCATION BG_030:C_*_21 // INP DATA LOCATION CIIN:E_12_47 // OUT -DATA LOCATION CIIN_0:E_9 // NOD +DATA LOCATION CIIN_0:E_5 // NOD DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_N_SYNC_0_:G_11 // NOD +DATA LOCATION CLK_000_N_SYNC_0_:E_9 // NOD DATA LOCATION CLK_000_N_SYNC_10_:H_2 // NOD DATA LOCATION CLK_000_N_SYNC_11_:H_6 // NOD -DATA LOCATION CLK_000_N_SYNC_1_:F_2 // NOD -DATA LOCATION CLK_000_N_SYNC_2_:F_13 // NOD -DATA LOCATION CLK_000_N_SYNC_3_:D_15 // NOD -DATA LOCATION CLK_000_N_SYNC_4_:D_11 // NOD -DATA LOCATION CLK_000_N_SYNC_5_:A_14 // NOD -DATA LOCATION CLK_000_N_SYNC_6_:A_10 // NOD -DATA LOCATION CLK_000_N_SYNC_7_:G_7 // NOD -DATA LOCATION CLK_000_N_SYNC_8_:B_2 // NOD -DATA LOCATION CLK_000_N_SYNC_9_:D_7 // NOD -DATA LOCATION CLK_000_P_SYNC_0_:B_3 // NOD -DATA LOCATION CLK_000_P_SYNC_1_:B_14 // NOD -DATA LOCATION CLK_000_P_SYNC_2_:F_14 // NOD -DATA LOCATION CLK_000_P_SYNC_3_:F_10 // NOD -DATA LOCATION CLK_000_P_SYNC_4_:E_13 // NOD -DATA LOCATION CLK_000_P_SYNC_5_:B_10 // NOD -DATA LOCATION CLK_000_P_SYNC_6_:F_6 // NOD -DATA LOCATION CLK_000_P_SYNC_7_:B_6 // NOD -DATA LOCATION CLK_000_P_SYNC_8_:G_15 // NOD -DATA LOCATION CLK_000_P_SYNC_9_:F_3 // NOD +DATA LOCATION CLK_000_N_SYNC_1_:A_14 // NOD +DATA LOCATION CLK_000_N_SYNC_2_:B_14 // NOD +DATA LOCATION CLK_000_N_SYNC_3_:B_10 // NOD +DATA LOCATION CLK_000_N_SYNC_4_:B_6 // NOD +DATA LOCATION CLK_000_N_SYNC_5_:D_11 // NOD +DATA LOCATION CLK_000_N_SYNC_6_:G_6 // NOD +DATA LOCATION CLK_000_N_SYNC_7_:F_3 // NOD +DATA LOCATION CLK_000_N_SYNC_8_:A_10 // NOD +DATA LOCATION CLK_000_N_SYNC_9_:A_6 // NOD +DATA LOCATION CLK_000_P_SYNC_0_:E_2 // NOD +DATA LOCATION CLK_000_P_SYNC_1_:B_7 // NOD +DATA LOCATION CLK_000_P_SYNC_2_:G_7 // NOD +DATA LOCATION CLK_000_P_SYNC_3_:E_13 // NOD +DATA LOCATION CLK_000_P_SYNC_4_:G_3 // NOD +DATA LOCATION CLK_000_P_SYNC_5_:G_14 // NOD +DATA LOCATION CLK_000_P_SYNC_6_:A_3 // NOD +DATA LOCATION CLK_000_P_SYNC_7_:B_3 // NOD +DATA LOCATION CLK_000_P_SYNC_8_:G_10 // NOD +DATA LOCATION CLK_000_P_SYNC_9_:G_15 // NOD DATA LOCATION CLK_030:*_*_64 // INP DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT DATA LOCATION CLK_EXP:B_0_10 // OUT DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CYCLE_DMA_0_:A_6 // NOD -DATA LOCATION CYCLE_DMA_1_:A_2 // NOD +DATA LOCATION CYCLE_DMA_0_:B_5 // NOD +DATA LOCATION CYCLE_DMA_1_:C_12 // NOD DATA LOCATION DSACK1:H_9_81 // IO {RN_DSACK1} DATA LOCATION DS_030:A_0_98 // OUT DATA LOCATION DTACK:D_*_30 // INP @@ -78,11 +78,11 @@ DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} DATA LOCATION IPL_0_:G_*_67 // INP DATA LOCATION IPL_1_:F_*_56 // INP DATA LOCATION IPL_2_:G_*_68 // INP -DATA LOCATION IPL_D0_0_:B_15 // NOD -DATA LOCATION IPL_D0_1_:B_11 // NOD -DATA LOCATION IPL_D0_2_:B_7 // NOD +DATA LOCATION IPL_D0_0_:G_11 // NOD +DATA LOCATION IPL_D0_1_:D_15 // NOD +DATA LOCATION IPL_D0_2_:B_11 // NOD DATA LOCATION LDS_000:D_12_31 // IO -DATA LOCATION N_210_i:C_9 // NOD +DATA LOCATION N_317_i:F_14 // NOD DATA LOCATION RESET:B_1_3 // OUT DATA LOCATION RN_A0:G_8 // NOD {A0} DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} @@ -95,55 +95,53 @@ DATA LOCATION RN_RW:G_0 // NOD {RW} DATA LOCATION RN_RW_000:H_0 // NOD {RW_000} DATA LOCATION RN_VMA:D_0 // NOD {VMA} DATA LOCATION RST:*_*_86 // INP -DATA LOCATION RST_DLY_0_:G_14 // NOD -DATA LOCATION RST_DLY_1_:G_10 // NOD -DATA LOCATION RST_DLY_2_:G_3 // NOD +DATA LOCATION RST_DLY_0_:D_3 // NOD +DATA LOCATION RST_DLY_1_:D_14 // NOD +DATA LOCATION RST_DLY_2_:D_7 // NOD DATA LOCATION RW:G_0_71 // IO {RN_RW} DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} DATA LOCATION SIZE_0_:G_12_70 // IO DATA LOCATION SIZE_1_:H_12_79 // IO -DATA LOCATION SIZE_DMA_0_:G_2 // NOD -DATA LOCATION SIZE_DMA_1_:G_13 // NOD +DATA LOCATION SIZE_DMA_0_:G_13 // NOD +DATA LOCATION SIZE_DMA_1_:G_9 // NOD DATA LOCATION SM_AMIGA_0_:F_1 // NOD -DATA LOCATION SM_AMIGA_1_:A_8 // NOD -DATA LOCATION SM_AMIGA_2_:F_12 // NOD -DATA LOCATION SM_AMIGA_3_:F_5 // NOD +DATA LOCATION SM_AMIGA_1_:F_5 // NOD +DATA LOCATION SM_AMIGA_2_:F_10 // NOD +DATA LOCATION SM_AMIGA_3_:F_6 // NOD DATA LOCATION SM_AMIGA_4_:F_9 // NOD -DATA LOCATION SM_AMIGA_5_:F_4 // NOD -DATA LOCATION SM_AMIGA_6_:C_4 // NOD -DATA LOCATION SM_AMIGA_i_7_:F_8 // NOD +DATA LOCATION SM_AMIGA_5_:F_8 // NOD +DATA LOCATION SM_AMIGA_6_:F_4 // NOD +DATA LOCATION SM_AMIGA_i_7_:F_0 // NOD DATA LOCATION UDS_000:D_8_32 // IO DATA LOCATION VMA:D_0_35 // IO {RN_VMA} DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:D_10 // NOD -DATA LOCATION cpu_est_1_:D_6 // NOD +DATA LOCATION cpu_est_0_:D_6 // NOD +DATA LOCATION cpu_est_1_:D_2 // NOD DATA LOCATION cpu_est_2_:D_13 // NOD -DATA LOCATION cpu_est_3_:D_2 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:B_5 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:B_9 // NOD -DATA LOCATION inst_AS_000_DMA:A_12 // NOD -DATA LOCATION inst_AS_000_INT:B_13 // NOD -DATA LOCATION inst_AS_030_000_SYNC:C_5 // NOD -DATA LOCATION inst_AS_030_D0:H_13 // NOD -DATA LOCATION inst_BGACK_030_INT_D:E_8 // NOD -DATA LOCATION inst_CLK_000_D0:D_9 // NOD -DATA LOCATION inst_CLK_000_D1:C_8 // NOD -DATA LOCATION inst_CLK_000_NE:G_9 // NOD -DATA LOCATION inst_CLK_000_NE_D0:D_14 // NOD -DATA LOCATION inst_CLK_000_PE:F_0 // NOD -DATA LOCATION inst_CLK_030_H:A_13 // NOD -DATA LOCATION inst_CLK_OUT_EXP_INT:E_2 // NOD -DATA LOCATION inst_CLK_OUT_PRE_25:G_6 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50:E_5 // NOD -DATA LOCATION inst_CLK_OUT_PRE_D:D_3 // NOD -DATA LOCATION inst_DS_000_DMA:A_9 // NOD -DATA LOCATION inst_DS_000_ENABLE:C_12 // NOD -DATA LOCATION inst_DTACK_D0:A_3 // NOD -DATA LOCATION inst_LDS_000_INT:A_1 // NOD -DATA LOCATION inst_RESET_OUT:G_5 // NOD -DATA LOCATION inst_UDS_000_INT:A_5 // NOD -DATA LOCATION inst_VPA_D:C_1 // NOD -DATA LOCATION inst_nEXP_SPACE_D0reg:H_5 // NOD +DATA LOCATION cpu_est_3_:F_12 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:B_13 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:B_2 // NOD +DATA LOCATION inst_AS_000_DMA:A_1 // NOD +DATA LOCATION inst_AS_000_INT:C_1 // NOD +DATA LOCATION inst_AS_030_000_SYNC:C_4 // NOD +DATA LOCATION inst_AS_030_D0:H_3 // NOD +DATA LOCATION inst_BGACK_030_INT_D:H_13 // NOD +DATA LOCATION inst_CLK_000_D0:B_9 // NOD +DATA LOCATION inst_CLK_000_D1:E_8 // NOD +DATA LOCATION inst_CLK_000_NE:G_2 // NOD +DATA LOCATION inst_CLK_000_NE_D0:D_10 // NOD +DATA LOCATION inst_CLK_000_PE:G_5 // NOD +DATA LOCATION inst_CLK_030_H:A_2 // NOD +DATA LOCATION inst_CLK_OUT_PRE_50:F_13 // NOD +DATA LOCATION inst_CLK_OUT_PRE_D:A_12 // NOD +DATA LOCATION inst_DS_000_DMA:A_13 // NOD +DATA LOCATION inst_DS_000_ENABLE:C_8 // NOD +DATA LOCATION inst_DTACK_D0:B_15 // NOD +DATA LOCATION inst_LDS_000_INT:A_5 // NOD +DATA LOCATION inst_RESET_OUT:D_9 // NOD +DATA LOCATION inst_UDS_000_INT:A_9 // NOD +DATA LOCATION inst_VPA_D:F_2 // NOD +DATA LOCATION inst_nEXP_SPACE_D0reg:A_8 // NOD DATA LOCATION nEXP_SPACE:*_*_14 // INP DATA IO_DIR A0:BI DATA IO_DIR A1:IN @@ -213,18 +211,18 @@ DATA PW_LEVEL A_31_:1 DATA SLEW A_31_:1 DATA PW_LEVEL IPL_2_:1 DATA SLEW IPL_2_:1 -DATA PW_LEVEL FC_1_:1 -DATA SLEW FC_1_:1 DATA PW_LEVEL IPL_1_:1 DATA SLEW IPL_1_:1 -DATA PW_LEVEL AS_030:1 -DATA SLEW AS_030:1 +DATA PW_LEVEL FC_1_:1 +DATA SLEW FC_1_:1 DATA PW_LEVEL IPL_0_:1 DATA SLEW IPL_0_:1 -DATA PW_LEVEL AS_000:1 -DATA SLEW AS_000:1 +DATA PW_LEVEL AS_030:1 +DATA SLEW AS_030:1 DATA PW_LEVEL FC_0_:1 DATA SLEW FC_0_:1 +DATA PW_LEVEL AS_000:1 +DATA SLEW AS_000:1 DATA PW_LEVEL DS_030:1 DATA SLEW DS_030:1 DATA PW_LEVEL UDS_000:1 @@ -243,8 +241,8 @@ DATA SLEW BGACK_000:1 DATA SLEW CLK_030:1 DATA SLEW CLK_000:1 DATA SLEW CLK_OSZI:1 -DATA PW_LEVEL CLK_EXP:1 -DATA SLEW CLK_EXP:0 +DATA PW_LEVEL CLK_DIV_OUT:1 +DATA SLEW CLK_DIV_OUT:0 DATA PW_LEVEL FPU_CS:1 DATA SLEW FPU_CS:0 DATA PW_LEVEL FPU_SENSE:1 @@ -261,22 +259,22 @@ DATA PW_LEVEL RESET:1 DATA SLEW RESET:1 DATA PW_LEVEL AMIGA_ADDR_ENABLE:1 DATA SLEW AMIGA_ADDR_ENABLE:0 -DATA PW_LEVEL AMIGA_BUS_DATA_DIR:1 -DATA SLEW AMIGA_BUS_DATA_DIR:0 DATA PW_LEVEL SIZE_0_:1 DATA SLEW SIZE_0_:1 -DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:1 -DATA SLEW AMIGA_BUS_ENABLE_LOW:0 +DATA PW_LEVEL AMIGA_BUS_DATA_DIR:1 +DATA SLEW AMIGA_BUS_DATA_DIR:0 DATA PW_LEVEL A_30_:1 DATA SLEW A_30_:1 -DATA PW_LEVEL AMIGA_BUS_ENABLE_HIGH:1 -DATA SLEW AMIGA_BUS_ENABLE_HIGH:0 +DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:1 +DATA SLEW AMIGA_BUS_ENABLE_LOW:0 DATA PW_LEVEL A_29_:1 DATA SLEW A_29_:1 -DATA PW_LEVEL CIIN:1 -DATA SLEW CIIN:1 +DATA PW_LEVEL AMIGA_BUS_ENABLE_HIGH:1 +DATA SLEW AMIGA_BUS_ENABLE_HIGH:0 DATA PW_LEVEL A_28_:1 DATA SLEW A_28_:1 +DATA PW_LEVEL CIIN:1 +DATA SLEW CIIN:1 DATA PW_LEVEL A_27_:1 DATA SLEW A_27_:1 DATA PW_LEVEL A_26_:1 @@ -315,22 +313,24 @@ DATA PW_LEVEL BG_000:1 DATA SLEW BG_000:1 DATA PW_LEVEL BGACK_030:1 DATA SLEW BGACK_030:1 -DATA PW_LEVEL CLK_DIV_OUT:1 -DATA SLEW CLK_DIV_OUT:0 +DATA PW_LEVEL CLK_EXP:1 +DATA SLEW CLK_EXP:0 DATA PW_LEVEL DSACK1:1 DATA SLEW DSACK1:1 DATA PW_LEVEL VMA:1 DATA SLEW VMA:1 DATA PW_LEVEL RW:1 DATA SLEW RW:1 -DATA PW_LEVEL cpu_est_0_:1 -DATA SLEW cpu_est_0_:1 -DATA PW_LEVEL cpu_est_1_:1 -DATA SLEW cpu_est_1_:1 +DATA PW_LEVEL N_317_i:1 +DATA SLEW N_317_i:1 DATA PW_LEVEL cpu_est_2_:1 DATA SLEW cpu_est_2_:1 DATA PW_LEVEL cpu_est_3_:1 DATA SLEW cpu_est_3_:1 +DATA PW_LEVEL cpu_est_0_:1 +DATA SLEW cpu_est_0_:1 +DATA PW_LEVEL cpu_est_1_:1 +DATA SLEW cpu_est_1_:1 DATA PW_LEVEL inst_AS_000_INT:1 DATA SLEW inst_AS_000_INT:1 DATA PW_LEVEL SM_AMIGA_5_:1 @@ -371,18 +371,12 @@ DATA PW_LEVEL inst_RESET_OUT:1 DATA SLEW inst_RESET_OUT:1 DATA PW_LEVEL inst_CLK_OUT_PRE_50:1 DATA SLEW inst_CLK_OUT_PRE_50:1 -DATA PW_LEVEL N_210_i:1 -DATA SLEW N_210_i:1 -DATA PW_LEVEL inst_CLK_OUT_PRE_25:1 -DATA SLEW inst_CLK_OUT_PRE_25:1 DATA PW_LEVEL inst_CLK_000_D1:1 DATA SLEW inst_CLK_000_D1:1 DATA PW_LEVEL inst_CLK_000_D0:1 DATA SLEW inst_CLK_000_D0:1 DATA PW_LEVEL inst_CLK_000_PE:1 DATA SLEW inst_CLK_000_PE:1 -DATA PW_LEVEL inst_CLK_OUT_EXP_INT:1 -DATA SLEW inst_CLK_OUT_EXP_INT:1 DATA PW_LEVEL CLK_000_P_SYNC_9_:1 DATA SLEW CLK_000_P_SYNC_9_:1 DATA PW_LEVEL inst_CLK_000_NE:1 diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp index 2c8100d..8b23778 100644 --- a/Logic/68030_tk.grp +++ b/Logic/68030_tk.grp @@ -1,27 +1,28 @@ GROUP MACH_SEG_A inst_DS_000_DMA inst_CLK_030_H inst_AS_000_DMA inst_LDS_000_INT - SM_AMIGA_1_ CYCLE_DMA_1_ CYCLE_DMA_0_ inst_UDS_000_INT inst_DTACK_D0 - CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ DS_030 AVEC -GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ - RN_IPL_030_2_ inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AMIGA_BUS_ENABLE_DMA_HIGH - CLK_000_P_SYNC_0_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_P_SYNC_1_ - CLK_000_P_SYNC_5_ CLK_000_P_SYNC_7_ CLK_000_N_SYNC_8_ CLK_EXP RESET + inst_UDS_000_INT inst_nEXP_SPACE_D0reg CLK_000_P_SYNC_6_ CLK_000_N_SYNC_1_ + CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ inst_CLK_OUT_PRE_D DS_030 AVEC -GROUP MACH_SEG_C inst_AS_030_000_SYNC inst_DS_000_ENABLE SM_AMIGA_6_ inst_VPA_D - inst_CLK_000_D1 AMIGA_BUS_ENABLE_LOW N_210_i -GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 cpu_est_3_ cpu_est_1_ cpu_est_2_ - cpu_est_0_ inst_CLK_000_D0 CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_9_ - inst_CLK_OUT_PRE_D inst_CLK_000_NE_D0 LDS_000 UDS_000 AMIGA_BUS_ENABLE_HIGH +GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ + RN_IPL_030_2_ CLK_EXP inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AMIGA_BUS_ENABLE_DMA_HIGH + CYCLE_DMA_0_ inst_DTACK_D0 IPL_D0_2_ inst_CLK_000_D0 CLK_000_P_SYNC_1_ + CLK_000_P_SYNC_7_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ + RESET +GROUP MACH_SEG_C inst_AS_030_000_SYNC inst_DS_000_ENABLE CYCLE_DMA_1_ inst_AS_000_INT + AMIGA_BUS_ENABLE_LOW +GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 inst_RESET_OUT RST_DLY_0_ + RST_DLY_1_ RST_DLY_2_ cpu_est_2_ cpu_est_1_ cpu_est_0_ IPL_D0_1_ + CLK_000_N_SYNC_5_ inst_CLK_000_NE_D0 LDS_000 UDS_000 AMIGA_BUS_ENABLE_HIGH AMIGA_ADDR_ENABLE -GROUP MACH_SEG_E inst_BGACK_030_INT_D CLK_000_P_SYNC_4_ inst_CLK_OUT_PRE_50 - inst_CLK_OUT_EXP_INT CIIN BERR AMIGA_BUS_DATA_DIR AS_000 CIIN_0 -GROUP MACH_SEG_F SM_AMIGA_i_7_ SM_AMIGA_2_ SM_AMIGA_3_ SM_AMIGA_0_ SM_AMIGA_4_ - SM_AMIGA_5_ inst_CLK_000_PE CLK_000_P_SYNC_9_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ - CLK_000_P_SYNC_6_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ -GROUP MACH_SEG_G A0 RN_A0 RW RN_RW CLK_DIV_OUT inst_RESET_OUT SIZE_DMA_0_ - SIZE_DMA_1_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_OUT_PRE_25 - CLK_000_N_SYNC_0_ inst_CLK_000_NE CLK_000_P_SYNC_8_ CLK_000_N_SYNC_7_ +GROUP MACH_SEG_E CLK_000_P_SYNC_0_ CLK_000_N_SYNC_0_ CLK_000_P_SYNC_3_ + inst_CLK_000_D1 CIIN BERR AMIGA_BUS_DATA_DIR AS_000 CIIN_0 +GROUP MACH_SEG_F SM_AMIGA_i_7_ SM_AMIGA_2_ SM_AMIGA_3_ SM_AMIGA_6_ SM_AMIGA_1_ + SM_AMIGA_0_ SM_AMIGA_4_ SM_AMIGA_5_ cpu_est_3_ inst_VPA_D CLK_000_N_SYNC_7_ + inst_CLK_OUT_PRE_50 N_317_i +GROUP MACH_SEG_G A0 RN_A0 RW RN_RW CLK_DIV_OUT SIZE_DMA_0_ SIZE_DMA_1_ + IPL_D0_0_ inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_P_SYNC_2_ + CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_6_ SIZE_0_ E GROUP MACH_SEG_H DSACK1 RN_DSACK1 RW_000 RN_RW_000 BGACK_030 RN_BGACK_030 - inst_nEXP_SPACE_D0reg inst_AS_030_D0 CLK_000_N_SYNC_11_ CLK_000_N_SYNC_10_ + inst_AS_030_D0 inst_BGACK_030_INT_D CLK_000_N_SYNC_11_ CLK_000_N_SYNC_10_ FPU_CS AS_030 SIZE_1_ \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index 06941ba..f85c583 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1,2 +1 @@ -44:;035:/ -i, \ No newline at end of file +9420425ah[j@bK \ No newline at end of file diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index 92654bb..bc653e6 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Sun Jan 24 16:20:59 2016 +DATE: Mon Jan 25 07:24:24 2016 ABEL mach447a * @@ -31,84 +31,83 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS SIZE_1_:79 A_31_:4 IPL_2_:68 FC_1_:58 IPL_1_:56* -NOTE PINS AS_030:82 IPL_0_:67 AS_000:42 FC_0_:57 DS_030:98* +NOTE PINS SIZE_1_:79 A_31_:4 IPL_2_:68 IPL_1_:56 FC_1_:58* +NOTE PINS IPL_0_:67 AS_030:82 FC_0_:57 AS_000:42 DS_030:98* NOTE PINS UDS_000:32 LDS_000:31 A1:60 nEXP_SPACE:14 BERR:41* NOTE PINS BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11 CLK_OSZI:61* -NOTE PINS CLK_EXP:10 FPU_CS:78 FPU_SENSE:91 DTACK:30 AVEC:92* -NOTE PINS E:66 VPA:36 RST:86 RESET:3 AMIGA_ADDR_ENABLE:33* -NOTE PINS AMIGA_BUS_DATA_DIR:48 SIZE_0_:70 AMIGA_BUS_ENABLE_LOW:20* -NOTE PINS A_30_:5 AMIGA_BUS_ENABLE_HIGH:34 A_29_:6 CIIN:47* -NOTE PINS A_28_:15 A_27_:16 A_26_:17 A_25_:18 A_24_:19 A_23_:85* -NOTE PINS A_22_:84 A_21_:94 A_20_:93 A_19_:97 A_18_:95 A_17_:59* -NOTE PINS A_16_:96 IPL_030_2_:9 IPL_030_1_:7 IPL_030_0_:8* -NOTE PINS RW_000:80 A0:69 BG_000:29 BGACK_030:83 CLK_DIV_OUT:65* -NOTE PINS DSACK1:81 VMA:35 RW:71 * +NOTE PINS CLK_DIV_OUT:65 FPU_CS:78 FPU_SENSE:91 DTACK:30* +NOTE PINS AVEC:92 E:66 VPA:36 RST:86 RESET:3 AMIGA_ADDR_ENABLE:33* +NOTE PINS SIZE_0_:70 AMIGA_BUS_DATA_DIR:48 A_30_:5 AMIGA_BUS_ENABLE_LOW:20* +NOTE PINS A_29_:6 AMIGA_BUS_ENABLE_HIGH:34 A_28_:15 CIIN:47* +NOTE PINS A_27_:16 A_26_:17 A_25_:18 A_24_:19 A_23_:85 A_22_:84* +NOTE PINS A_21_:94 A_20_:93 A_19_:97 A_18_:95 A_17_:59 A_16_:96* +NOTE PINS IPL_030_2_:9 IPL_030_1_:7 IPL_030_0_:8 RW_000:80* +NOTE PINS A0:69 BG_000:29 BGACK_030:83 CLK_EXP:10 DSACK1:81* +NOTE PINS VMA:35 RW:71 * NOTE Table of node names and numbers* NOTE NODES RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 RN_UDS_000:185 * NOTE NODES RN_LDS_000:191 RN_BERR:197 RN_SIZE_0_:263 RN_IPL_030_2_:131 * NOTE NODES RN_IPL_030_1_:143 RN_IPL_030_0_:137 RN_RW_000:269 * NOTE NODES RN_A0:257 RN_BG_000:175 RN_BGACK_030:275 RN_DSACK1:283 * -NOTE NODES RN_VMA:173 RN_RW:245 cpu_est_0_:188 cpu_est_1_:182 * -NOTE NODES cpu_est_2_:193 cpu_est_3_:176 inst_AS_000_INT:145 * -NOTE NODES SM_AMIGA_5_:227 inst_AMIGA_BUS_ENABLE_DMA_LOW:139 * -NOTE NODES inst_AS_030_D0:289 inst_nEXP_SPACE_D0reg:277 * -NOTE NODES inst_AS_030_000_SYNC:157 inst_BGACK_030_INT_D:209 * -NOTE NODES inst_AS_000_DMA:119 inst_DS_000_DMA:115 CYCLE_DMA_0_:110 * -NOTE NODES CYCLE_DMA_1_:104 SIZE_DMA_0_:248 SIZE_DMA_1_:265 * -NOTE NODES inst_VPA_D:151 inst_UDS_000_INT:109 inst_LDS_000_INT:103 * -NOTE NODES inst_CLK_OUT_PRE_D:178 inst_DTACK_D0:106 inst_RESET_OUT:253 * -NOTE NODES inst_CLK_OUT_PRE_50:205 N_210_i:163 inst_CLK_OUT_PRE_25:254 * -NOTE NODES inst_CLK_000_D1:161 inst_CLK_000_D0:187 inst_CLK_000_PE:221 * -NOTE NODES inst_CLK_OUT_EXP_INT:200 CLK_000_P_SYNC_9_:226 * -NOTE NODES inst_CLK_000_NE:259 CLK_000_N_SYNC_11_:278 IPL_D0_0_:148 * -NOTE NODES IPL_D0_1_:142 IPL_D0_2_:136 inst_CLK_000_NE_D0:194 * -NOTE NODES SM_AMIGA_0_:223 inst_AMIGA_BUS_ENABLE_DMA_HIGH:133 * -NOTE NODES SM_AMIGA_4_:235 inst_DS_000_ENABLE:167 RST_DLY_0_:266 * -NOTE NODES RST_DLY_1_:260 RST_DLY_2_:250 CLK_000_P_SYNC_0_:130 * -NOTE NODES CLK_000_P_SYNC_1_:146 CLK_000_P_SYNC_2_:242 CLK_000_P_SYNC_3_:236 * -NOTE NODES CLK_000_P_SYNC_4_:217 CLK_000_P_SYNC_5_:140 CLK_000_P_SYNC_6_:230 * -NOTE NODES CLK_000_P_SYNC_7_:134 CLK_000_P_SYNC_8_:268 CLK_000_N_SYNC_0_:262 * -NOTE NODES CLK_000_N_SYNC_1_:224 CLK_000_N_SYNC_2_:241 CLK_000_N_SYNC_3_:196 * -NOTE NODES CLK_000_N_SYNC_4_:190 CLK_000_N_SYNC_5_:122 CLK_000_N_SYNC_6_:116 * -NOTE NODES CLK_000_N_SYNC_7_:256 CLK_000_N_SYNC_8_:128 CLK_000_N_SYNC_9_:184 * -NOTE NODES CLK_000_N_SYNC_10_:272 SM_AMIGA_6_:155 inst_CLK_030_H:121 * -NOTE NODES SM_AMIGA_1_:113 SM_AMIGA_3_:229 SM_AMIGA_2_:239 * -NOTE NODES SM_AMIGA_i_7_:233 CIIN_0:211 * +NOTE NODES RN_VMA:173 RN_RW:245 N_317_i:242 cpu_est_2_:193 * +NOTE NODES cpu_est_3_:239 cpu_est_0_:182 cpu_est_1_:176 * +NOTE NODES inst_AS_000_INT:151 SM_AMIGA_5_:233 inst_AMIGA_BUS_ENABLE_DMA_LOW:128 * +NOTE NODES inst_AS_030_D0:274 inst_nEXP_SPACE_D0reg:113 * +NOTE NODES inst_AS_030_000_SYNC:155 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:103 inst_DS_000_DMA:121 CYCLE_DMA_0_:133 * +NOTE NODES CYCLE_DMA_1_:167 SIZE_DMA_0_:265 SIZE_DMA_1_:259 * +NOTE NODES inst_VPA_D:224 inst_UDS_000_INT:115 inst_LDS_000_INT:109 * +NOTE NODES inst_CLK_OUT_PRE_D:119 inst_DTACK_D0:148 inst_RESET_OUT:187 * +NOTE NODES inst_CLK_OUT_PRE_50:241 inst_CLK_000_D1:209 inst_CLK_000_D0:139 * +NOTE NODES inst_CLK_000_PE:253 CLK_000_P_SYNC_9_:268 inst_CLK_000_NE:248 * +NOTE NODES CLK_000_N_SYNC_11_:278 IPL_D0_0_:262 IPL_D0_1_:196 * +NOTE NODES IPL_D0_2_:142 inst_CLK_000_NE_D0:188 SM_AMIGA_0_:223 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:145 SM_AMIGA_4_:235 * +NOTE NODES inst_DS_000_ENABLE:161 RST_DLY_0_:178 RST_DLY_1_:194 * +NOTE NODES RST_DLY_2_:184 CLK_000_P_SYNC_0_:200 CLK_000_P_SYNC_1_:136 * +NOTE NODES CLK_000_P_SYNC_2_:256 CLK_000_P_SYNC_3_:217 CLK_000_P_SYNC_4_:250 * +NOTE NODES CLK_000_P_SYNC_5_:266 CLK_000_P_SYNC_6_:106 CLK_000_P_SYNC_7_:130 * +NOTE NODES CLK_000_P_SYNC_8_:260 CLK_000_N_SYNC_0_:211 CLK_000_N_SYNC_1_:122 * +NOTE NODES CLK_000_N_SYNC_2_:146 CLK_000_N_SYNC_3_:140 CLK_000_N_SYNC_4_:134 * +NOTE NODES CLK_000_N_SYNC_5_:190 CLK_000_N_SYNC_6_:254 CLK_000_N_SYNC_7_:226 * +NOTE NODES CLK_000_N_SYNC_8_:116 CLK_000_N_SYNC_9_:110 CLK_000_N_SYNC_10_:272 * +NOTE NODES SM_AMIGA_6_:227 inst_CLK_030_H:104 SM_AMIGA_1_:229 * +NOTE NODES SM_AMIGA_3_:230 SM_AMIGA_2_:236 SM_AMIGA_i_7_:221 * +NOTE NODES CIIN_0:205 * NOTE BLOCK 0 * L000000 - 111011111011111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111110111111111111111111111111101111111111 - 101111111111111111111111111111111111111111111111111111111111111111 - 111111111111011111111111111111111111111111111111111111111111111110 - 111111011110111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111011111110110111111110111111111111111 - 111101111111111111010111111111111111111111111111011111111011011111 - 111111111111111101111111111011111111011111111110111111111111111111 - 111111111111111111111111011111111010111111100111111111111111111111* + 111111110111111111111110111111101111111110111111111111111111111111 + 111111111101111111111111111111111110111111111111111111111111111111 + 101111111111111111111111111111111111111111111111111111110111111111 + 111111111111111011111011111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111101111111111111111111110111101111111111 + 111110011111101111111111011111111111110111111111011111111111111111 + 111111111111111101111111111111111111011111111110111111111111111111 + 111111111111111111011111111111111011111111100111111111111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111110111111111111111111111111111011111111111111111111111111111111* -L000726 000000000000000000000000000000000000000000000000000000000000000000* -L000792 000000000000000000000000000000000000000000000000000000000000000000* -L000858 000000000000000000000000000000000000000000000000000000000000000000* -L000924 000000000000000000000000000000000000000000000000000000000000000000* -L000990 111111111111111111111111111111111111111111101111111111111111111111* -L001056 111111111111101111111111011111111111111111111111111111111111111111* -L001122 101111111111011111111111111101111111111110111111111111111111111111* +L000660 111111111111111111111111111111111011111111111111111011111111111111* +L000726 111111111111111111111111111111111111111111101111111111111111111111* +L000792 111111111111111111111111111111111111111111111101111111111111111111* +L000858 111111111111111111011111111111111111111110111111111111111111111111* +L000924 111111110111111111111111111111111111111111111111111111110111111111* +L000990 111111111011111111111111111111111111111111111111111111111011111111* +L001056 111111111111111111111111111111110111111111111111111111111111111111* +L001122 111111111111111101111111111111111111111111111111011111111111111111* L001188 000000000000000000000000000000000000000000000000000000000000000000* L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111111111111111111011111111111011111111010110111111111111111111* -L001452 111111111101111111110111111111111011111111011010111111111111111111* -L001518 111111111110111111111111111111111011111111010110111111111111111111* -L001584 000000000000000000000000000000000000000000000000000000000000000000* -L001650 000000000000000000000000000000000000000000000000000000000000000000* -L001716 111111111111111111101111111111111111111111011111111111111111111111* -L001782 000000000000000000000000000000000000000000000000000000000000000000* -L001848 000000000000000000000000000000000000000000000000000000000000000000* -L001914 000000000000000000000000000000000000000000000000000000000000000000* +L001386 111111110111111110101111111111111011111110011110111111111011111111* +L001452 111111111011111110101111111111111011111110011110111111110111111111* +L001518 111111110111111110111111111111111011111111010110111111111011111111* +L001584 111111111011111110111111111111111011111111010110111111110111111111* +L001650 111111110111111111101111111111111011111110011110101111111011111111* +L001716 111111111111111111111101111111111111111111111111111111111111111111* +L001782 111111111011111111101111111111111011111110011110101111110111111111* +L001848 111111110111111111111111111111111011111111010110101111111011111111* +L001914 111111111011111111111111111111111011111111010110101111110111111111* L001980 000000000000000000000000000000000000000000000000000000000000000000* L002046 000000000000000000000000000000000000000000000000000000000000000000* @@ -117,18 +116,18 @@ L002178 000000000000000000000000000000000000000000000000000000000000000000* L002244 000000000000000000000000000000000000000000000000000000000000000000* L002310 000000000000000000000000000000000000000000000000000000000000000000* L002376 000000000000000000000000000000000000000000000000000000000000000000* -L002442 111111111111101111111111111111111111101111011111111111111111111111* -L002508 101111111111011111111111111111111111111111011111111111111111111111* -L002574 000000000000000000000000000000000000000000000000000000000000000000* +L002442 111111111111111111111111111111111111111111101111111111111111111111* +L002508 111111111111111111111011111111111111011111111111111111111111111111* +L002574 101111111111111111110111111111111101111111111111111111101111111111* L002640 000000000000000000000000000000000000000000000000000000000000000000* L002706 000000000000000000000000000000000000000000000000000000000000000000* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111101111111111011111111111011111111011110111111111111111111* -L002904 111111111110111111110111111111111011111111011110111111111111111111* -L002970 000000000000000000000000000000000000000000000000000000000000000000* -L003036 000000000000000000000000000000000000000000000000000000000000000000* -L003102 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111111111111111101111111111111111111111111111111111111* +L002904 111111111111111111111111111111111111111111111111111111111111111111* +L002970 111111111111111111111111111111111111111111111111111111111111111111* +L003036 111111111111111111111111111111111111111111111111111111111111111111* +L003102 111111111111111111111111111111111111111111111111111111111111111111* L003168 111111111111111111111111111111111111111111111111111111111111111111* L003234 111111111111111111111111111111111111111111111111111111111111111111* L003300 111111111111111111111111111111111111111111111111111111111111111111* @@ -136,47 +135,47 @@ L003366 111111111111111111111111111111111111111111111111111111111111111111* L003432 111111111111111111111111111111111111111111111111111111111111111111* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 110111111101111111111111111111111111111111011111111111111111101111* -L003630 111111111111111111111111111111111101111111011111111111101111011111* -L003696 110111111111111111111111111111111101111111011111111111111111011111* -L003762 000000000000000000000000000000000000000000000000000000000000000000* -L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 111111111111111111111111111111111111111111101111111111111111111111* -L003960 111111111111111111111111111111111111111111111101111111111111111111* -L004026 111111111111111111110111111111111111111111110111111111111111111111* -L004092 111111111111111111111011111111111111111111111011111111111111111111* -L004158 111111111111111111111111111111110111111111111111111111111111111111* +L003564 111111111110111111111111111111111111111111011111111111111111111111* +L003630 111111111111111111111111111111111111111111111111111111111111111111* +L003696 111111111111111111111111111111111111111111111111111111111111111111* +L003762 111111111111111111111111111111111111111111111111111111111111111111* +L003828 111111111111111111111111111111111111111111111111111111111111111111* +L003894 111111111111111111111011111111111111111011011111111111111111111111* +L003960 101111111111111111110111111111111111111111011111111111111111111111* +L004026 000000000000000000000000000000000000000000000000000000000000000000* +L004092 000000000000000000000000000000000000000000000000000000000000000000* +L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111011111111111111111111111111111111111111111111111111111111111* -L004356 111111111111111101111111111111111111111111111111011111111111111111* -L004422 111101111011111111111111111111111111111111111111111111111011111111* -L004488 111111110111111111111111111111011111111111111111110111111011111111* -L004554 111101111111111111111111111111111111111111111111111011111011111111* -L004620 111111111111111111111111111111111111111111101111111111111111111111* -L004686 111111111111111111111111111111111111111111111101111111111111111111* -L004752 111111111011111111111111111111011111111111111111111111111111111111* -L004818 111111111111111111110111111111111111111111110111111111111111111111* -L004884 111111111111111111111011111111111111111111111011111111111111111111* +L004290 111111111111110111111111111111111111111111111111111111111111111111* +L004356 111111111111111111111111111111111111111111111111111111111111111111* +L004422 111111111111111111111111111111111111111111111111111111111111111111* +L004488 111111111111111111111111111111111111111111111111111111111111111111* +L004554 111111111111111111111111111111111111111111111111111111111111111111* +L004620 111111111111111111111111111111111111111111111111111111111111111111* +L004686 111111111111111111111111111111111111111111111111111111111111111111* +L004752 111111111111111111111111111111111111111111111111111111111111111111* +L004818 111111111111111111111111111111111111111111111111111111111111111111* +L004884 111111111111111111111111111111111111111111111111111111111111111111* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 111111111111111111111111111111110111111111111111111111111111111111* -L005082 111111111111111101111111111111111111111111111111011111111111111111* -L005148 000000000000000000000000000000000000000000000000000000000000000000* -L005214 000000000000000000000000000000000000000000000000000000000000000000* -L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 111111111011111110111011111111101011111111010110111111111111111111* -L005412 111111111011111110110111111111101011111111011010111111111111111111* -L005478 111111111111111110111011111111111011111111010110110111111111111111* -L005544 111111111111111110110111111111111011111111011010110111111111111111* -L005610 111111111011111111111011111111101011111111010110101111111111111111* +L005016 111111111111111111111111111111011111111111111111111111111111111111* +L005082 111111111111111111111111111111111111111111101111111111111111111111* +L005148 111111111111111111111111111111111111111111111101111111111111111111* +L005214 111111110111111111111111111111111111111111111111111111110111111111* +L005280 111111111011111111111111111111111111111111111111111111111011111111* +L005346 111111111111111111111111111111110111111111111111111111111111111111* +L005412 111111111111111101111111111111111111111111111111011111111111111111* +L005478 111111111111101111111111111111111111111110111111110111111111111111* +L005544 111111111111101111011111111111111111111101110111111111111111111111* +L005610 111111111111101111111111111111111111111111111011110111111111111111* L005676 - 111111111111111111111111111011111111111111111110111111111111111101* -L005742 111111111111111111111111111111111111110111111111111111111111111111* -L005808 111111111011111111110111111111101011111111011010101111111111111111* -L005874 111111111111111111111011111111111011111111010110100111111111111111* -L005940 111111111111111111110111111111111011111111011010100111111111111111* -L006006 000000000000000000000000000000000000000000000000000000000000000000* + 111111101111111111111111011111111111111111111110111111111111111111* +L005742 111101111111111111111111111111111111111111111111111111111111111111* +L005808 111111111111111111111111111111111111111111111111111111111111111111* +L005874 111111111111111111111111111111111111111111111111111111111111111111* +L005940 111111111111111111111111111111111111111111111111111111111111111111* +L006006 111111111111111111111111111111111111111111111111111111111111111111* L006072 111111111111111111111111111111111111111111111111111111111111111111* L006138 111111111111111111111111111111111111111111111111111111111111111111* L006204 111111111111111111111111111111111111111111111111111111111111111111* @@ -186,36 +185,36 @@ L006402 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L006534 0010* -L006538 01100011111000* +L006538 01010011111000* L006552 10100110010011* L006566 10100110010101* -L006580 01100110011111* +L006580 00110110011111* L006594 00101111111000* -L006608 11100110010010* -L006622 10100110010000* +L006608 10100110010010* +L006622 00010110010000* L006636 11100011110011* -L006650 10100110010000* -L006664 10100110010010* -L006678 00110110010000* -L006692 11011111110011* -L006706 10100110010001* +L006650 01110110010000* +L006664 11100110010010* +L006678 00010110010000* +L006692 11101111110011* +L006706 00010110010001* L006720 10100110010011* -L006734 00110110010100* +L006734 00010110010100* L006748 11100011111111* NOTE BLOCK 1 * L006762 - 111111111111111111110111111111111111111111111111111101011111111111 - 111111111111010111111111111111111111111111111111111111111111111111 - 111111111011111111111110101111111101111111101111011111110101111111 - 111111111101111111111111111110111011111111111011111111111111011111 - 111111101111111111111111111111111111111111111111111111111111111111 - 111110111111111111111111111111111111111011111111111111111111111111 - 111111111111111110111111111111111111111111111111110111111111111111 - 111111111111111111111111111111111111111110111111111111111111111111 - 101011111111111111111111111111101111111111111111111111111111111111* + 110111011111111111111111111111111111111111111111111111110101111111 + 111111111111110111111111011011111111111111111111111111111111111111 + 111111111011111101111111111111111111111111101111111111111111110111 + 101111111111111111110111111111111111111111111011111111011111111111 + 111101111111111111111110111111111111111101111111111111111111111111 + 111111111111111111011111111111111111111011111111111111111111111111 + 111111111111111111111111111101111111111111111111110111111111111111 + 111111111111011111111111111111111111111111111110111111111111111111 + 111111111111111111111111111111101011111111111111101111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 111111111111111111111111111111101111111111111111111111111111111111* +L007422 111111111111111111011111111111111111111111111111111111111111111111* L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* @@ -227,131 +226,131 @@ L007950 000000000000000000000000000000000000000000000000000000000000000000* L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 111111111111111111111111011111111111111111111111111111111111111111* -L008214 000000000000000000000000000000000000000000000000000000000000000000* +L008148 111111111111111111111101111111111111111111111110011111111111111111* +L008214 111111111111111111111011111111111111110111111101011111111111111111* L008280 000000000000000000000000000000000000000000000000000000000000000000* L008346 000000000000000000000000000000000000000000000000000000000000000000* L008412 000000000000000000000000000000000000000000000000000000000000000000* -L008478 111111111111111111111111111111111111111111111111110111111110111111* +L008478 111111111111011111111111111111111111111111111111111111111111111111* L008544 000000000000000000000000000000000000000000000000000000000000000000* L008610 000000000000000000000000000000000000000000000000000000000000000000* L008676 000000000000000000000000000000000000000000000000000000000000000000* L008742 000000000000000000000000000000000000000000000000000000000000000000* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 011111111011110111111111111111110111111111011111101111011111111111* -L008940 011111111011110111111111111111111011111111011111101111101111111111* -L009006 011111111011111011111111111111110111111111101111101111011111111111* -L009072 011111111011111011111111111111111011111111101111101111101111111111* -L009138 011111111011111111111111111111111111111111111111111111111111101111* -L009204 011111111111111111111111111111111011111111111111111111011111101111* -L009270 011111111111111111111111111111110111111111111111111111101111101111* -L009336 011111111111110111111111111111111111111111101111111111111111101111* -L009402 011111111111111011111111111111111111111111011111111111111111101111* -L009468 011111111111111111111111111111111111111111111111101111111111101111* +L008874 011101111011111011111111110111111111111111011111011111111111111111* +L008940 101101111011111011111111111011111111111111011111011111111111111111* +L009006 011110111011111011111111110111111111111111101111011111111111111111* +L009072 101110111011111011111111111011111111111111101111011111111111111111* +L009138 111111111011111111111111111111111111111111111111011111101111111111* +L009204 101111111111111111111111110111111111111111111111011111101111111111* +L009270 011111111111111111111111111011111111111111111111011111101111111111* +L009336 111101111111111111111111111111111111111111101111011111101111111111* +L009402 111110111111111111111111111111111111111111011111011111101111111111* +L009468 111111111111111011111111111111111111111111111111011111101111111111* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 111111111111111111111101111111111111111111111111111111111111111111* +L009600 111111111111111111111111011111111111111111111111111111111111111111* L009666 000000000000000000000000000000000000000000000000000000000000000000* L009732 000000000000000000000000000000000000000000000000000000000000000000* L009798 000000000000000000000000000000000000000000000000000000000000000000* L009864 000000000000000000000000000000000000000000000000000000000000000000* -L009930 011111111011111111111111111111111111111111111111111111111111111111* -L009996 011111101111111111111111111111111111111110111111111111111111111111* -L010062 011111111111111101111111111111111111111101111111111111111011111111* +L009930 111111111111111111111111111111011111111111111111111111111111111111* +L009996 111111111111111111111111111111111011111111110110011111111111111011* +L010062 111111111111111111111111111111111011111111111010011111111111110111* L010128 000000000000000000000000000000000000000000000000000000000000000000* L010194 000000000000000000000000000000000000000000000000000000000000000000* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 011111111011110111111111111111111011111111011111101111101111111111* -L010392 011111111011111011111111111111111011111111101111101111101111111111* -L010458 011111110111111011111111111111111011111111101111011111101111111111* -L010524 011111110111110111111111111111111011111111011111011111101111111111* -L010590 011111111111111111111111111111111010111111111111111111111111111111* -L010656 011111111111111111111111111111111110111111111111111111101111111111* -L010722 011111111111110111111111111111111110111111101111111111111111111111* -L010788 011111111111111011111111111111111110111111011111111111111111111111* -L010854 011111111011111111111111111111111110111111111111011111111111111111* -L010920 011111110111111111111111111111111110111111111111101111111111111111* +L010326 101101111011111011111111111011111111111111011111011111111111111111* +L010392 101110111011111011111111111011111111111111101111011111111111111111* +L010458 101110110111110111111111111011111111111111101111011111111111111111* +L010524 101101110111110111111111111011111111111111011111011111111111111111* +L010590 101111111111111110111111111111111111111111111111011111111111111111* +L010656 111111011111111111111111111111111111111111111111111111111111111111* +L010722 111111111111111110111111111011111111111111111111011111111111111111* +L010788 111101111111111110111111111111111111111111101111011111111111111111* +L010854 111110111111111110111111111111111111111111011111011111111111111111* +L010920 111111111011110110111111111111111111111111111111011111111111111111* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111101111111111111111111111111111111111111111111111111111111111111* -L011118 000000000000000000000000000000000000000000000000000000000000000000* +L011052 111111111111111111111111111111111111111111111111111111111101111111* +L011118 111111110111111010111111111111111111111111111111011111111111111111* L011184 000000000000000000000000000000000000000000000000000000000000000000* L011250 000000000000000000000000000000000000000000000000000000000000000000* L011316 000000000000000000000000000000000000000000000000000000000000000000* -L011382 011111111111111111111111111111111111111111101111111111111111111111* -L011448 011111011111111111111111111111111111111110111111111111111111111111* -L011514 011111111111101101111111111111111111111101111111111111111111111111* +L011382 111111111011111111111111111111111111111111111111011111111111111111* +L011448 000000000000000000000000000000000000000000000000000000000000000000* +L011514 000000000000000000000000000000000000000000000000000000000000000000* L011580 000000000000000000000000000000000000000000000000000000000000000000* L011646 000000000000000000000000000000000000000000000000000000000000000000* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 011111111011111011111111111111110111111111101111101111011111111111* -L011844 011111111011111011111111111111111011111111101111101111101111111111* -L011910 011111110111111011111111111111110111111111101111011111011111111111* -L011976 011111110111111011111111111111111011111111101111011111101111111111* -L012042 011111111111111111111111111111111111111111101111111110111111111111* -L012108 011111111111111111111111111111111011111111111111111110011111111111* -L012174 011111111111111111111111111111110111111111111111111110101111111111* -L012240 011111111111111011111111111111111111111111111111111110111111111111* -L012306 011111111011111111111111111111111111111111111111011110111111111111* -L012372 011111110111111111111111111111111111111111111111101110111111111111* +L011778 011110111011111011111111110111111111111111101111011111111111111111* +L011844 101110111011111011111111111011111111111111101111011111111111111111* +L011910 011110110111110111111111110111111111111111101111011111111111111111* +L011976 101110110111110111111111111011111111111111101111011111111111111111* +L012042 111011111111111111111111111111111111111111101111011111111111111111* +L012108 101011111111111111111111110111111111111111111111011111111111111111* +L012174 011011111111111111111111111011111111111111111111011111111111111111* +L012240 111010111111111111111111111111111111111111111111011111111111111111* +L012306 111011111011110111111111111111111111111111111111011111111111111111* +L012372 111011110111111011111111111111111111111111111111011111111111111111* L012438 - 111111111111111111111111111111111111111111111011111111111111111111* -L012504 111111111101111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111111111011111111111111* +L012504 111111111111111111111111111111111111111101111111111111111111111111* L012570 000000000000000000000000000000000000000000000000000000000000000000* L012636 000000000000000000000000000000000000000000000000000000000000000000* L012702 000000000000000000000000000000000000000000000000000000000000000000* L012768 000000000000000000000000000000000000000000000000000000000000000000* -L012834 011111111111111111111111111111111011111111111111111111111111111111* -L012900 011111111111111111111111111101111111111111111111111111111111111111* -L012966 010111111111111111111011111111111111111011111111111111111111111111* +L012834 111111111111111111111111111110111111111111111111011111111111111111* +L012900 111111111111111111111110111111111111111111111110011111111111111111* +L012966 111111111111111111111111111111111111110111111101011111111011111111* L013032 000000000000000000000000000000000000000000000000000000000000000000* L013098 000000000000000000000000000000000000000000000000000000000000000000* L013164 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L013296 0010* -L013300 00100011110000* -L013314 00101111111111* -L013328 00100110010100* -L013342 00100110011110* +L013300 00100110010000* +L013314 00101011111110* +L013328 11100110010101* +L013342 00100110011111* L013356 11100110010010* -L013370 11110110011111* -L013384 00100110010111* -L013398 01000110011111* -L013412 11100110011000* -L013426 11110110010010* -L013440 00100110010000* -L013454 01000110010011* -L013468 11100110011001* +L013370 10110110011110* +L013384 00100110010110* +L013398 00000110011111* +L013412 11100110011001* +L013426 00110110010011* +L013440 00000110010000* +L013454 01100110010010* +L013468 11100110011000* L013482 11110110010011* -L013496 00100110011100* -L013510 01000110011110* +L013496 00100110011101* +L013510 01000110011111* NOTE BLOCK 2 * L013524 - 111111110111111111111111111111111110111111111111111111111111111111 - 111111111111111111111110111111110111101111111111111111111111111111 - 111110111111111111101111111111111111111111111111101111111101111111 - 111111111111110110111011101101111111111111111111111111111111111111 - 111111111110111111111111111111101111111111111111111111111111111111 - 111111111111011111111111111111111111111011111111111111111111111111 - 111111011111111111111111111111111111111111111111110101111111100111 - 101111111111111111111111111011111111111111111111111111111111111111 - 111011111111111111111111111111111111111101101111111111111111111111* + 111111111111111111111111111111011111111111111111111111111111111111 + 111111111111111110111111111111111111101111111111111111111111111111 + 111110111111110111111111111111111110111111111111111111110111111111 + 111111111111111111110111111001111111111110111011111111111110111111 + 110111111110111111111111111111111111111111111111111111111111101111 + 111111111111111111111111011111111111111011111111111111111111111111 + 111111010111011111111111111111111111111111111111111111111111111111 + 101111111111111111111110111111111111111111111111111111111111111111 + 111111111111111111111111111111111011111111101111111011111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 101111111111111111111111111111111011111111111111111111111111111111* +L014184 101111111111111111111011111111111111111111111111111111111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 111111111111111111111111111111111111111110011111111111111111111111* -L014580 111111111111111111111111111111111111111111111111111111111111111111* -L014646 111111111111111111111111111111111111111111111111111111111111111111* -L014712 111111111111111111111111111111111111111111111111111111111111111111* -L014778 111111111111111111111111111111111111111111111111111111111111111111* +L014514 111101111111111111111111111111111111111111011111111111111111111111* +L014580 111011111111111111111110111111111111111111011111110111111111111111* +L014646 000000000000000000000000000000000000000000000000000000000000000000* +L014712 000000000000000000000000000000000000000000000000000000000000000000* +L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 000000000000000000000000000000000000000000000000000000000000000000* L014910 111111111111111111111111111111111111111111111111111111111111111111* @@ -366,23 +365,23 @@ L015438 111111111111111111111111111111111111111111111111111111111111111111* L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 111110111111111011111111110111111111111111011111111011111101111111* -L015702 111110111111111111111111111101111111111111011111111111111111111111* -L015768 110111111110111111111111111101111111111111011111111111111111111111* -L015834 000000000000000000000000000000000000000000000000000000000000000000* -L015900 000000000000000000000000000000000000000000000000000000000000000000* -L015966 110111111111111011111111111111111111111011011111111111111111111111* -L016032 010110111111111111111111100111111111111011011111111111111111011111* -L016098 010110111111011111111111110111111111111011011111111111111111011111* -L016164 010110111111111111111111110111111111111011011111111111111111010111* -L016230 010110111111111110111111110111111111111011011111111111111111011111* +L015636 111111111111111111111110111110111111111111011111110111111111111111* +L015702 011111011110111111111110111111111111110110011111110111111111111111* +L015768 011111011110111111111110011111111111110111011111110111111111111111* +L015834 011111010110111111111110111111111111110111011111110111111111111111* +L015900 011111011110111111111110111011111111110111011111110111111111111111* +L015966 011111011110011111111110111111111111110111011111110111111111111111* +L016032 011111011110111111111110111111111110110111011111110111111111111111* +L016098 000000000000000000000000000000000000000000000000000000000000000000* +L016164 000000000000000000000000000000000000000000000000000000000000000000* +L016230 000000000000000000000000000000000000000000000000000000000000000000* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 010110111111111111111111110111111111111011011111111101111111011111* -L016428 010110111111111111111111110111111111111011011111101111111111011111* -L016494 000000000000000000000000000000000000000000000000000000000000000000* -L016560 000000000000000000000000000000000000000000000000000000000000000000* -L016626 000000000000000000000000000000000000000000000000000000000000000000* +L016362 111111111111111111111111111111111111111111111111111111111111111111* +L016428 111111111111111111111111111111111111111111111111111111111111111111* +L016494 111111111111111111111111111111111111111111111111111111111111111111* +L016560 111111111111111111111111111111111111111111111111111111111111111111* +L016626 111111111111111111111111111111111111111111111111111111111111111111* L016692 111111111111111111111111111111111111111111111111111111111111111111* L016758 111111111111111111111111111111111111111111111111111111111111111111* L016824 111111111111111111111111111111111111111111111111111111111111111111* @@ -390,16 +389,16 @@ L016890 111111111111111111111111111111111111111111111111111111111111111111* L016956 111111111111111111111111111111111111111111111111111111111111111111* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 111111111111111111111111111111111111111111111111110111111111111111* -L017154 111111111111111111111111111111111111111111111111111111111111111111* -L017220 111111111111111111111111111111111111111111111111111111111111111111* -L017286 111111111111111111111111111111111111111111111111111111111111111111* -L017352 111111111111111111111111111111111111111111111111111111111111111111* -L017418 111111101111111111101011111010101110101111111111111111111111111111* -L017484 111111101111110111101011111110101110101111111111111111111111111111* -L017550 111111101111111111101011111110101110101111111111111111111110111111* -L017616 111111101111111111101011111110101110101111111111110111111111111111* -L017682 000000000000000000000000000000000000000000000000000000000000000000* +L017088 111110111101111111111111111111111111111111010111111111111110101111* +L017154 111110111101111111111111111111111111101111011111111111111110101111* +L017220 111111111111110111111110111111111111111111011111110111111111111111* +L017286 111111111101111101111111111111111111111111010111111111111110101111* +L017352 111111111101111101111111111111111111101111011111111111111110101111* +L017418 111111111111111111111111111111111111111111111111111111111111111111* +L017484 111111111111111111111111111111111111111111111111111111111111111111* +L017550 111111111111111111111111111111111111111111111111111111111111111111* +L017616 111111111111111111111111111111111111111111111111111111111111111111* +L017682 111111111111111111111111111111111111111111111111111111111111111111* L017748 111111111111111111111111111111111111111111111111111111111111111111* L017814 111111111111111111111111111111111111111111111111111111111111111111* @@ -414,11 +413,11 @@ L018342 111111111111111111111111111111111111111111111111111111111111111111* L018408 111111111111111111111111111111111111111111111111111111111111111111* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 111101111101111111111011111110101111111111011111111111111111111111* -L018606 111101111111111111111011111110101111101111011111111111111111111111* -L018672 110111110111111111111111111111111111111011011111111111111111111111* -L018738 111101111101111111111101111110101111111111011111111111111111111111* -L018804 111101111111111111111101111110101111101111011111111111111111111111* +L018540 101111111111111111111111111111011011111111011111111111111011111111* +L018606 101111111111111111111111111111101011111111010111111111110111111111* +L018672 101111111111111111111111111111011011111111011011111111111111111111* +L018738 000000000000000000000000000000000000000000000000000000000000000000* +L018804 000000000000000000000000000000000000000000000000000000000000000000* L018870 111111111111111111111111111111111111111111111111111111111111111111* L018936 111111111111111111111111111111111111111111111111111111111111111111* L019002 111111111111111111111111111111111111111111111111111111111111111111* @@ -441,60 +440,60 @@ L019926 000000000000000000000000000000000000000000000000000000000000000000* L020058 0010* L020062 01100011111000* -L020076 01010110010011* +L020076 11100110010011* L020090 11010011110001* L020104 11111111110011* -L020118 10100110010000* -L020132 11100110010011* -L020146 11110011110000* -L020160 11111111110010* -L020174 00110110010000* -L020188 11101011110011* -L020202 11011111110111* -L020216 11110011111111* -L020230 10100110010000* +L020118 11100110010000* +L020132 11111111110011* +L020146 11111011110000* +L020160 11110011110010* +L020174 10100110010000* +L020188 11000111110011* +L020202 11111111110110* +L020216 11110011111110* +L020230 10100110010001* L020244 11001011110011* L020258 11110111110000* -L020272 11111111111110* +L020272 11111111111111* NOTE BLOCK 3 * L020286 - 111111111111101111111111111101011111111111111111111111111111111111 - 111111110111111111111111101111111111111111111111111111111111111111 - 111111111110111111111111111111111111111111111111111111110111111110 - 111111111111111111110111111111111111111111111011111111111111111111 - 110101111111111111111111111111111111111111111111011011111111111111 - 111111111111111111111111111111111111111001011111111111111111111111 - 111111111111110111111111111111111111111111111111111111111111111111 - 111111111111111111111111111011110111011111111110111111111111111111 - 101111011111111111011111111111111101111111111111111101111111111111* + 111011111111111111110111111111111111111111111111111111111111111111 + 111111110111011111111111111111111111111111111111111111111111111111 + 111111111111110111111101111111111111111111111111111111111111111011 + 111111111111111111111111111111101111111111111010111111111111111111 + 111111111110111111111111111111111101111111111111111111111111111111 + 111111111111111111111111111111111111111101111111111111111101111111 + 111111111111111101111111011111111111110111111111111111111111011111 + 101111111111111111011111111111110111101111111111111111110111111111 + 111101011111111111111111111111111111111111101111111101111111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 101111111111111111111111111111111111111111111111111110111111111111* -L021012 111111101111111111111111111111111011111110101111110110111111111111* -L021078 011011101111111111111111011111110111111101101111111101111111111111* +L020946 111111111111111111111111111111111111111111101111111110111111111111* +L021012 111011101111111111111111111111111011111111110111111110111110111111* +L021078 111011011111111111111111111111100111111111011101111101111110111111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 011111111011110111111111110111111111110111111111111111111111111111* -L021342 011111111011111111111111111111111110111111111111111111111111111111* +L021276 111111111011011111111111111111111111011111011111111111111111011111* +L021342 111110111011111111111111111111111111111111011111111111111111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111011111111111111111111111111111111110111111111111111111111111* -L021738 111111111111111111111111111111110111111101011111011111111111111111* -L021804 111111011111111111111111111111111111111111111111101111111111111111* +L021672 111111011111111111111111111111111011111111111111111111111111111111* +L021738 111011101111111111111111111111110111111101111111111111111111111111* +L021804 111111011111111111111111111111111111111110111111111111111111111111* L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* -L022002 111111111101111111111111111111111111111111111111111111111111111111* -L022068 000000000000000000000000000000000000000000000000000000000000000000* -L022134 000000000000000000000000000000000000000000000000000000000000000000* +L022002 111111111111111111011111111111111111111111011110111111111111111111* +L022068 111111111111111111101111111111111111111111011101111111111111111111* +L022134 111111111111111101011111111111111101111111011111111111111111111111* L022200 000000000000000000000000000000000000000000000000000000000000000000* L022266 000000000000000000000000000000000000000000000000000000000000000000* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 111111111111111111111111111111111111111111111110111111110111111111* -L022464 111111111111111111111111111111111111111111111101111111111111111110* +L022398 101111111111111111110111111111111111111111111111111111111111111111* +L022464 011111111110111111111111111111111111111111111111111111111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -504,61 +503,61 @@ L022860 000000000000000000000000000000000000000000000000000000000000000000* L022926 000000000000000000000000000000000000000000000000000000000000000000* L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 - 111111111111111111111111111111111111111111110101111111111111111111* -L023124 111111111111111111111111111111110111111110111111111111111111111111* -L023190 111111101111111111111111111111111011111101111111011111111111111111* -L023256 111111111111111111111111111111110111111111111111101111111111111111* + 011111111111111111111111011111111111111111111111111111111111111111* +L023124 111111111111111111111111111111111011111101111111111111111111111111* +L023190 111111111111111111111111111111110111111110111111111111111111111111* +L023256 000000000000000000000000000000000000000000000000000000000000000000* L023322 000000000000000000000000000000000000000000000000000000000000000000* L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 111111111111111111110111111111111111111111111111111111111111111111* -L023520 000000000000000000000000000000000000000000000000000000000000000000* +L023454 111111111111111111011111111111111101111111011101111111111111111111* +L023520 111111111111111101111111111111111111111111011111111111111111111111* L023586 000000000000000000000000000000000000000000000000000000000000000000* L023652 000000000000000000000000000000000000000000000000000000000000000000* L023718 000000000000000000000000000000000000000000000000000000000000000000* L023784 - 111111111111111111111111111111111111111111110101111111111111111111* -L023850 111111111111111111111111111111011111101111111111111111111111111111* + 011111111111111111111111011111111111111111111111111111111111111111* +L023850 111111111111110111111111111111111111111011111111111111111111111111* L023916 000000000000000000000000000000000000000000000000000000000000000000* L023982 000000000000000000000000000000000000000000000000000000000000000000* L024048 000000000000000000000000000000000000000000000000000000000000000000* L024114 000000000000000000000000000000000000000000000000000000000000000000* -L024180 111111111111111111111111111101111111111111111111111111111111111111* -L024246 000000000000000000000000000000000000000000000000000000000000000000* +L024180 111111111111111111111111011111111111111111011111111111111111111111* +L024246 111111111111111101011111111111111101111111011101111111111111111111* L024312 000000000000000000000000000000000000000000000000000000000000000000* L024378 000000000000000000000000000000000000000000000000000000000000000000* L024444 000000000000000000000000000000000000000000000000000000000000000000* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 111111111111111111111111111111111111111110111111011111111111111111* -L024642 111111111111111111111111111111111111111101111111101111111111111111* +L024576 111111111111111111111111111111111111111111111101111111111111111111* +L024642 000000000000000000000000000000000000000000000000000000000000000000* L024708 000000000000000000000000000000000000000000000000000000000000000000* L024774 000000000000000000000000000000000000000000000000000000000000000000* L024840 000000000000000000000000000000000000000000000000000000000000000000* -L024906 111101111111111111111111111111111111111111111111111111111111111111* +L024906 111111111111111111111101111111111111111111111111111111111111111111* L024972 000000000000000000000000000000000000000000000000000000000000000000* L025038 000000000000000000000000000000000000000000000000000000000000000000* L025104 000000000000000000000000000000000000000000000000000000000000000000* L025170 000000000000000000000000000000000000000000000000000000000000000000* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111111111111111111101111111111011111111111111111111111111111111111* +L025302 111111111111110111111111111111111111111111111111111111111011111111* L025368 000000000000000000000000000000000000000000000000000000000000000000* L025434 000000000000000000000000000000000000000000000000000000000000000000* L025500 000000000000000000000000000000000000000000000000000000000000000000* L025566 000000000000000000000000000000000000000000000000000000000000000000* -L025632 111111111111111111111111111111111111111110011111111111111111111111* -L025698 111111111111111111111111111111111011111111011111111111111111111111* -L025764 111111111111111111111111111111110111111101101111011111111111111111* -L025830 111111111111111111111111111111111111111111011111101111111111111111* +L025632 111111111111111111111111111111111011111111111111111111111101111111* +L025698 111111101111111111111111111111111111111111111111111111111101111111* +L025764 111111011111111111111111111111110111111101111111111111111110111111* +L025830 111111111111111111111111111111111111111110111111111111111101111111* L025896 000000000000000000000000000000000000000000000000000000000000000000* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111111111111111011111111111111111111111111111111111111111* -L026094 000000000000000000000000000000000000000000000000000000000000000000* -L026160 000000000000000000000000000000000000000000000000000000000000000000* -L026226 000000000000000000000000000000000000000000000000000000000000000000* +L026028 111111111111111111111111111111111101111111011110111111111111111111* +L026094 111111111111111111101111111111111101111111011111111111111111111111* +L026160 111111111111111111011111111111111110111111011101111111111111111111* +L026226 111111111111111101111111111111111101111111011111111111111111111111* L026292 000000000000000000000000000000000000000000000000000000000000000000* -L026358 111111111111011111111111111111111111111111111111111111111111111111* +L026358 111111111111111111111111111111111111111111011111111111111111111011* L026424 000000000000000000000000000000000000000000000000000000000000000000* L026490 000000000000000000000000000000000000000000000000000000000000000000* L026556 000000000000000000000000000000000000000000000000000000000000000000* @@ -570,45 +569,45 @@ L026820 0010* L026824 10100111010000* L026838 11100110011110* L026852 10100110010100* -L026866 00100110011111* +L026866 10100110011111* L026880 10101011111001* L026894 00100011111111* L026908 10100110010100* -L026922 00100110010010* +L026922 10100110010010* L026936 01101011110011* -L026950 00100110010011* -L026964 10100110010000* +L026950 10100110010011* +L026964 00100110010000* L026978 00100110010011* L026992 01101111111011* L027006 10100110011111* -L027020 00100110010000* -L027034 00100110010010* +L027020 10100110010000* +L027034 01100110010010* NOTE BLOCK 4 * L027048 - 111111111111111111110111111111111111111111111111111111111111111111 - 111111111111111111011111111111111111111011111111110111111111111111 - 111111111101111111111111010111111111111111111111101111111111111111 - 111011011111111111111111111111111101111111111011111111101111111111 - 111111111111110111111111111111111111111111111111111111111111111111 - 111111111111011111111111111111111111011111111111111111111011111111 - 111110111111111111111111111111111111111111101111111101111111110111 - 111111111011111111111111111110011111111111111110111111111101111110 - 101111111111111110111101111111111011111110111111111111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111 + 110111111111011111111111111111111111110111111111111111111111111111 + 111111011101111110111111110111111111111111111111101111111111111111 + 111111111111111111111111111111111101111110011111111111101111111111 + 111111111111110111111111111111111111111111110111111111111111111111 + 111111110111111111111111011111111111111111111111111111111111111111 + 111111111111111111111111111111111011111111111111110101111011010111 + 101111111111111111111011111110011111111111111111111111111101111110 + 111110111111111111101101111111111111101111111111111111111111111111* L027642 - 110111111111101111111101111111111111011111111111011110011111111010* + 111111110111111111111101101111111111111101111111011110011111111010* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 111111111011111111111111111111111011111111011110111111111111111111* -L028104 111111111111111111111111111111111111111111101101111111111111111111* +L028038 101110111111111111111111111111111111111111111111111111110111101111* +L028104 011111111111111111111111111111111111111111111111111111111011111111* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 111111111111111111111111111111111111111111110101111111111111111111* -L028434 111111111111111111111111111101111111111111111111111111111111111111* + 011111111111111111111111111111111111111111111111110111111111111111* +L028434 111111111111011111111111111111111011111111111111111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* L028632 111111111111111111111111111111111111111111111111111111111111111111* @@ -620,16 +619,16 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111011111111111111111111111111111111111111111110* +L029160 111111111111111111111111111111111111111111111011111111111111111110* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* L029424 111111111111111111111111111111111111111111111111111111111111111111* -L029490 111111111111111111111111111110111111111111111111111111111111111111* -L029556 111111111111111111111111111111111111111111111111111111111111111111* -L029622 111111111111111111111111111111111111111111111111111111111111111111* -L029688 111111111111111111111111111111111111111111111111111111111111111111* -L029754 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111011101110111011011011111011011110011011101111111111111101111111* +L029556 111111111111111111111111111111111111111111111111111111111111011111* +L029622 000000000000000000000000000000000000000000000000000000000000000000* +L029688 000000000000000000000000000000000000000000000000000000000000000000* +L029754 000000000000000000000000000000000000000000000000000000000000000000* L029820 000000000000000000000000000000000000000000000000000000000000000000* L029886 111111111111111111111111111111111111111111111111111111111111111111* @@ -644,16 +643,16 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 011111111111111111111111111111111111111111111110111111111111111111* +L030612 111111111111011111111111111111111111111111111111111111111111111111* L030678 111111111111111111111111111111111111111111111111111111111111111111* L030744 111111111111111111111111111111111111111111111111111111111111111111* L030810 111111111111111111111111111111111111111111111111111111111111111111* L030876 111111111111111111111111111111111111111111111111111111111111111111* -L030942 111111101110111001101111101011011110111101111111111011111001111111* -L031008 111111110111111111111111111111111111111111111111111111111111111111* -L031074 000000000000000000000000000000000000000000000000000000000000000000* -L031140 000000000000000000000000000000000000000000000000000000000000000000* -L031206 000000000000000000000000000000000000000000000000000000000000000000* +L030942 111111111111101111111111111111110111111111111111111111111111111111* +L031008 111111111111111111111111111111111111111111111111111111111111111111* +L031074 111111111111111111111111111111111111111111111111111111111111111111* +L031140 111111111111111111111111111111111111111111111111111111111111111111* +L031206 111111111111111111111111111111111111111111111111111111111111111111* L031272 000000000000000000000000000000000000000000000000000000000000000000* L031338 111111111111111111111111111111111111111111111111111111111111111111* @@ -667,13 +666,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111101111111111111111111111111111111111111111111111111111111111111* -L032064 111111101110111001101111101011011110111101111111111011111001111111* + 111111111111111111111111111101111111111111111111111111111111111111* +L032064 111011101110111011011011111011011110011011101111111111111101111111* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111111111111111111111111111111111111110111111111111111111111111111* +L032394 111111111111111101111111111111111111111111111111111111111111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -699,71 +698,71 @@ L033600 10101111110011* L033614 00010110010100* L033628 11101111110010* L033642 01111011111000* -L033656 00000110011111* -L033670 11011011110000* -L033684 11110011111110* -L033698 01110110010001* -L033712 10100111111111* +L033656 10100011111111* +L033670 11011111110000* +L033684 11111011111110* +L033698 00110110010001* +L033712 00000110011111* L033726 11011111110000* -L033740 11110011111110* -L033754 00111011110001* -L033768 00000110011111* -L033782 11010111111100* -L033796 11111111111111* +L033740 11110011111111* +L033754 00111011110000* +L033768 00000110011110* +L033782 11010111111101* +L033796 11111111111110* NOTE BLOCK 5 * L033810 - 111011111111111110111111111111111111101101111111111111111111111111 - 111111111111111111101011010111111111111111111111111111111111111110 - 111111111111111111111111111111111111111111111111111111101111111111 - 111111111111111011111111111110101111111111111111111111111101111111 - 111111111110111111111111111111111101111111110111111111111111101111 - 111101111111111111111111111111111111111111011111111111111111111111 - 111111011111111111111111111111111111111111111111111111111111111111 - 111111110111011111111111111111111111111111111111111111111111111111 - 101111111111111111111111111111110111111111111111111001111111111111* + 111111111111101111111111111111111110110111111111101111111111111111 + 111110111111111111111111111111111111101111111111111111111111110111 + 111111111110111111111110111110111111111111111111111111111111111110 + 111111111011111111101011111111111111111111111011111111111101111111 + 111111111111111111111111111111101111111111111111111011111111111111 + 111111111111111111111111111111111111111101011111111111111111111111 + 111111011111111110111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111011111 + 101011111111111111111111110111110111111111111111111101111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 111111111111110111111111111111111111111111111111111111111111111111* -L034536 000000000000000000000000000000000000000000000000000000000000000000* -L034602 000000000000000000000000000000000000000000000000000000000000000000* -L034668 000000000000000000000000000000000000000000000000000000000000000000* -L034734 000000000000000000000000000000000000000000000000000000000000000000* -L034800 011111011111111111110111111111111111111111111111111111111111101111* -L034866 011111111110111111111111111111111111111111111111110111111111011111* -L034932 000000000000000000000000000000000000000000000000000000000000000000* -L034998 000000000000000000000000000000000000000000000000000000000000000000* -L035064 000000000000000000000000000000000000000000000000000000000000000000* +L034470 011111110111111111101111111111101001111101100111011110111111101111* +L034536 011111110111111111011111111111101111111001110111011111111111111111* +L034602 011111111111111111101111111110101001111101100111011110111111101110* +L034668 011111111111111111011111111110101111111001110111011111111111111110* +L034734 011111110111111111111110111111101111111111110111011111111111111111* +L034800 011111111111111111111110111110101111111111110111011111111111111110* +L034866 011110110111111111101011111111101001101101101111011110111111101111* +L034932 011110110111111111011011111111101111101001111111011111111111111111* +L034998 011110111111111111101011111110101001101101101111011110111111101110* +L035064 011110111111111111011011111110101111101001111111011111111111111110* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 111111111111111111011111111111111111111111111111111111111111111111* -L035262 000000000000000000000000000000000000000000000000000000000000000000* -L035328 000000000000000000000000000000000000000000000000000000000000000000* -L035394 000000000000000000000000000000000000000000000000000000000000000000* -L035460 000000000000000000000000000000000000000000000000000000000000000000* -L035526 111111111111111111111111111111111111011111111111111111111111111111* -L035592 000000000000000000000000000000000000000000000000000000000000000000* -L035658 000000000000000000000000000000000000000000000000000000000000000000* +L035196 011111111111111111111111111011111111111111111111111111111111111111* +L035262 011110110111111111111010111111101111101111111111011111111111111111* +L035328 011110111111111111111010111110101111101111111111011111111111111110* +L035394 010111111111111111111111111111111111111111111011011111111111111111* +L035460 010111111111111111111111111111101111111111111111011111111111111111* +L035526 111111111101111111111111111111111111111111111111111111111111111111* +L035592 011111110111111111111111111101101111111111111111111111111111111111* +L035658 010111111111111111111111111111011111111111111011111111111111111111* L035724 000000000000000000000000000000000000000000000000000000000000000000* L035790 000000000000000000000000000000000000000000000000000000000000000000* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 011111111101111111111111111110111111111111111111111111111101111111* -L035988 011111111111111111111011111101111111111111111111110111111111111111* -L036054 011111111111111111111111111101111111111111111111110111111101111111* +L035922 011111011111111101111111111111111111111111111111111011111110111011* +L035988 011111111111111111110111111111111111111111111111111011111111111111* +L036054 010111111111111111110111111111111111111111111011111111111111111111* L036120 000000000000000000000000000000000000000000000000000000000000000000* L036186 000000000000000000000000000000000000000000000000000000000000000000* -L036252 101111111111111111111111111111111111111111111111111111011111111111* -L036318 111110111011111111111111111111110101111111101011111110011111111110* -L036384 111111111111101111111111111111111101111111110111111111011111111110* -L036450 011111111101111111111111111111111111111111111111111111101111111101* -L036516 111111111111111111111111111111111111111111111111111011011111111111* +L036252 011101111111111111111111111110111111111111110111111111111111111111* +L036318 010111111011111111111111111101111111111111111111111111111111111111* +L036384 010101111111111111111111111101111111111111111111111111111111111111* +L036450 000000000000000000000000000000000000000000000000000000000000000000* +L036516 000000000000000000000000000000000000000000000000000000000000000000* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111111111111111111111111011111111111111111111111111111111111111111* -L036714 111111111111111111111111111111111111111111111111111111111111111111* -L036780 111111111111111111111111111111111111111111111111111111111111111111* -L036846 111111111111111111111111111111111111111111111111111111111111111111* -L036912 111111111111111111111111111111111111111111111111111111111111111111* +L036648 101111111111111111111101111111111111111111111111111111111111111111* +L036714 111111111111111111101101111111111001101101101111111110111111101111* +L036780 111111111111111111011101111111111111101001111111111111111111111111* +L036846 011111111111111111111110111111111111011111110111111111111111111111* +L036912 111011111111111111111101111111111111111111111111111111111111111111* L036978 111111111111111111111111111111111111111111111111111111111111111111* L037044 111111111111111111111111111111111111111111111111111111111111111111* L037110 111111111111111111111111111111111111111111111111111111111111111111* @@ -771,47 +770,47 @@ L037176 111111111111111111111111111111111111111111111111111111111111111111* L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 011110111001111111110111110111110101111111101011111110111111101111* -L037440 011111111101101111110111110111111101111111110111111111111111101111* -L037506 011110101001111111111111110110110101111111101011111110111111101111* -L037572 011111101101101111111111110110111101111111110111111111111111101111* -L037638 011111111101111111110111110111111111111111111111111111101111101111* -L037704 011111101101111111111111110110111111111111111111111111101111101111* -L037770 011010111011111111110111110111110101111111101011111110111110101110* -L037836 011011111111101111110111110111111101111111110111111111111110101110* -L037902 011010101011111111111111110110110101111111101011111110111110101110* -L037968 011011101111101111111111110110111101111111110111111111111110101110* +L037374 011111111111111111110111111111111111111111110111111111111111111110* +L037440 010111111011111111111111111111111111111111111111111111111111111101* +L037506 010111111111111111110111111111111111111111111111111111111111111101* +L037572 000000000000000000000000000000000000000000000000000000000000000000* +L037638 000000000000000000000000000000000000000000000000000000000000000000* +L037704 011111110111111111111111111111111111111111111111111111111111111101* +L037770 011111111111111111111111111111111111011111111111111111111111111101* +L037836 010111111111111111111111111111111111011111111011111111111111111111* +L037902 000000000000000000000000000000000000000000000000000000000000000000* +L037968 000000000000000000000000000000000000000000000000000000000000000000* L038034 000000000000000000000000000000000000000000000000000000000000000000* -L038100 111111111111111101111111111111111111111111111111111111111111111111* -L038166 011011111111111111110111110111111111111111111111111111101110101110* -L038232 011011101111111111111111110110111111111111111111111111101110101110* -L038298 011111111110111111111111110111111111111111111111110111111111111111* -L038364 011111111111111111111111110111111111111111111111110111111111101111* -L038430 011111111111111111110111111101111111111111111111111111111111111111* -L038496 011111111111111111111111111101111111111111111111111111111111111101* -L038562 011111111110111111111111111111111111111111111111110111111111111101* -L038628 000000000000000000000000000000000000000000000000000000000000000000* -L038694 000000000000000000000000000000000000000000000000000000000000000000* +L038100 011111111111111111101101111111111001111101101111111110111111101111* +L038166 011111111111111111011101111111111111111001111111111111111111111111* +L038232 011101111111111111111101111111111111111111111111111111111111111111* +L038298 010101111111111111111111111111111111111111111011111111111111111111* +L038364 000000000000000000000000000000000000000000000000000000000000000000* +L038430 111111111111111111111111111111111111111111111111111111111111111111* +L038496 111111111111111111111111111111111111111111111111111111111111111111* +L038562 111111111111111111111111111111111111111111111111111111111111111111* +L038628 111111111111111111111111111111111111111111111111111111111111111111* +L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 011110111011111111111111111111110101111111101011111110011111111111* -L038892 011111111111101111111111111111111101111111110111111111011111111111* -L038958 010111111111111111111111111111111111111111111111111111011111111111* -L039024 010111111110111111111111111111111111111111111111110111111111111111* +L038826 111111111111111111111111111111111101111111101111111111111111101111* +L038892 111111111111111111111111111111110111111101011111111111111111011111* +L038958 111111111111111111111111111111111101111110111111111111111111111111* +L039024 000000000000000000000000000000000000000000000000000000000000000000* L039090 000000000000000000000000000000000000000000000000000000000000000000* -L039156 111111111111111111111111111111011111111111111111111111111111111111* +L039156 111111111111101111111111111111111111111111111111111111111111111111* L039222 111111111111111111111111111111111111111111111111111111111111111111* L039288 111111111111111111111111111111111111111111111111111111111111111111* L039354 111111111111111111111111111111111111111111111111111111111111111111* L039420 111111111111111111111111111111111111111111111111111111111111111111* L039486 000000000000000000000000000000000000000000000000000000000000000000* -L039552 111111111111111111111111111111111111111101111111111111111111111111* -L039618 111111111111111111111111111111111111111111111111111111111111111111* -L039684 111111111111111111111111111111111111111111111111111111111111111111* -L039750 111111111111111111111111111111111111111111111111111111111111111111* -L039816 111111111111111111111111111111111111111111111111111111111111111111* +L039552 111110101111111111111010111110101111101111111111111111111111111110* +L039618 111110111111111111111010111110101111101111111111111111111101111110* +L039684 111110111111111110111010111110101111101111111111111111111111111110* +L039750 111110111111111111111010111110101111101111111111111111111111110110* +L039816 000000000000000000000000000000000000000000000000000000000000000000* L039882 111111111111111111111111111111111111111111111111111111111111111111* L039948 111111111111111111111111111111111111111111111111111111111111111111* L040014 111111111111111111111111111111111111111111111111111111111111111111* @@ -821,125 +820,125 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L040344 0010* -L040348 00100110011110* -L040362 10100110010010* -L040376 00100110011110* -L040390 00100110010011* +L040348 10100110011110* +L040362 10110110010010* +L040376 01000110011110* +L040390 00000110010011* L040404 10100110011111* -L040418 10100111010011* -L040432 00010110011110* +L040418 10100110010011* +L040432 10100111011110* L040446 11101011110010* L040460 10100110011110* -L040474 10110110010011* -L040488 00000110011111* -L040502 11000011111111* +L040474 10100110010011* +L040488 10100110011111* +L040502 11100011111111* L040516 10100110011110* L040530 00000110011110* -L040544 00010110011111* -L040558 11101011111111* +L040544 11101011111111* +L040558 11101111111111* NOTE BLOCK 6 * L040572 - 111111111111111111111011101111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111011111111101111111111 - 111101111110111111111111111011111111111111111111111111111101111111 - 111111111111111111111111111111111111111111111110101111111111111110 + 111110111111111111101111111111111111111111111111111111111111111011 + 111111111111111111111111101111111111111111111011111111111111111111 + 110111111111111111111011111111111111111111111111111111111111111111 + 101111111110111111111111111111111111110111111111111111111111111111 111111111111111111111111111111111110111111111111111111111111111111 - 110111111111111111111111111101111111111111111111111111111111111111 - 011111111111101111111111111111111011111111111111110111111111111111 - 111111101011111001011111111111111111111110111111111111111111011111 - 111111111111111111111111111111111111111111101111111111110111111111* + 111111011111111111111111111011011111111111111111111111111001111111 + 111111111111101111111111111111111111011111111111010111111111111111 + 111111111111111001111111111111111111111110111111111111111111111111 + 111111111111111111111111111111110111111111101111111111111111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 111111111111111111111111111111110110111101011111111111111111111111* +L041232 111111111111111111111111111111111110111101011111111111110111111111* L041298 111111111111101111111111111111111111111110011111111111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 111111111111111111011111111111111111111111111111111111111111111111* +L041562 111111111111111111111111111111011111111111111111111111111111111111* L041628 000000000000000000000000000000000000000000000000000000000000000000* L041694 000000000000000000000000000000000000000000000000000000000000000000* L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111111111111111111011111101011111111111111111111111* -L042024 111111111111111111111111111111111111111101011110111111111111111111* -L042090 101111111111111110111111111111111111111110011111111111111111111111* +L041958 111111111111110111111111111111111111111111111111111111111111111111* +L042024 000000000000000000000000000000000000000000000000000000000000000000* +L042090 000000000000000000000000000000000000000000000000000000000000000000* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* -L042288 111111111111111111110111111111111111111111010111111111011111111111* -L042354 111111111111111111111111111111111111111111011111011111111111111111* +L042288 111111111111111111111111110111111111111111111111111111111111111111* +L042354 000000000000000000000000000000000000000000000000000000000000000000* L042420 000000000000000000000000000000000000000000000000000000000000000000* L042486 000000000000000000000000000000000000000000000000000000000000000000* L042552 000000000000000000000000000000000000000000000000000000000000000000* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111011111111111111111111111111111111111111111111111111110111101111* -L042750 110111111111111111111111111111111111111111111111111111111011011111* +L042684 111111111111111111111111111111110111111111111111111111111101111011* +L042750 111111111111111111111111111111111011111111111111111111111110110111* L042816 000000000000000000000000000000000000000000000000000000000000000000* L042882 000000000000000000000000000000000000000000000000000000000000000000* L042948 000000000000000000000000000000000000000000000000000000000000000000* -L043014 111111111111111111111111111111111111111111011111111111111111111101* -L043080 111111111111111111110111111111111111111111010111011111011111111111* +L043014 111101111111111111111111111111111111111111111111111111111111111111* +L043080 000000000000000000000000000000000000000000000000000000000000000000* L043146 000000000000000000000000000000000000000000000000000000000000000000* L043212 000000000000000000000000000000000000000000000000000000000000000000* L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 111111101101111111111111111111111111111111111111111111111111111111* -L043476 111111011110111111111111111111111111111111111111111111111111111111* +L043410 111111011111111111111111111111111111111111111111111111111111111111* +L043476 000000000000000000000000000000000000000000000000000000000000000000* L043542 000000000000000000000000000000000000000000000000000000000000000000* L043608 000000000000000000000000000000000000000000000000000000000000000000* L043674 000000000000000000000000000000000000000000000000000000000000000000* -L043740 111111111111111111111111111101111111111111111111111111111111111111* +L043740 110111111111111111111111111111111111111111111111111111111111111111* L043806 000000000000000000000000000000000000000000000000000000000000000000* L043872 000000000000000000000000000000000000000000000000000000000000000000* L043938 000000000000000000000000000000000000000000000000000000000000000000* L044004 000000000000000000000000000000000000000000000000000000000000000000* L044070 - 111111111011111111111111111111111111111110111111111111111111111101* + 111111111111111111111111111111111111101110111111110111111111111111* L044136 111111111111111111111111111111111111111111101111111111111111111111* -L044202 111111111111111111111111110111110111111101111111111111111111111111* +L044202 111111111111111111110111111111111111111101111111111111110111111111* L044268 111111111111111101111111111111111111111110111111111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 111111111111110111111111111111111111111111111111111111111111111111* -L044532 000000000000000000000000000000000000000000000000000000000000000000* -L044598 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111111111111111111101111111111111111111111* +L044532 111111111111111111111111011111111111111101111111111111110111111111* +L044598 111111111111111110111111111111111111111110111111101111111111111111* L044664 000000000000000000000000000000000000000000000000000000000000000000* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 - 111111111011111111111111111111111111111110111111111111111111111111* -L044862 111111111111111111111111111111111111111111010111111111101111111111* -L044928 111111111111111111111011111111111111111111010111111111111111111111* -L044994 111111111111111111110111111111111111111111011011111111011111111111* -L045060 111111111111111111111111111111111111111111010111011111111111111111* + 111111111111111111111111111111111111101110111111111111111111111111* +L044862 111111111111111111111111111111111111110111111111111111111111111111* +L044928 000000000000000000000000000000000000000000000000000000000000000000* +L044994 000000000000000000000000000000000000000000000000000000000000000000* +L045060 000000000000000000000000000000000000000000000000000000000000000000* L045126 000000000000000000000000000000000000000000000000000000000000000000* -L045192 111111111111111111111111111111111111111111111111111011111101111111* +L045192 101111111111111111111111111111111111111111011111111111111111111111* L045258 000000000000000000000000000000000000000000000000000000000000000000* L045324 000000000000000000000000000000000000000000000000000000000000000000* L045390 000000000000000000000000000000000000000000000000000000000000000000* L045456 000000000000000000000000000000000000000000000000000000000000000000* L045522 - 111111111111111111111111111111111111111110111111111111111111111101* -L045588 111111111111111111111111101111111111111111111101111111111111111111* + 111111111111111111111111111111111111111110111111110111111111111111* +L045588 111111111111111111011111101111111111111111111111111111111111111111* L045654 000000000000000000000000000000000000000000000000000000000000000000* L045720 000000000000000000000000000000000000000000000000000000000000000000* L045786 000000000000000000000000000000000000000000000000000000000000000000* L045852 000000000000000000000000000000000000000000000000000000000000000000* -L045918 111111111111111111111111111111111111111111101111111111111111111111* -L045984 111111111111111111111111011111110111111101111111111111111111111111* -L046050 101111111111111110111111111111111111111110111111111111111111111111* +L045918 111111111111111111111111111111111111111101011111111111111011111111* +L045984 111111111111111111101111111111111111111101011111111111111111111111* +L046050 111111111111111110111111111111111111111110011111101111111111111111* L046116 000000000000000000000000000000000000000000000000000000000000000000* L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* -L046314 111111111111111111110111111111111111111111011111111111101111111111* -L046380 111111111111111111111011111111111111111111011111111111011111111111* -L046446 111111111111111111110111111111111111111111010111011111111111111111* +L046314 111111111101111111111111111111111111111111111111111111111111111111* +L046380 000000000000000000000000000000000000000000000000000000000000000000* +L046446 000000000000000000000000000000000000000000000000000000000000000000* L046512 000000000000000000000000000000000000000000000000000000000000000000* L046578 000000000000000000000000000000000000000000000000000000000000000000* -L046644 111101111111111111111111111111111111111111111111111111111111111111* +L046644 111111111111111111111111111111111111111111110111111111111111111111* L046710 000000000000000000000000000000000000000000000000000000000000000000* L046776 000000000000000000000000000000000000000000000000000000000000000000* L046842 000000000000000000000000000000000000000000000000000000000000000000* @@ -950,70 +949,70 @@ L046974 L047106 0010* L047110 11100110011000* L047124 00100110011110* -L047138 11100110010100* -L047152 10100110011111* +L047138 00100110010100* +L047152 00100110011111* L047166 10101011111001* -L047180 10100110010011* -L047194 10100110010000* +L047180 00100110010011* +L047194 00100110010000* L047208 00100110010010* L047222 10100110010001* -L047236 00100110010011* -L047250 10100110010100* -L047264 00100110010010* +L047236 10100110010011* +L047250 00100110010100* +L047264 01100110010010* L047278 00100011110010* -L047292 10100110010011* -L047306 10100110010001* +L047292 11100110010011* +L047306 00100110010001* L047320 00100110011111* NOTE BLOCK 7 * L047334 - 111111111111111111111111111111111111111111111111111011111011111111 - 111111111111111111111110111111111111111111011111111111111111111111 - 111110111111111111111111111111111110111111111111111111111111111111 - 111111101111111111111111101111111111111111111110111111101110111111 - 111111111111111111111011111111111111111111111111111111111111111011 - 111111111111011011111111111111011111111111111111111111111111111101 - 111111110110111101111111111111111111011111111111111101111111111111 - 111111111111111111101111111011111111111110110111111111111111111111 - 101011111111111111111111111110111011110111111111111111111111101111* + 111111111111111111111111111111111111111110111111111011111111111111 + 111111111111111110111011111111111111111111111111111111111111111111 + 111110111111101111111111111110111111111111111111111111111111111111 + 111111111111111111111111101011111111111111111011111111111111111111 + 111111111110111111111111111111111111111111111111111111111111101111 + 111111110111111111111111111111111111111111111111011111011111111111 + 111111011111110111111110111111011101111111111111111101111111111111 + 101111111111111111111111111111111111101111111111111111111111111110 + 111011111111111111011111111111111011110111101110111111111011111111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 011101111111111111111111111111111111111111111111111111111110101011* -L048060 011101111111111111111011111111111111111111111111111111111110101111* -L048126 011111111111111111111110111111111111111111111111111111111101111111* +L047994 111110111101111111111111111111111111111111011010111111111111111111* +L048060 111110111101111111111111111111111111111111011110111111111111101111* +L048126 111101111111111110111111111111111111111111011111111111111111111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111011101111101111011111111101111011111111111110011111111101* +L048324 111111110111011111111111010111111110111011111111111110101111111110* L048390 000000000000000000000000000000000000000000000000000000000000000000* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111101111111111111111111111111111111111111111111111111* -L048786 111111111111111111111111111111111111111111111111111111111111111111* -L048852 111111111111111111111111111111111111111111111111111111111111111111* -L048918 111111111111111111111111111111111111111111111111111111111111111111* -L048984 111111111111111111111111111111111111111111111111111111111111111111* -L049050 111111111111111111111111111111111111111111111111111111111111111111* -L049116 111111111111111111111111111111111111111111111111111111111111111111* -L049182 111111111111111111111111111111111111111111111111111111111111111111* -L049248 111111111111111111111111111111111111111111111111111111111111111111* -L049314 111111111111111111111111111111111111111111111111111111111111111111* +L048720 111111111111111111111111111111011111111111111111111111111111111111* +L048786 000000000000000000000000000000000000000000000000000000000000000000* +L048852 000000000000000000000000000000000000000000000000000000000000000000* +L048918 000000000000000000000000000000000000000000000000000000000000000000* +L048984 000000000000000000000000000000000000000000000000000000000000000000* +L049050 111111111111111111111111111111111111111111011111111111111111111110* +L049116 000000000000000000000000000000000000000000000000000000000000000000* +L049182 000000000000000000000000000000000000000000000000000000000000000000* +L049248 000000000000000000000000000000000000000000000000000000000000000000* +L049314 000000000000000000000000000000000000000000000000000000000000000000* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 101111111111111111111111111111111111111111111111111111111111111111* -L049512 111111111111111111111111111111111111111101111111111111111111111101* -L049578 111111111111111111111111111111110111111111111111111111111111110101* +L049446 111111111111111111111111111111111111111111101111111111111111111111* +L049512 011111110111111111111111111111111111111111111111111111111111111111* +L049578 111111110111111111111111111111110111111111110111111111111111111111* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 011111111111111111111111111111111111111111101111111111111111111111* +L049776 111111111111111111111111111111111111111111111111111111111111111111* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 111111011111111111111111111011111111111110111111111111111111111111* -L050172 111111111111111111111111111101111111111111111111111111111111111111* + 101111101111110111111111111111111111111111111111111111111111111111* +L050172 111111111111111111111111111111111111111111111111111111110111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1024,19 +1023,19 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111111111111111111110111111111111111111111111111111111111111* -L050898 111111111111111111111111111111101011111111111111111111111111111111* + 111111011111111111111111111111111111111111111111111111111111111111* +L050898 111111111111111111101111111111111011111111111111111111111111111111* L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 011111111111111101111111111111111111011111111111111111111011111111* -L051294 011111111111111101111111111111111111011111110111111111111111111111* -L051360 011111111111111111111111111101111111011111111111111111111111111111* -L051426 010111111110111011111111111111111111111111111111111111111111111111* +L051228 111111111111111111111111111101011111111110011111111111111111111111* +L051294 111111111111111111111111111101011111111111011111011111111111111111* +L051360 111111111111111111111111111101111111111111011111111111110111111111* +L051426 110111111111111111111110111111111111101111011111111111111111111111* L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 - 111111011111111111111111111111111111111101111111111111111111111111* + 011111111111110111111111111111111111111111111111111111111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1048,13 +1047,13 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 111111111111111111111111111011111111111110111111111111111111111111* -L052350 111111111111111111111111111111111111111111111110110111111111111111* + 101111101111111111111111111111111111111111111111111111111111111111* +L052350 111111111111111111110111111111111111111111111111111011111111111111* L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* L052614 111111111111111111111111111111111111111111111111111111111111111111* -L052680 011111111111111111101111111111111111111111111111111111111111111111* +L052680 101111111111111111111111111111111111111111011111111111111111111111* L052746 111111111111111111111111111111111111111111111111111111111111111111* L052812 111111111111111111111111111111111111111111111111111111111111111111* L052878 111111111111111111111111111111111111111111111111111111111111111111* @@ -1077,14 +1076,14 @@ L053736 L053868 0010* L053872 11100110011100* L053886 01101011110010* -L053900 00010110010001* -L053914 11101011110011* +L053900 00100110010001* +L053914 01100110010011* L053928 10100110010000* -L053942 01000110011110* -L053956 00010110010101* -L053970 11100011110011* -L053984 01111111111000* -L053998 11100110011110* +L053942 11100011111110* +L053956 00110110010100* +L053970 11000011110011* +L053984 01111111111001* +L053998 11100110011111* L054012 11010011110110* L054026 11111011110011* L054040 00111111110001* @@ -1110,6 +1109,6 @@ E1 10000010 1 * -CD907* +C2728* U00000000000000000000000000000000* -FFAA +EFA9 diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index 738c901..5f9d0cc 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $"; Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; -DATE = 1/24/16; -TIME = 16:20:59; +DATE = 1/25/16; +TIME = 07:24:24; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; @@ -79,12 +79,12 @@ Layer = OFF; SIZE_1_ = pin,79,-,H,-; A_31_ = pin,4,-,B,-; IPL_2_ = pin,68,-,G,-; -FC_1_ = pin,58,-,F,-; IPL_1_ = pin,56,-,F,-; -AS_030 = pin,82,-,H,-; +FC_1_ = pin,58,-,F,-; IPL_0_ = pin,67,-,G,-; -AS_000 = pin,42,-,E,-; +AS_030 = pin,82,-,H,-; FC_0_ = pin,57,-,F,-; +AS_000 = pin,42,-,E,-; DS_030 = pin,98,-,A,-; UDS_000 = pin,32,-,D,-; LDS_000 = pin,31,-,D,-; @@ -96,7 +96,7 @@ BGACK_000 = pin,28,-,D,-; CLK_030 = pin,64,-,-,-; CLK_000 = pin,11,-,-,-; CLK_OSZI = pin,61,-,-,-; -CLK_EXP = pin,10,-,B,-; +CLK_DIV_OUT = pin,65,-,G,-; FPU_CS = pin,78,-,H,-; FPU_SENSE = pin,91,-,A,-; DTACK = pin,30,-,D,-; @@ -106,14 +106,14 @@ VPA = pin,36,-,-,-; RST = pin,86,-,-,-; RESET = pin,3,-,B,-; AMIGA_ADDR_ENABLE = pin,33,-,D,-; -AMIGA_BUS_DATA_DIR = pin,48,-,E,-; SIZE_0_ = pin,70,-,G,-; -AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; +AMIGA_BUS_DATA_DIR = pin,48,-,E,-; A_30_ = pin,5,-,B,-; -AMIGA_BUS_ENABLE_HIGH = pin,34,-,D,-; +AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; A_29_ = pin,6,-,B,-; -CIIN = pin,47,-,E,-; +AMIGA_BUS_ENABLE_HIGH = pin,34,-,D,-; A_28_ = pin,15,-,C,-; +CIIN = pin,47,-,E,-; A_27_ = pin,16,-,C,-; A_26_ = pin,17,-,C,-; A_25_ = pin,18,-,C,-; @@ -133,81 +133,79 @@ RW_000 = pin,80,-,H,-; A0 = pin,69,-,G,-; BG_000 = pin,29,-,D,-; BGACK_030 = pin,83,-,H,-; -CLK_DIV_OUT = pin,65,-,G,-; +CLK_EXP = pin,10,-,B,-; DSACK1 = pin,81,-,H,-; VMA = pin,35,-,D,-; RW = pin,71,-,G,-; -cpu_est_0_ = node,-,-,D,10; -cpu_est_1_ = node,-,-,D,6; +N_317_i = node,-,-,F,14; cpu_est_2_ = node,-,-,D,13; -cpu_est_3_ = node,-,-,D,2; -inst_AS_000_INT = node,-,-,B,13; -SM_AMIGA_5_ = node,-,-,F,4; -inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,B,9; -inst_AS_030_D0 = node,-,-,H,13; -inst_nEXP_SPACE_D0reg = node,-,-,H,5; -inst_AS_030_000_SYNC = node,-,-,C,5; -inst_BGACK_030_INT_D = node,-,-,E,8; -inst_AS_000_DMA = node,-,-,A,12; -inst_DS_000_DMA = node,-,-,A,9; -CYCLE_DMA_0_ = node,-,-,A,6; -CYCLE_DMA_1_ = node,-,-,A,2; -SIZE_DMA_0_ = node,-,-,G,2; -SIZE_DMA_1_ = node,-,-,G,13; -inst_VPA_D = node,-,-,C,1; -inst_UDS_000_INT = node,-,-,A,5; -inst_LDS_000_INT = node,-,-,A,1; -inst_CLK_OUT_PRE_D = node,-,-,D,3; -inst_DTACK_D0 = node,-,-,A,3; -inst_RESET_OUT = node,-,-,G,5; -inst_CLK_OUT_PRE_50 = node,-,-,E,5; -N_210_i = node,-,-,C,9; -inst_CLK_OUT_PRE_25 = node,-,-,G,6; -inst_CLK_000_D1 = node,-,-,C,8; -inst_CLK_000_D0 = node,-,-,D,9; -inst_CLK_000_PE = node,-,-,F,0; -inst_CLK_OUT_EXP_INT = node,-,-,E,2; -CLK_000_P_SYNC_9_ = node,-,-,F,3; -inst_CLK_000_NE = node,-,-,G,9; +cpu_est_3_ = node,-,-,F,12; +cpu_est_0_ = node,-,-,D,6; +cpu_est_1_ = node,-,-,D,2; +inst_AS_000_INT = node,-,-,C,1; +SM_AMIGA_5_ = node,-,-,F,8; +inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,B,2; +inst_AS_030_D0 = node,-,-,H,3; +inst_nEXP_SPACE_D0reg = node,-,-,A,8; +inst_AS_030_000_SYNC = node,-,-,C,4; +inst_BGACK_030_INT_D = node,-,-,H,13; +inst_AS_000_DMA = node,-,-,A,1; +inst_DS_000_DMA = node,-,-,A,13; +CYCLE_DMA_0_ = node,-,-,B,5; +CYCLE_DMA_1_ = node,-,-,C,12; +SIZE_DMA_0_ = node,-,-,G,13; +SIZE_DMA_1_ = node,-,-,G,9; +inst_VPA_D = node,-,-,F,2; +inst_UDS_000_INT = node,-,-,A,9; +inst_LDS_000_INT = node,-,-,A,5; +inst_CLK_OUT_PRE_D = node,-,-,A,12; +inst_DTACK_D0 = node,-,-,B,15; +inst_RESET_OUT = node,-,-,D,9; +inst_CLK_OUT_PRE_50 = node,-,-,F,13; +inst_CLK_000_D1 = node,-,-,E,8; +inst_CLK_000_D0 = node,-,-,B,9; +inst_CLK_000_PE = node,-,-,G,5; +CLK_000_P_SYNC_9_ = node,-,-,G,15; +inst_CLK_000_NE = node,-,-,G,2; CLK_000_N_SYNC_11_ = node,-,-,H,6; -IPL_D0_0_ = node,-,-,B,15; -IPL_D0_1_ = node,-,-,B,11; -IPL_D0_2_ = node,-,-,B,7; -inst_CLK_000_NE_D0 = node,-,-,D,14; +IPL_D0_0_ = node,-,-,G,11; +IPL_D0_1_ = node,-,-,D,15; +IPL_D0_2_ = node,-,-,B,11; +inst_CLK_000_NE_D0 = node,-,-,D,10; SM_AMIGA_0_ = node,-,-,F,1; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,B,5; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,B,13; SM_AMIGA_4_ = node,-,-,F,9; -inst_DS_000_ENABLE = node,-,-,C,12; -RST_DLY_0_ = node,-,-,G,14; -RST_DLY_1_ = node,-,-,G,10; -RST_DLY_2_ = node,-,-,G,3; -CLK_000_P_SYNC_0_ = node,-,-,B,3; -CLK_000_P_SYNC_1_ = node,-,-,B,14; -CLK_000_P_SYNC_2_ = node,-,-,F,14; -CLK_000_P_SYNC_3_ = node,-,-,F,10; -CLK_000_P_SYNC_4_ = node,-,-,E,13; -CLK_000_P_SYNC_5_ = node,-,-,B,10; -CLK_000_P_SYNC_6_ = node,-,-,F,6; -CLK_000_P_SYNC_7_ = node,-,-,B,6; -CLK_000_P_SYNC_8_ = node,-,-,G,15; -CLK_000_N_SYNC_0_ = node,-,-,G,11; -CLK_000_N_SYNC_1_ = node,-,-,F,2; -CLK_000_N_SYNC_2_ = node,-,-,F,13; -CLK_000_N_SYNC_3_ = node,-,-,D,15; -CLK_000_N_SYNC_4_ = node,-,-,D,11; -CLK_000_N_SYNC_5_ = node,-,-,A,14; -CLK_000_N_SYNC_6_ = node,-,-,A,10; -CLK_000_N_SYNC_7_ = node,-,-,G,7; -CLK_000_N_SYNC_8_ = node,-,-,B,2; -CLK_000_N_SYNC_9_ = node,-,-,D,7; +inst_DS_000_ENABLE = node,-,-,C,8; +RST_DLY_0_ = node,-,-,D,3; +RST_DLY_1_ = node,-,-,D,14; +RST_DLY_2_ = node,-,-,D,7; +CLK_000_P_SYNC_0_ = node,-,-,E,2; +CLK_000_P_SYNC_1_ = node,-,-,B,7; +CLK_000_P_SYNC_2_ = node,-,-,G,7; +CLK_000_P_SYNC_3_ = node,-,-,E,13; +CLK_000_P_SYNC_4_ = node,-,-,G,3; +CLK_000_P_SYNC_5_ = node,-,-,G,14; +CLK_000_P_SYNC_6_ = node,-,-,A,3; +CLK_000_P_SYNC_7_ = node,-,-,B,3; +CLK_000_P_SYNC_8_ = node,-,-,G,10; +CLK_000_N_SYNC_0_ = node,-,-,E,9; +CLK_000_N_SYNC_1_ = node,-,-,A,14; +CLK_000_N_SYNC_2_ = node,-,-,B,14; +CLK_000_N_SYNC_3_ = node,-,-,B,10; +CLK_000_N_SYNC_4_ = node,-,-,B,6; +CLK_000_N_SYNC_5_ = node,-,-,D,11; +CLK_000_N_SYNC_6_ = node,-,-,G,6; +CLK_000_N_SYNC_7_ = node,-,-,F,3; +CLK_000_N_SYNC_8_ = node,-,-,A,10; +CLK_000_N_SYNC_9_ = node,-,-,A,6; CLK_000_N_SYNC_10_ = node,-,-,H,2; -SM_AMIGA_6_ = node,-,-,C,4; -inst_CLK_030_H = node,-,-,A,13; -SM_AMIGA_1_ = node,-,-,A,8; -SM_AMIGA_3_ = node,-,-,F,5; -SM_AMIGA_2_ = node,-,-,F,12; -SM_AMIGA_i_7_ = node,-,-,F,8; -CIIN_0 = node,-,-,E,9; +SM_AMIGA_6_ = node,-,-,F,4; +inst_CLK_030_H = node,-,-,A,2; +SM_AMIGA_1_ = node,-,-,F,5; +SM_AMIGA_3_ = node,-,-,F,6; +SM_AMIGA_2_ = node,-,-,F,10; +SM_AMIGA_i_7_ = node,-,-,F,0; +CIIN_0 = node,-,-,E,5; [GROUP ASSIGNMENTS] Layer = OFF; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index 9ab8bd6..32897c5 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -1609,6 +1609,152 @@ 14 A_28_ 1 -1 -1 1 4 14 -1 13 nEXP_SPACE 1 -1 -1 1 7 13 -1 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +140 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 5 0 1 2 4 7 41 -1 1 0 21 + 79 RW_000 5 365 7 3 0 4 6 79 -1 3 0 21 + 40 BERR 5 -1 4 3 2 5 7 40 -1 1 0 21 + 70 RW 5 371 6 2 2 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 366 6 1 0 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 8 IPL_030_2_ 5 362 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 364 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 363 1 0 6 -1 10 0 21 + 80 DSACK1 5 369 7 0 80 -1 4 0 21 + 82 BGACK_030 5 368 7 0 82 -1 3 0 21 + 34 VMA 5 370 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 367 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 368 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 302 inst_nEXP_SPACE_D0reg 3 -1 0 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 316 inst_RESET_OUT 3 -1 3 6 0 1 3 4 6 7 -1 -1 2 0 21 + 320 inst_CLK_000_PE 3 -1 6 5 1 2 3 5 7 -1 -1 1 0 21 + 360 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 + 301 inst_AS_030_D0 3 -1 7 4 2 3 4 7 -1 -1 1 0 21 + 294 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 355 SM_AMIGA_6_ 3 -1 5 3 0 2 5 -1 -1 3 0 21 + 299 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 297 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 3 0 21 + 295 cpu_est_3_ 3 -1 5 3 3 5 6 -1 -1 3 0 21 + 328 SM_AMIGA_0_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 + 307 CYCLE_DMA_0_ 3 -1 1 3 0 1 2 -1 -1 2 0 21 + 319 inst_CLK_000_D0 3 -1 1 3 3 4 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_D 3 -1 0 3 1 6 7 -1 -1 1 0 21 + 304 inst_BGACK_030_INT_D 3 -1 7 3 1 2 6 -1 -1 1 0 21 + 305 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 303 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 + 331 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 5 0 21 + 370 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 357 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 330 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 313 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 310 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 309 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 308 CYCLE_DMA_1_ 3 -1 2 2 0 2 -1 -1 3 0 21 + 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 1 2 1 3 -1 -1 2 0 21 + 312 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 300 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 1 2 1 2 -1 -1 2 0 21 + 298 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 296 cpu_est_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 327 inst_CLK_000_NE_D0 3 -1 3 2 3 5 -1 -1 1 0 21 + 322 inst_CLK_000_NE 3 -1 6 2 3 5 -1 -1 1 0 21 + 318 inst_CLK_000_D1 3 -1 4 2 4 5 -1 -1 1 0 21 + 317 inst_CLK_OUT_PRE_50 3 -1 5 2 0 5 -1 -1 1 0 21 + 311 inst_VPA_D 3 -1 5 2 3 5 -1 -1 1 0 21 + 364 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 363 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 362 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 306 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 356 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 358 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 369 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 359 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 333 RST_DLY_1_ 3 -1 3 1 3 -1 -1 4 0 21 + 293 N_317_i 3 -1 5 1 5 -1 -1 4 0 21 + 366 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 365 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 332 RST_DLY_0_ 3 -1 3 1 3 -1 -1 3 0 21 + 371 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 367 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 361 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 334 RST_DLY_2_ 3 -1 3 1 3 -1 -1 2 0 21 + 354 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_9_ 3 -1 0 1 7 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_7_ 3 -1 5 1 0 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_6_ 3 -1 6 1 5 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_5_ 3 -1 3 1 6 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_4_ 3 -1 1 1 3 -1 -1 1 0 21 + 347 CLK_000_N_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 346 CLK_000_N_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 345 CLK_000_N_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 + 344 CLK_000_N_SYNC_0_ 3 -1 4 1 0 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_7_ 3 -1 1 1 6 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_4_ 3 -1 6 1 6 -1 -1 1 0 21 + 338 CLK_000_P_SYNC_3_ 3 -1 4 1 6 -1 -1 1 0 21 + 337 CLK_000_P_SYNC_2_ 3 -1 6 1 4 -1 -1 1 0 21 + 336 CLK_000_P_SYNC_1_ 3 -1 1 1 6 -1 -1 1 0 21 + 335 CLK_000_P_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 + 326 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 325 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 + 324 IPL_D0_0_ 3 -1 6 1 1 -1 -1 1 0 21 + 323 CLK_000_N_SYNC_11_ 3 -1 7 1 6 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_9_ 3 -1 6 1 6 -1 -1 1 0 21 + 315 inst_DTACK_D0 3 -1 1 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 66 IPL_0_ 1 -1 -1 2 1 6 66 -1 + 63 CLK_030 1 -1 -1 2 0 7 63 -1 + 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 59 A1 1 -1 -1 1 1 59 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 29 DTACK 1 -1 -1 1 1 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 0 13 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 5 A_29_ 1 -1 -1 1 4 5 -1 4 A_30_ 1 -1 -1 1 4 4 -1 3 A_31_ 1 -1 -1 1 4 3 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index f06f05c..ee440fb 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,18 +8,18 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Sun Jan 24 16:20:59 2016 +; DATE Mon Jan 25 07:24:24 2016 Pin 79 SIZE_1_ Comb ; S6=1 S9=1 Pair 287 Pin 4 A_31_ Pin 68 IPL_2_ -Pin 58 FC_1_ Pin 56 IPL_1_ -Pin 82 AS_030 Comb ; S6=1 S9=1 Pair 281 +Pin 58 FC_1_ Pin 67 IPL_0_ -Pin 42 AS_000 Comb ; S6=1 S9=1 Pair 203 +Pin 82 AS_030 Comb ; S6=1 S9=1 Pair 281 Pin 57 FC_0_ +Pin 42 AS_000 Comb ; S6=1 S9=1 Pair 203 Pin 98 DS_030 Comb ; S6=1 S9=1 Pair 101 Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191 @@ -31,7 +31,7 @@ Pin 28 BGACK_000 Pin 64 CLK_030 Pin 11 CLK_000 Pin 61 CLK_OSZI -Pin 10 CLK_EXP Comb ; S6=1 S9=1 Pair 125 +Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 247 Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 Pin 91 FPU_SENSE Pin 30 DTACK @@ -41,14 +41,14 @@ Pin 36 VPA Pin 86 RST Pin 3 RESET Comb ; S6=1 S9=1 Pair 127 Pin 33 AMIGA_ADDR_ENABLE Comb ; S6=1 S9=1 Pair 181 -Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 199 Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 -Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 149 +Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 199 Pin 5 A_30_ -Pin 34 AMIGA_BUS_ENABLE_HIGH Comb ; S6=1 S9=1 Pair 179 +Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 149 Pin 6 A_29_ -Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 +Pin 34 AMIGA_BUS_ENABLE_HIGH Comb ; S6=1 S9=1 Pair 179 Pin 15 A_28_ +Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 Pin 16 A_27_ Pin 17 A_26_ Pin 18 A_25_ @@ -68,7 +68,7 @@ Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269 Pin 69 A0 Reg ; S6=1 S9=1 Pair 257 Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175 Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 -Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 247 +Pin 10 CLK_EXP Reg ; S6=1 S9=1 Pair 125 Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 283 Pin 35 VMA Reg ; S6=1 S9=1 Pair 173 Pin 71 RW Reg ; S6=1 S9=1 Pair 245 @@ -89,76 +89,74 @@ Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 Node 283 RN_DSACK1 Reg ; S6=1 S9=1 Node 173 RN_VMA Reg ; S6=1 S9=1 Node 245 RN_RW Reg ; S6=1 S9=1 -Node 188 cpu_est_0_ Reg ; S6=1 S9=1 -Node 182 cpu_est_1_ Reg ; S6=1 S9=1 +Node 242 N_317_i Comb ; S6=1 S9=1 Node 193 cpu_est_2_ Reg ; S6=1 S9=1 -Node 176 cpu_est_3_ Reg ; S6=1 S9=1 -Node 145 inst_AS_000_INT Reg ; S6=1 S9=1 -Node 227 SM_AMIGA_5_ Reg ; S6=1 S9=1 -Node 139 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 -Node 289 inst_AS_030_D0 Reg ; S6=1 S9=1 -Node 277 inst_nEXP_SPACE_D0reg Reg ; S6=1 S9=1 -Node 157 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 -Node 209 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 -Node 119 inst_AS_000_DMA Reg ; S6=1 S9=1 -Node 115 inst_DS_000_DMA Reg ; S6=1 S9=1 -Node 110 CYCLE_DMA_0_ Reg ; S6=1 S9=1 -Node 104 CYCLE_DMA_1_ Reg ; S6=1 S9=1 -Node 248 SIZE_DMA_0_ Reg ; S6=1 S9=1 -Node 265 SIZE_DMA_1_ Reg ; S6=1 S9=1 -Node 151 inst_VPA_D Reg ; S6=1 S9=1 -Node 109 inst_UDS_000_INT Reg ; S6=1 S9=1 -Node 103 inst_LDS_000_INT Reg ; S6=1 S9=1 -Node 178 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 -Node 106 inst_DTACK_D0 Reg ; S6=1 S9=1 -Node 253 inst_RESET_OUT Reg ; S6=1 S9=1 -Node 205 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 -Node 163 N_210_i Comb ; S6=1 S9=1 -Node 254 inst_CLK_OUT_PRE_25 Reg ; S6=1 S9=1 -Node 161 inst_CLK_000_D1 Reg ; S6=1 S9=1 -Node 187 inst_CLK_000_D0 Reg ; S6=1 S9=1 -Node 221 inst_CLK_000_PE Reg ; S6=1 S9=1 -Node 200 inst_CLK_OUT_EXP_INT Reg ; S6=1 S9=1 -Node 226 CLK_000_P_SYNC_9_ Reg ; S6=1 S9=1 -Node 259 inst_CLK_000_NE Reg ; S6=1 S9=1 +Node 239 cpu_est_3_ Reg ; S6=1 S9=1 +Node 182 cpu_est_0_ Reg ; S6=1 S9=1 +Node 176 cpu_est_1_ Reg ; S6=1 S9=1 +Node 151 inst_AS_000_INT Reg ; S6=1 S9=1 +Node 233 SM_AMIGA_5_ Reg ; S6=1 S9=1 +Node 128 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 +Node 274 inst_AS_030_D0 Reg ; S6=1 S9=1 +Node 113 inst_nEXP_SPACE_D0reg Reg ; S6=1 S9=1 +Node 155 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 +Node 289 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 +Node 103 inst_AS_000_DMA Reg ; S6=1 S9=1 +Node 121 inst_DS_000_DMA Reg ; S6=1 S9=1 +Node 133 CYCLE_DMA_0_ Reg ; S6=1 S9=1 +Node 167 CYCLE_DMA_1_ Reg ; S6=1 S9=1 +Node 265 SIZE_DMA_0_ Reg ; S6=1 S9=1 +Node 259 SIZE_DMA_1_ Reg ; S6=1 S9=1 +Node 224 inst_VPA_D Reg ; S6=1 S9=1 +Node 115 inst_UDS_000_INT Reg ; S6=1 S9=1 +Node 109 inst_LDS_000_INT Reg ; S6=1 S9=1 +Node 119 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 +Node 148 inst_DTACK_D0 Reg ; S6=1 S9=1 +Node 187 inst_RESET_OUT Reg ; S6=1 S9=1 +Node 241 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 +Node 209 inst_CLK_000_D1 Reg ; S6=1 S9=1 +Node 139 inst_CLK_000_D0 Reg ; S6=1 S9=1 +Node 253 inst_CLK_000_PE Reg ; S6=1 S9=1 +Node 268 CLK_000_P_SYNC_9_ Reg ; S6=1 S9=1 +Node 248 inst_CLK_000_NE Reg ; S6=1 S9=1 Node 278 CLK_000_N_SYNC_11_ Reg ; S6=1 S9=1 -Node 148 IPL_D0_0_ Reg ; S6=1 S9=1 -Node 142 IPL_D0_1_ Reg ; S6=1 S9=1 -Node 136 IPL_D0_2_ Reg ; S6=1 S9=1 -Node 194 inst_CLK_000_NE_D0 Reg ; S6=1 S9=1 +Node 262 IPL_D0_0_ Reg ; S6=1 S9=1 +Node 196 IPL_D0_1_ Reg ; S6=1 S9=1 +Node 142 IPL_D0_2_ Reg ; S6=1 S9=1 +Node 188 inst_CLK_000_NE_D0 Reg ; S6=1 S9=1 Node 223 SM_AMIGA_0_ Reg ; S6=1 S9=1 -Node 133 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 +Node 145 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 Node 235 SM_AMIGA_4_ Reg ; S6=1 S9=1 -Node 167 inst_DS_000_ENABLE Reg ; S6=1 S9=1 -Node 266 RST_DLY_0_ Reg ; S6=1 S9=1 -Node 260 RST_DLY_1_ Reg ; S6=1 S9=1 -Node 250 RST_DLY_2_ Reg ; S6=1 S9=1 -Node 130 CLK_000_P_SYNC_0_ Reg ; S6=1 S9=1 -Node 146 CLK_000_P_SYNC_1_ Reg ; S6=1 S9=1 -Node 242 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 -Node 236 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 -Node 217 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 -Node 140 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 -Node 230 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 -Node 134 CLK_000_P_SYNC_7_ Reg ; S6=1 S9=1 -Node 268 CLK_000_P_SYNC_8_ Reg ; S6=1 S9=1 -Node 262 CLK_000_N_SYNC_0_ Reg ; S6=1 S9=1 -Node 224 CLK_000_N_SYNC_1_ Reg ; S6=1 S9=1 -Node 241 CLK_000_N_SYNC_2_ Reg ; S6=1 S9=1 -Node 196 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 -Node 190 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 -Node 122 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 -Node 116 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 -Node 256 CLK_000_N_SYNC_7_ Reg ; S6=1 S9=1 -Node 128 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 -Node 184 CLK_000_N_SYNC_9_ Reg ; S6=1 S9=1 +Node 161 inst_DS_000_ENABLE Reg ; S6=1 S9=1 +Node 178 RST_DLY_0_ Reg ; S6=1 S9=1 +Node 194 RST_DLY_1_ Reg ; S6=1 S9=1 +Node 184 RST_DLY_2_ Reg ; S6=1 S9=1 +Node 200 CLK_000_P_SYNC_0_ Reg ; S6=1 S9=1 +Node 136 CLK_000_P_SYNC_1_ Reg ; S6=1 S9=1 +Node 256 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 +Node 217 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 +Node 250 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 +Node 266 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 +Node 106 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 +Node 130 CLK_000_P_SYNC_7_ Reg ; S6=1 S9=1 +Node 260 CLK_000_P_SYNC_8_ Reg ; S6=1 S9=1 +Node 211 CLK_000_N_SYNC_0_ Reg ; S6=1 S9=1 +Node 122 CLK_000_N_SYNC_1_ Reg ; S6=1 S9=1 +Node 146 CLK_000_N_SYNC_2_ Reg ; S6=1 S9=1 +Node 140 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 +Node 134 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 +Node 190 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 +Node 254 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 +Node 226 CLK_000_N_SYNC_7_ Reg ; S6=1 S9=1 +Node 116 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 +Node 110 CLK_000_N_SYNC_9_ Reg ; S6=1 S9=1 Node 272 CLK_000_N_SYNC_10_ Reg ; S6=1 S9=1 -Node 155 SM_AMIGA_6_ Reg ; S6=1 S9=1 -Node 121 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 113 SM_AMIGA_1_ Reg ; S6=1 S9=1 -Node 229 SM_AMIGA_3_ Reg ; S6=1 S9=1 -Node 239 SM_AMIGA_2_ Reg ; S6=1 S9=1 -Node 233 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 -Node 211 CIIN_0 Comb ; S6=1 S9=1 +Node 227 SM_AMIGA_6_ Reg ; S6=1 S9=1 +Node 104 inst_CLK_030_H Reg ; S6=1 S9=1 +Node 229 SM_AMIGA_1_ Reg ; S6=1 S9=1 +Node 230 SM_AMIGA_3_ Reg ; S6=1 S9=1 +Node 236 SM_AMIGA_2_ Reg ; S6=1 S9=1 +Node 221 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 +Node 205 CIIN_0 Comb ; S6=1 S9=1 ; Unused Pins & Nodes ; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index faa569a..6663e58 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Sun Jan 24 16:20:59 2016 -End : Sun Jan 24 16:20:59 2016 $$$ Elapsed time: 00:00:00 +Start: Mon Jan 25 07:24:24 2016 +End : Mon Jan 25 07:24:24 2016 $$$ Elapsed time: 00:00:00 =========================================================================== Part [C:/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] @@ -21,16 +21,16 @@ Part [C:/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] | | +- Signals to Place | | +----- Logic Array Inputs | | | +- Placed | | | +- Array Inputs Used _|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 13 | 13 => 100% | 8 | 8 => 100% | 33 | 28 => 84% + 0 | 16 | 13 | 13 => 100% | 8 | 8 => 100% | 33 | 26 => 78% 1 | 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 27 => 81% - 2 | 16 | 7 | 7 => 100% | 8 | 7 => 87% | 33 | 28 => 84% + 2 | 16 | 5 | 5 => 100% | 8 | 7 => 87% | 33 | 26 => 78% 3 | 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 27 => 81% 4 | 16 | 9 | 9 => 100% | 8 | 4 => 50% | 33 | 32 => 96% - 5 | 16 | 13 | 13 => 100% | 8 | 5 => 62% | 33 | 27 => 81% - 6 | 16 | 16 | 16 => 100% | 8 | 7 => 87% | 33 | 27 => 81% - 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 32 => 96% + 5 | 16 | 13 | 13 => 100% | 8 | 5 => 62% | 33 | 28 => 84% + 6 | 16 | 16 | 16 => 100% | 8 | 7 => 87% | 33 | 25 => 75% + 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 31 => 93% ---|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 28.50 => 86% + | Avg number of array inputs in used blocks : 27.75 => 84% * Input/Clock Signal count: 32 -> placed: 32 = 100% @@ -41,13 +41,13 @@ _|____|____|____|_______________|____|_____________|___|________________ Clock Only Pins : 0 0 => 0% Clock/Input Pins : 4 4 => 100% Logic Blocks : 8 8 => 100% - Macrocells : 128 100 => 78% + Macrocells : 128 98 => 76% PT Clusters : 128 55 => 42% - - Single PT Clusters : 128 54 => 42% + - Single PT Clusters : 128 53 => 41% Input Registers : 0 * Routing Completion: 100% -* Attempts: Place [ 142] Route [ 0] +* Attempts: Place [ 246] Route [ 0] =========================================================================== Signal Fanout Table =========================================================================== @@ -64,7 +64,7 @@ ___|__|__|____|____________________________________________________________ 4| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR 5| 3|OUT| 34|=> ....|....| AMIGA_BUS_ENABLE_HIGH 6| 2|OUT| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW - 7| 4| IO| 42|=> 0...|4..7| AS_000 + 7| 4| IO| 42|=> 012.|4..7| AS_000 8| 7| IO| 82|=> ....|4..7| AS_030 9| 0|OUT| 92|=> ....|....| AVEC 10| 0|INP| 96|=> ..2.|4..7| A_16_ @@ -83,7 +83,7 @@ ___|__|__|____|____________________________________________________________ 23| 1|INP| 6|=> ....|4...| A_29_ 24| 1|INP| 5|=> ....|4...| A_30_ 25| 1|INP| 4|=> ....|4...| A_31_ - 26| 4| IO| 41|=> 012.|.5.7| BERR + 26| 4| IO| 41|=> ..2.|.5.7| BERR 27| 3|INP| 28|=> ....|4..7| BGACK_000 28| 7| IO| 83|=> ....|....| BGACK_030 |=> Paired w/: RN_BGACK_030 @@ -92,39 +92,39 @@ ___|__|__|____|____________________________________________________________ 30| 2|INP| 21|=> ...3|....| BG_030 31| 4|OUT| 47|=> ....|....| CIIN 32| 4|NOD| . |=> ....|4...| CIIN_0 - 33| +|INP| 11|=> ...3|....| CLK_000 - 34| 6|NOD| . |=> ....|.5..| CLK_000_N_SYNC_0_ + 33| +|INP| 11|=> .1..|....| CLK_000 + 34| 4|NOD| . |=> 0...|....| CLK_000_N_SYNC_0_ 35| 7|NOD| . |=> ....|...7| CLK_000_N_SYNC_10_ 36| 7|NOD| . |=> ....|..6.| CLK_000_N_SYNC_11_ - 37| 5|NOD| . |=> ....|.5..| CLK_000_N_SYNC_1_ - 38| 5|NOD| . |=> ...3|....| CLK_000_N_SYNC_2_ - 39| 3|NOD| . |=> ...3|....| CLK_000_N_SYNC_3_ - 40| 3|NOD| . |=> 0...|....| CLK_000_N_SYNC_4_ - 41| 0|NOD| . |=> 0...|....| CLK_000_N_SYNC_5_ - 42| 0|NOD| . |=> ....|..6.| CLK_000_N_SYNC_6_ - 43| 6|NOD| . |=> .1..|....| CLK_000_N_SYNC_7_ - 44| 1|NOD| . |=> ...3|....| CLK_000_N_SYNC_8_ - 45| 3|NOD| . |=> ....|...7| CLK_000_N_SYNC_9_ - 46| 1|NOD| . |=> .1..|....| CLK_000_P_SYNC_0_ - 47| 1|NOD| . |=> ....|.5..| CLK_000_P_SYNC_1_ - 48| 5|NOD| . |=> ....|.5..| CLK_000_P_SYNC_2_ - 49| 5|NOD| . |=> ....|4...| CLK_000_P_SYNC_3_ - 50| 4|NOD| . |=> .1..|....| CLK_000_P_SYNC_4_ - 51| 1|NOD| . |=> ....|.5..| CLK_000_P_SYNC_5_ - 52| 5|NOD| . |=> .1..|....| CLK_000_P_SYNC_6_ + 37| 0|NOD| . |=> .1..|....| CLK_000_N_SYNC_1_ + 38| 1|NOD| . |=> .1..|....| CLK_000_N_SYNC_2_ + 39| 1|NOD| . |=> .1..|....| CLK_000_N_SYNC_3_ + 40| 1|NOD| . |=> ...3|....| CLK_000_N_SYNC_4_ + 41| 3|NOD| . |=> ....|..6.| CLK_000_N_SYNC_5_ + 42| 6|NOD| . |=> ....|.5..| CLK_000_N_SYNC_6_ + 43| 5|NOD| . |=> 0...|....| CLK_000_N_SYNC_7_ + 44| 0|NOD| . |=> 0...|....| CLK_000_N_SYNC_8_ + 45| 0|NOD| . |=> ....|...7| CLK_000_N_SYNC_9_ + 46| 4|NOD| . |=> .1..|....| CLK_000_P_SYNC_0_ + 47| 1|NOD| . |=> ....|..6.| CLK_000_P_SYNC_1_ + 48| 6|NOD| . |=> ....|4...| CLK_000_P_SYNC_2_ + 49| 4|NOD| . |=> ....|..6.| CLK_000_P_SYNC_3_ + 50| 6|NOD| . |=> ....|..6.| CLK_000_P_SYNC_4_ + 51| 6|NOD| . |=> 0...|....| CLK_000_P_SYNC_5_ + 52| 0|NOD| . |=> .1..|....| CLK_000_P_SYNC_6_ 53| 1|NOD| . |=> ....|..6.| CLK_000_P_SYNC_7_ - 54| 6|NOD| . |=> ....|.5..| CLK_000_P_SYNC_8_ - 55| 5|NOD| . |=> ....|.5..| CLK_000_P_SYNC_9_ + 54| 6|NOD| . |=> ....|..6.| CLK_000_P_SYNC_8_ + 55| 6|NOD| . |=> ....|..6.| CLK_000_P_SYNC_9_ 56| +|INP| 64|=> 0...|...7| CLK_030 57| 6|OUT| 65|=> ....|....| CLK_DIV_OUT 58| 1|OUT| 10|=> ....|....| CLK_EXP 59| +|Cin| 61|=> ....|....| CLK_OSZI - 60| 0|NOD| . |=> 0...|....| CYCLE_DMA_0_ - 61| 0|NOD| . |=> 0...|....| CYCLE_DMA_1_ + 60| 1|NOD| . |=> 012.|....| CYCLE_DMA_0_ + 61| 2|NOD| . |=> 0.2.|....| CYCLE_DMA_1_ 62| 7| IO| 81|=> ....|....| DSACK1 |=> Paired w/: RN_DSACK1 63| 0|OUT| 98|=> ....|....| DS_030 - 64| 3|INP| 30|=> 0...|....| DTACK + 64| 3|INP| 30|=> .1..|....| DTACK 65| 6|OUT| 66|=> ....|....| E 66| 5|INP| 57|=> ..2.|4..7| FC_0_ 67| 5|INP| 58|=> ..2.|4..7| FC_1_ @@ -136,14 +136,14 @@ ___|__|__|____|____________________________________________________________ |=> Paired w/: RN_IPL_030_1_ 72| 1| IO| 9|=> ....|....| IPL_030_2_ |=> Paired w/: RN_IPL_030_2_ - 73| 6|INP| 67|=> .1..|....| IPL_0_ - 74| 5|INP| 56|=> .1..|....| IPL_1_ + 73| 6|INP| 67|=> .1..|..6.| IPL_0_ + 74| 5|INP| 56|=> .1.3|....| IPL_1_ 75| 6|INP| 68|=> .1..|....| IPL_2_ - 76| 1|NOD| . |=> .1..|....| IPL_D0_0_ - 77| 1|NOD| . |=> .1..|....| IPL_D0_1_ + 76| 6|NOD| . |=> .1..|....| IPL_D0_0_ + 77| 3|NOD| . |=> .1..|....| IPL_D0_1_ 78| 1|NOD| . |=> .1..|....| IPL_D0_2_ 79| 3| IO| 31|=> 0...|..6.| LDS_000 - 80| 2|NOD| . |=> ....|.5..| N_210_i + 80| 5|NOD| . |=> ....|.5..| N_317_i 81| 1|OUT| 3|=> ....|....| RESET 82| 6|NOD| . |=> ....|..6.| RN_A0 |=> Paired w/: A0 @@ -165,10 +165,10 @@ ___|__|__|____|____________________________________________________________ |=> Paired w/: RW_000 91| 3|NOD| . |=> ...3|.5..| RN_VMA |=> Paired w/: VMA - 92| +|INP| 86|=> 0123|4567| RST - 93| 6|NOD| . |=> ....|..6.| RST_DLY_0_ - 94| 6|NOD| . |=> ....|..6.| RST_DLY_1_ - 95| 6|NOD| . |=> ....|..6.| RST_DLY_2_ + 92| +|INP| 86|=> 0123|.567| RST + 93| 3|NOD| . |=> ...3|....| RST_DLY_0_ + 94| 3|NOD| . |=> ...3|....| RST_DLY_1_ + 95| 3|NOD| . |=> ...3|....| RST_DLY_2_ 96| 6| IO| 71|=> ..2.|...7| RW |=> Paired w/: RN_RW 97| 7| IO| 80|=> 0...|4.6.| RW_000 @@ -178,47 +178,45 @@ ___|__|__|____|____________________________________________________________ 100| 6|NOD| . |=> ....|..67| SIZE_DMA_0_ 101| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ 102| 5|NOD| . |=> ..2.|.5.7| SM_AMIGA_0_ - 103| 0|NOD| . |=> 0.2.|.5.7| SM_AMIGA_1_ - 104| 5|NOD| . |=> 0.2.|.5..| SM_AMIGA_2_ - 105| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_3_ + 103| 5|NOD| . |=> ....|.5.7| SM_AMIGA_1_ + 104| 5|NOD| . |=> ....|.5..| SM_AMIGA_2_ + 105| 5|NOD| . |=> ....|.5..| SM_AMIGA_3_ 106| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_4_ - 107| 5|NOD| . |=> .12.|.5.7| SM_AMIGA_5_ - 108| 2|NOD| . |=> 0.2.|.5..| SM_AMIGA_6_ - 109| 5|NOD| . |=> ..23|...7| SM_AMIGA_i_7_ + 107| 5|NOD| . |=> ..2.|.5.7| SM_AMIGA_5_ + 108| 5|NOD| . |=> 0.2.|.5..| SM_AMIGA_6_ + 109| 5|NOD| . |=> ..23|.5.7| SM_AMIGA_i_7_ 110| 3| IO| 32|=> 0...|..6.| UDS_000 111| 3| IO| 35|=> ....|....| VMA |=> Paired w/: RN_VMA - 112| +|INP| 36|=> ..2.|....| VPA + 112| +|INP| 36|=> ....|.5..| VPA 113| 3|NOD| . |=> ...3|.5..| cpu_est_0_ 114| 3|NOD| . |=> ...3|.56.| cpu_est_1_ 115| 3|NOD| . |=> ...3|.56.| cpu_est_2_ - 116| 3|NOD| . |=> ...3|.56.| cpu_est_3_ + 116| 5|NOD| . |=> ...3|.56.| cpu_est_3_ 117| 1|NOD| . |=> .1.3|....| inst_AMIGA_BUS_ENABLE_DMA_HIGH 118| 1|NOD| . |=> .12.|....| inst_AMIGA_BUS_ENABLE_DMA_LOW 119| 0|NOD| . |=> 0...|...7| inst_AS_000_DMA - 120| 1|NOD| . |=> .1..|4...| inst_AS_000_INT - 121| 2|NOD| . |=> ..2.|....| inst_AS_030_000_SYNC - 122| 7|NOD| . |=> .123|4..7| inst_AS_030_D0 - 123| 4|NOD| . |=> .12.|..6.| inst_BGACK_030_INT_D - 124| 3|NOD| . |=> .123|..6.| inst_CLK_000_D0 - 125| 2|NOD| . |=> .12.|..6.| inst_CLK_000_D1 - 126| 6|NOD| . |=> 0..3|.56.| inst_CLK_000_NE + 120| 2|NOD| . |=> ..2.|4...| inst_AS_000_INT + 121| 2|NOD| . |=> ..2.|.5..| inst_AS_030_000_SYNC + 122| 7|NOD| . |=> ..23|4..7| inst_AS_030_D0 + 123| 7|NOD| . |=> .12.|..6.| inst_BGACK_030_INT_D + 124| 1|NOD| . |=> ...3|45..| inst_CLK_000_D0 + 125| 4|NOD| . |=> ....|45..| inst_CLK_000_D1 + 126| 6|NOD| . |=> ...3|.5..| inst_CLK_000_NE 127| 3|NOD| . |=> ...3|.5..| inst_CLK_000_NE_D0 - 128| 5|NOD| . |=> 0.23|.5.7| inst_CLK_000_PE + 128| 6|NOD| . |=> .123|.5.7| inst_CLK_000_PE 129| 0|NOD| . |=> 0...|....| inst_CLK_030_H - 130| 4|NOD| . |=> .1..|....| inst_CLK_OUT_EXP_INT - 131| 6|NOD| . |=> ...3|..6.| inst_CLK_OUT_PRE_25 - 132| 4|NOD| . |=> ....|4.6.| inst_CLK_OUT_PRE_50 - 133| 3|NOD| . |=> ....|..67| inst_CLK_OUT_PRE_D - 134| 0|NOD| . |=> 0...|....| inst_DS_000_DMA - 135| 2|NOD| . |=> ..23|....| inst_DS_000_ENABLE - 136| 0|NOD| . |=> ....|.5..| inst_DTACK_D0 - 137| 0|NOD| . |=> 0..3|....| inst_LDS_000_INT - 138| 6|NOD| . |=> 01.3|4.67| inst_RESET_OUT - 139| 0|NOD| . |=> 0..3|....| inst_UDS_000_INT - 140| 2|NOD| . |=> ...3|.5..| inst_VPA_D - 141| 7|NOD| . |=> 0.23|4.67| inst_nEXP_SPACE_D0reg - 142| +|INP| 14|=> ....|...7| nEXP_SPACE + 130| 5|NOD| . |=> 0...|.5..| inst_CLK_OUT_PRE_50 + 131| 0|NOD| . |=> .1..|..67| inst_CLK_OUT_PRE_D + 132| 0|NOD| . |=> 0...|....| inst_DS_000_DMA + 133| 2|NOD| . |=> ..23|....| inst_DS_000_ENABLE + 134| 1|NOD| . |=> ....|.5..| inst_DTACK_D0 + 135| 0|NOD| . |=> 0..3|....| inst_LDS_000_INT + 136| 3|NOD| . |=> 01.3|4.67| inst_RESET_OUT + 137| 0|NOD| . |=> 0..3|....| inst_UDS_000_INT + 138| 5|NOD| . |=> ...3|.5..| inst_VPA_D + 139| 0|NOD| . |=> 0.23|4567| inst_nEXP_SPACE_D0reg + 140| +|INP| 14|=> 0...|....| nEXP_SPACE --------------------------------------------------------------------------- =========================================================================== < C:/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > @@ -338,21 +336,21 @@ ____|_____|_________|______________________________________________________ | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| DS_030|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1|inst_LDS_000_INT|NOD| | S | 3 | 4 to [ 1]| 1 XOR free - 2| CYCLE_DMA_1_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 0| DS_030|OUT| | S | 1 | 4 to [ 1]| 1 XOR to [ 0] for 1 PT sig + 1|inst_AS_000_DMA|NOD| | S | 7 | 4 to [ 1]| 1 XOR to [ 1] as logic PT + 2|inst_CLK_030_H|NOD| | S | 8 | 4 to [ 2]| 1 XOR to [ 2] as logic PT + 3|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 to [ 2]| 1 XOR to [ 3] for 1 PT sig 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| CYCLE_DMA_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 5|inst_LDS_000_INT|NOD| | S | 3 | 4 to [ 5]| 1 XOR free + 6|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free - 8| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 8]| 1 XOR free - 9|inst_DS_000_DMA|NOD| | S | 9 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 to [12]| 1 XOR free -12|inst_AS_000_DMA|NOD| | S | 7 | 4 to [12]| 1 XOR to [12] as logic PT -13|inst_CLK_030_H|NOD| | S | 8 | 4 to [13]| 1 XOR to [13] as logic PT -14|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 to [13]| 1 XOR to [14] for 1 PT sig + 8|inst_nEXP_SPACE_D0reg|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig + 9|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 9]| 1 XOR free +10|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig +11| | ? | | S | | 4 free | 1 XOR free +12|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 to [13]| 1 XOR to [12] for 1 PT sig +13|inst_DS_000_DMA|NOD| | S | 9 | 4 to [13]| 1 XOR to [13] as logic PT +14|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -365,22 +363,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| DS_030|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) - 1|inst_LDS_000_INT|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 2| CYCLE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 3| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 0| DS_030|OUT| | S | 1 |=> can support up to [ 1] logic PT(s) + 1|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 9] logic PT(s) + 2|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) 4| AVEC|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 5|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) - 6| CYCLE_DMA_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) - 9|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 9] logic PT(s) -10|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) -11| | ? | | S | |=> can support up to [ 1] logic PT(s) -12|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 10] logic PT(s) -13|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 14] logic PT(s) -14|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) -15| | ? | | S | |=> can support up to [ 5] logic PT(s) + 5|inst_LDS_000_INT|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) + 6|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 7| | ? | | S | |=> can support up to [ 13] logic PT(s) + 8|inst_nEXP_SPACE_D0reg|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 9|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) +10|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +13|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 18] logic PT(s) +14|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Node-Pin Assignments @@ -391,20 +389,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| DS_030|OUT| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 - 1|inst_LDS_000_INT|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2| CYCLE_DMA_1_|NOD| | => | 6 7 0 1 | 97 98 91 92 - 3| inst_DTACK_D0|NOD| | => | 6 7 0 1 | 97 98 91 92 + 1|inst_AS_000_DMA|NOD| | => | 5 6 7 0 | 96 97 98 91 + 2|inst_CLK_030_H|NOD| | => | 6 7 0 1 | 97 98 91 92 + 3|CLK_000_P_SYNC_6_|NOD| | => | 6 7 0 1 | 97 98 91 92 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5|inst_UDS_000_INT|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6| CYCLE_DMA_0_|NOD| | => | 0 1 2 3 | 91 92 93 94 + 5|inst_LDS_000_INT|NOD| | => | 7 0 1 2 | 98 91 92 93 + 6|CLK_000_N_SYNC_9_|NOD| | => | 0 1 2 3 | 91 92 93 94 7| | | | => | 0 1 2 3 | 91 92 93 94 - 8| SM_AMIGA_1_|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9|inst_DS_000_DMA|NOD| | => | 1 2 3 4 | 92 93 94 95 -10|CLK_000_N_SYNC_6_|NOD| | => | 2 3 4 5 | 93 94 95 96 + 8|inst_nEXP_SPACE_D0reg|NOD| | => | 1 2 3 4 | 92 93 94 95 + 9|inst_UDS_000_INT|NOD| | => | 1 2 3 4 | 92 93 94 95 +10|CLK_000_N_SYNC_8_|NOD| | => | 2 3 4 5 | 93 94 95 96 11| | | | => | 2 3 4 5 | 93 94 95 96 -12|inst_AS_000_DMA|NOD| | => | 3 4 5 6 | 94 95 96 97 -13|inst_CLK_030_H|NOD| | => | 3 4 5 6 | 94 95 96 97 -14|CLK_000_N_SYNC_5_|NOD| | => | 4 5 6 7 | 95 96 97 98 +12|inst_CLK_OUT_PRE_D|NOD| | => | 3 4 5 6 | 94 95 96 97 +13|inst_DS_000_DMA|NOD| | => | 3 4 5 6 | 94 95 96 97 +14|CLK_000_N_SYNC_1_|NOD| | => | 4 5 6 7 | 95 96 97 98 15| | | | => | 4 5 6 7 | 95 96 97 98 --------------------------------------------------------------------------- =========================================================================== @@ -455,41 +453,41 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 91|INP FPU_SENSE|*|*] [RegIn 0 |102| -| | ] [MCell 0 |101|OUT DS_030| | ] - [MCell 1 |103|NOD inst_LDS_000_INT| |*] + [MCell 1 |103|NOD inst_AS_000_DMA| |*] 1 [IOpin 1 | 92|OUT AVEC|*| ] [RegIn 1 |105| -| | ] - [MCell 2 |104|NOD CYCLE_DMA_1_| |*] - [MCell 3 |106|NOD inst_DTACK_D0| |*] + [MCell 2 |104|NOD inst_CLK_030_H| |*] + [MCell 3 |106|NOD CLK_000_P_SYNC_6_| |*] 2 [IOpin 2 | 93|INP A_20_|*|*] [RegIn 2 |108| -| | ] [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD inst_UDS_000_INT| |*] + [MCell 5 |109|NOD inst_LDS_000_INT| |*] 3 [IOpin 3 | 94|INP A_21_|*|*] [RegIn 3 |111| -| | ] - [MCell 6 |110|NOD CYCLE_DMA_0_| |*] + [MCell 6 |110|NOD CLK_000_N_SYNC_9_| |*] [MCell 7 |112| -| | ] 4 [IOpin 4 | 95|INP A_18_|*|*] [RegIn 4 |114| -| | ] - [MCell 8 |113|NOD SM_AMIGA_1_| |*] - [MCell 9 |115|NOD inst_DS_000_DMA| |*] + [MCell 8 |113|NOD inst_nEXP_SPACE_D0reg| |*] + [MCell 9 |115|NOD inst_UDS_000_INT| |*] 5 [IOpin 5 | 96|INP A_16_|*|*] [RegIn 5 |117| -| | ] - [MCell 10 |116|NOD CLK_000_N_SYNC_6_| |*] + [MCell 10 |116|NOD CLK_000_N_SYNC_8_| |*] [MCell 11 |118| -| | ] 6 [IOpin 6 | 97|INP A_19_|*|*] [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD inst_AS_000_DMA| |*] - [MCell 13 |121|NOD inst_CLK_030_H| |*] + [MCell 12 |119|NOD inst_CLK_OUT_PRE_D| |*] + [MCell 13 |121|NOD inst_DS_000_DMA| |*] 7 [IOpin 7 | 98|OUT DS_030|*| ] [RegIn 7 |123| -| | ] - [MCell 14 |122|NOD CLK_000_N_SYNC_5_| |*] + [MCell 14 |122|NOD CLK_000_N_SYNC_1_| |*] [MCell 15 |124| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -499,38 +497,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| IOPin 6 4 ( 69)| A0 -Mux01| Mcel 5 12 ( 239)| SM_AMIGA_2_ -Mux02| Mcel 0 9 ( 115)| inst_DS_000_DMA -Mux03| Mcel 0 14 ( 122)| CLK_000_N_SYNC_5_ -Mux04| Input Pin ( 64)| CLK_030 -Mux05| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux06| Mcel 2 4 ( 155)| SM_AMIGA_6_ -Mux07| ... | ... +Mux01| ... | ... +Mux02| Mcel 4 9 ( 211)| CLK_000_N_SYNC_0_ +Mux03| Mcel 0 8 ( 113)| inst_nEXP_SPACE_D0reg +Mux04| Mcel 2 12 ( 167)| CYCLE_DMA_1_ +Mux05| Input Pin ( 14)| nEXP_SPACE +Mux06| IOPin 7 5 ( 80)| RW_000 +Mux07| Mcel 5 3 ( 226)| CLK_000_N_SYNC_7_ Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| IOPin 3 5 ( 30)| DTACK -Mux10| Mcel 0 6 ( 110)| CYCLE_DMA_0_ -Mux11| ... | ... -Mux12| Mcel 0 1 ( 103)| inst_LDS_000_INT -Mux13| Mcel 7 5 ( 277)| inst_nEXP_SPACE_D0reg -Mux14| IOPin 6 5 ( 70)| SIZE_0_ -Mux15| Mcel 0 12 ( 119)| inst_AS_000_DMA +Mux09| Mcel 0 1 ( 103)| inst_AS_000_DMA +Mux10| Mcel 5 4 ( 227)| SM_AMIGA_6_ +Mux11| Mcel 6 14 ( 266)| CLK_000_P_SYNC_5_ +Mux12| Mcel 3 9 ( 187)| inst_RESET_OUT +Mux13| ... | ... +Mux14| Mcel 0 10 ( 116)| CLK_000_N_SYNC_8_ +Mux15| Mcel 5 13 ( 241)| inst_CLK_OUT_PRE_50 Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 4 0 ( 41)| BERR -Mux18| Mcel 0 5 ( 109)| inst_UDS_000_INT -Mux19| Mcel 3 11 ( 190)| CLK_000_N_SYNC_4_ -Mux20| IOPin 7 6 ( 79)| SIZE_1_ +Mux17| IOPin 6 5 ( 70)| SIZE_0_ +Mux18| Mcel 0 5 ( 109)| inst_LDS_000_INT +Mux19| Mcel 0 9 ( 115)| inst_UDS_000_INT +Mux20| Input Pin ( 64)| CLK_030 Mux21| Input Pin ( 86)| RST -Mux22| Mcel 0 2 ( 104)| CYCLE_DMA_1_ +Mux22| Mcel 0 2 ( 104)| inst_CLK_030_H Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 0 13 ( 121)| inst_CLK_030_H +Mux25| Mcel 0 13 ( 121)| inst_DS_000_DMA Mux26| ... | ... -Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux28| IOPin 7 5 ( 80)| RW_000 +Mux27| IOPin 7 6 ( 79)| SIZE_1_ +Mux28| Mcel 1 5 ( 133)| CYCLE_DMA_0_ Mux29| ... | ... -Mux30| Mcel 0 8 ( 113)| SM_AMIGA_1_ +Mux30| ... | ... Mux31| ... | ... -Mux32| Mcel 6 5 ( 253)| inst_RESET_OUT +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Macrocell (MCell) Cluster Assignments @@ -544,20 +542,20 @@ Mux32| Mcel 6 5 ( 253)| inst_RESET_OUT _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1| RESET|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 2|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [ 2]| 1 XOR free + 3|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4| IPL_030_2_| IO| | S |10 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 6|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| IPL_D0_2_|NOD| | S | 1 | 4 to [ 5]| 1 XOR to [ 7] for 1 PT sig + 5| CYCLE_DMA_0_|NOD| | S | 2 | 4 to [ 4]| 1 XOR to [ 4] as logic PT + 6|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 7|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 to [ 5]| 1 XOR to [ 7] for 1 PT sig 8| IPL_030_0_| IO| | S |10 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [ 8]| 1 XOR to [ 8] as logic PT -10|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| IPL_D0_1_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [11] for 1 PT sig + 9|inst_CLK_000_D0|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig +10|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [10] for 1 PT sig +11| IPL_D0_2_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig 12| IPL_030_1_| IO| | S |10 | 4 to [12]| 1 XOR to [12] as logic PT -13|inst_AS_000_INT|NOD| | S | 2 | 4 to [12]| 1 XOR to [12] as logic PT -14|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| IPL_D0_0_|NOD| | S | 1 | 4 to [13]| 1 XOR to [15] for 1 PT sig +13|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [12]| 1 XOR to [12] as logic PT +14|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +15| inst_DTACK_D0|NOD| | S | 1 | 4 to [13]| 1 XOR to [15] for 1 PT sig --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Maximum PT Capacity @@ -569,22 +567,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| CLK_EXP|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) - 1| RESET|OUT| | S | 1 |=> can support up to [ 17] logic PT(s) - 2|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 0| CLK_EXP|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) + 1| RESET|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) + 2|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) 4| IPL_030_2_| IO| | S |10 |=> can support up to [ 18] logic PT(s) - 5|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 8] logic PT(s) - 6|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 7| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 8| IPL_030_0_| IO| | S |10 |=> can support up to [ 14] logic PT(s) - 9|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 8] logic PT(s) -10|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -11| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -12| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) -13|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 8] logic PT(s) -14|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -15| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 5| CYCLE_DMA_0_|NOD| | S | 2 |=> can support up to [ 8] logic PT(s) + 6|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 7|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 8| IPL_030_0_| IO| | S |10 |=> can support up to [ 13] logic PT(s) + 9|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +10|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +11| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +12| IPL_030_1_| IO| | S |10 |=> can support up to [ 18] logic PT(s) +13|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 8] logic PT(s) +14|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +15| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Node-Pin Assignments @@ -596,20 +594,20 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) 1| RESET|OUT| | => | 5 6 ( 7) 0 | 5 4 ( 3) 10 - 2|CLK_000_N_SYNC_8_|NOD| | => | 6 7 0 1 | 4 3 10 9 - 3|CLK_000_P_SYNC_0_|NOD| | => | 6 7 0 1 | 4 3 10 9 + 2|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 6 7 0 1 | 4 3 10 9 + 3|CLK_000_P_SYNC_7_|NOD| | => | 6 7 0 1 | 4 3 10 9 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 - 5|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 7 0 1 2 | 3 10 9 8 - 6|CLK_000_P_SYNC_7_|NOD| | => | 0 1 2 3 | 10 9 8 7 - 7| IPL_D0_2_|NOD| | => | 0 1 2 3 | 10 9 8 7 + 5| CYCLE_DMA_0_|NOD| | => | 7 0 1 2 | 3 10 9 8 + 6|CLK_000_N_SYNC_4_|NOD| | => | 0 1 2 3 | 10 9 8 7 + 7|CLK_000_P_SYNC_1_|NOD| | => | 0 1 2 3 | 10 9 8 7 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 - 9|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 1 2 3 4 | 9 8 7 6 -10|CLK_000_P_SYNC_5_|NOD| | => | 2 3 4 5 | 8 7 6 5 -11| IPL_D0_1_|NOD| | => | 2 3 4 5 | 8 7 6 5 + 9|inst_CLK_000_D0|NOD| | => | 1 2 3 4 | 9 8 7 6 +10|CLK_000_N_SYNC_3_|NOD| | => | 2 3 4 5 | 8 7 6 5 +11| IPL_D0_2_|NOD| | => | 2 3 4 5 | 8 7 6 5 12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 -13|inst_AS_000_INT|NOD| | => | 3 4 5 6 | 7 6 5 4 -14|CLK_000_P_SYNC_1_|NOD| | => | 4 5 6 7 | 6 5 4 3 -15| IPL_D0_0_|NOD| | => | 4 5 6 7 | 6 5 4 3 +13|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 3 4 5 6 | 7 6 5 4 +14|CLK_000_N_SYNC_2_|NOD| | => | 4 5 6 7 | 6 5 4 3 +15| inst_DTACK_D0|NOD| | => | 4 5 6 7 | 6 5 4 3 --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > IO-to-Node Pin Mapping @@ -666,38 +664,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] [RegIn 1 |129| -| | ] - [MCell 2 |128|NOD CLK_000_N_SYNC_8_| |*] - [MCell 3 |130|NOD CLK_000_P_SYNC_0_| |*] + [MCell 2 |128|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] + [MCell 3 |130|NOD CLK_000_P_SYNC_7_| |*] 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] [RegIn 2 |132| -| | ] [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] - [MCell 5 |133|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] + [MCell 5 |133|NOD CYCLE_DMA_0_| |*] 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD CLK_000_P_SYNC_7_| |*] - [MCell 7 |136|NOD IPL_D0_2_| |*] + [MCell 6 |134|NOD CLK_000_N_SYNC_4_| |*] + [MCell 7 |136|NOD CLK_000_P_SYNC_1_| |*] 4 [IOpin 4 | 6|INP A_29_|*|*] [RegIn 4 |138| -| | ] [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] - [MCell 9 |139|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] + [MCell 9 |139|NOD inst_CLK_000_D0| |*] 5 [IOpin 5 | 5|INP A_30_|*|*] [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD CLK_000_P_SYNC_5_| |*] - [MCell 11 |142|NOD IPL_D0_1_| |*] + [MCell 10 |140|NOD CLK_000_N_SYNC_3_| |*] + [MCell 11 |142|NOD IPL_D0_2_| |*] 6 [IOpin 6 | 4|INP A_31_|*|*] [RegIn 6 |144| -| | ] [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] - [MCell 13 |145|NOD inst_AS_000_INT| |*] + [MCell 13 |145|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] 7 [IOpin 7 | 3|OUT RESET|*| ] [RegIn 7 |147| -| | ] - [MCell 14 |146|NOD CLK_000_P_SYNC_1_| |*] - [MCell 15 |148|NOD IPL_D0_0_| |*] + [MCell 14 |146|NOD CLK_000_N_SYNC_2_| |*] + [MCell 15 |148|NOD inst_DTACK_D0| |*] --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Logic Array Fan-in @@ -705,38 +703,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 4 13 ( 217)| CLK_000_P_SYNC_4_ -Mux03| IOPin 5 0 ( 60)| A1 +Mux00| IOPin 6 2 ( 67)| IPL_0_ +Mux01| Mcel 1 12 ( 143)| RN_IPL_030_1_ +Mux02| Mcel 3 15 ( 196)| IPL_D0_1_ +Mux03| Input Pin ( 11)| CLK_000 Mux04| IOPin 6 3 ( 68)| IPL_2_ -Mux05| Mcel 1 3 ( 130)| CLK_000_P_SYNC_0_ -Mux06| Mcel 1 9 ( 139)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux07| Mcel 1 11 ( 142)| IPL_D0_1_ -Mux08| Mcel 4 8 ( 209)| inst_BGACK_030_INT_D -Mux09| ... | ... -Mux10| Mcel 1 13 ( 145)| inst_AS_000_INT -Mux11| Mcel 5 6 ( 230)| CLK_000_P_SYNC_6_ -Mux12| Mcel 6 7 ( 256)| CLK_000_N_SYNC_7_ -Mux13| ... | ... -Mux14| Mcel 5 4 ( 227)| SM_AMIGA_5_ -Mux15| Mcel 4 2 ( 200)| inst_CLK_OUT_EXP_INT -Mux16| IOPin 6 2 ( 67)| IPL_0_ -Mux17| Mcel 1 8 ( 137)| RN_IPL_030_0_ +Mux05| ... | ... +Mux06| Mcel 0 3 ( 106)| CLK_000_P_SYNC_6_ +Mux07| Mcel 1 11 ( 142)| IPL_D0_2_ +Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_ +Mux09| Mcel 0 12 ( 119)| inst_CLK_OUT_PRE_D +Mux10| Mcel 1 2 ( 128)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux11| IOPin 5 0 ( 60)| A1 +Mux12| Mcel 1 10 ( 140)| CLK_000_N_SYNC_3_ +Mux13| Mcel 6 11 ( 262)| IPL_D0_0_ +Mux14| IOPin 3 5 ( 30)| DTACK +Mux15| Mcel 4 2 ( 200)| CLK_000_P_SYNC_0_ +Mux16| IOPin 4 1 ( 42)| AS_000 +Mux17| ... | ... Mux18| ... | ... -Mux19| Mcel 7 13 ( 289)| inst_AS_030_D0 -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux20| Mcel 0 14 ( 122)| CLK_000_N_SYNC_1_ Mux21| IOPin 5 4 ( 56)| IPL_1_ -Mux22| Mcel 6 5 ( 253)| inst_RESET_OUT -Mux23| ... | ... -Mux24| Mcel 1 7 ( 136)| IPL_D0_2_ -Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D0 -Mux26| Mcel 1 12 ( 143)| RN_IPL_030_1_ -Mux27| Mcel 1 15 ( 148)| IPL_D0_0_ -Mux28| Mcel 1 5 ( 133)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux29| Mcel 2 8 ( 161)| inst_CLK_000_D1 -Mux30| Mcel 1 4 ( 131)| RN_IPL_030_2_ -Mux31| ... | ... +Mux22| Mcel 6 5 ( 253)| inst_CLK_000_PE +Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux24| Input Pin ( 86)| RST +Mux25| Mcel 3 9 ( 187)| inst_RESET_OUT +Mux26| ... | ... +Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ +Mux28| Mcel 1 13 ( 145)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux29| Mcel 1 14 ( 146)| CLK_000_N_SYNC_2_ +Mux30| ... | ... +Mux31| Mcel 1 5 ( 133)| CYCLE_DMA_0_ Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== @@ -750,18 +748,18 @@ Mux32| ... | ... | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig + 1|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free 2| | ? | | S | | 4 free | 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free - 4| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 4]| 1 XOR free - 5|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6| | ? | | S | | 4 to [ 5]| 1 XOR free + 4|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 4]| 1 XOR to [ 4] as logic PT + 5| | ? | | S | | 4 to [ 4]| 1 XOR free + 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_CLK_000_D1|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| N_210_i|NOD| | S | 4 | 4 to [ 9]| 1 XOR free + 8|inst_DS_000_ENABLE|NOD| | S | 5 | 4 to [ 8]| 1 XOR to [ 8] as logic PT + 9| | ? | | S | | 4 free | 1 XOR free 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12|inst_DS_000_ENABLE|NOD| | S | 5 | 4 to [12]| 1 XOR to [12] as logic PT +12| CYCLE_DMA_1_|NOD| | S | 3 | 4 to [12]| 1 XOR free 13| | ? | | S | | 4 free | 1 XOR free 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free @@ -776,19 +774,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 1| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) - 2| | ? | | S | |=> can support up to [ 14] logic PT(s) + 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) + 1|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) + 2| | ? | | S | |=> can support up to [ 10] logic PT(s) 3| | ? | | S | |=> can support up to [ 10] logic PT(s) - 4| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) - 5|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 15] logic PT(s) + 4|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 20] logic PT(s) + 5| | ? | | S | |=> can support up to [ 11] logic PT(s) 6| | ? | | S | |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) - 9| N_210_i|NOD| | S | 4 |=> can support up to [ 19] logic PT(s) -10| | ? | | S | |=> can support up to [ 10] logic PT(s) + 7| | ? | | S | |=> can support up to [ 15] logic PT(s) + 8|inst_DS_000_ENABLE|NOD| | S | 5 |=> can support up to [ 20] logic PT(s) + 9| | ? | | S | |=> can support up to [ 15] logic PT(s) +10| | ? | | S | |=> can support up to [ 15] logic PT(s) 11| | ? | | S | |=> can support up to [ 15] logic PT(s) -12|inst_DS_000_ENABLE|NOD| | S | 5 |=> can support up to [ 20] logic PT(s) +12| CYCLE_DMA_1_|NOD| | S | 3 |=> can support up to [ 20] logic PT(s) 13| | ? | | S | |=> can support up to [ 15] logic PT(s) 14| | ? | | S | |=> can support up to [ 15] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) @@ -802,18 +800,18 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0|AMIGA_BUS_ENABLE_LOW|OUT| | => |( 5) 6 7 0 |( 20) 21 22 15 - 1| inst_VPA_D|NOD| | => | 5 6 7 0 | 20 21 22 15 + 1|inst_AS_000_INT|NOD| | => | 5 6 7 0 | 20 21 22 15 2| | | | => | 6 7 0 1 | 21 22 15 16 3| | | | => | 6 7 0 1 | 21 22 15 16 - 4| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 22 15 16 17 - 5|inst_AS_030_000_SYNC|NOD| | => | 7 0 1 2 | 22 15 16 17 + 4|inst_AS_030_000_SYNC|NOD| | => | 7 0 1 2 | 22 15 16 17 + 5| | | | => | 7 0 1 2 | 22 15 16 17 6| | | | => | 0 1 2 3 | 15 16 17 18 7| | | | => | 0 1 2 3 | 15 16 17 18 - 8|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 16 17 18 19 - 9| N_210_i|NOD| | => | 1 2 3 4 | 16 17 18 19 + 8|inst_DS_000_ENABLE|NOD| | => | 1 2 3 4 | 16 17 18 19 + 9| | | | => | 1 2 3 4 | 16 17 18 19 10| | | | => | 2 3 4 5 | 17 18 19 20 11| | | | => | 2 3 4 5 | 17 18 19 20 -12|inst_DS_000_ENABLE|NOD| | => | 3 4 5 6 | 18 19 20 21 +12| CYCLE_DMA_1_|NOD| | => | 3 4 5 6 | 18 19 20 21 13| | | | => | 3 4 5 6 | 18 19 20 21 14| | | | => | 4 5 6 7 | 19 20 21 22 15| | | | => | 4 5 6 7 | 19 20 21 22 @@ -866,7 +864,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 15|INP A_28_|*|*] [RegIn 0 |150| -| | ] [MCell 0 |149|OUT AMIGA_BUS_ENABLE_LOW| | ] - [MCell 1 |151|NOD inst_VPA_D| |*] + [MCell 1 |151|NOD inst_AS_000_INT| |*] 1 [IOpin 1 | 16|INP A_27_|*|*] [RegIn 1 |153| -| | ] @@ -875,8 +873,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 2 [IOpin 2 | 17|INP A_26_|*|*] [RegIn 2 |156| -| | ] - [MCell 4 |155|NOD SM_AMIGA_6_| |*] - [MCell 5 |157|NOD inst_AS_030_000_SYNC| |*] + [MCell 4 |155|NOD inst_AS_030_000_SYNC| |*] + [MCell 5 |157| -| | ] 3 [IOpin 3 | 18|INP A_25_|*|*] [RegIn 3 |159| -| | ] @@ -885,8 +883,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 4 [IOpin 4 | 19|INP A_24_|*|*] [RegIn 4 |162| -| | ] - [MCell 8 |161|NOD inst_CLK_000_D1| |*] - [MCell 9 |163|NOD N_210_i| |*] + [MCell 8 |161|NOD inst_DS_000_ENABLE| |*] + [MCell 9 |163| -| | ] 5 [IOpin 5 | 20|OUT AMIGA_BUS_ENABLE_LOW|*| ] [RegIn 5 |165| -| | ] @@ -895,7 +893,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 21|INP BG_030|*|*] [RegIn 6 |168| -| | ] - [MCell 12 |167|NOD inst_DS_000_ENABLE| |*] + [MCell 12 |167|NOD CYCLE_DMA_1_| |*] [MCell 13 |169| -| | ] 7 [IOpin 7 | 22| -| | ] @@ -910,37 +908,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ -Mux03| Mcel 0 8 ( 113)| SM_AMIGA_1_ -Mux04| Mcel 2 12 ( 167)| inst_DS_000_ENABLE -Mux05| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux06| IOPin 0 6 ( 97)| A_19_ -Mux07| Mcel 2 5 ( 157)| inst_AS_030_000_SYNC -Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| Mcel 5 5 ( 229)| SM_AMIGA_3_ -Mux10| Mcel 5 4 ( 227)| SM_AMIGA_5_ -Mux11| IOPin 6 6 ( 71)| RW -Mux12| IOPin 5 2 ( 58)| FC_1_ -Mux13| Mcel 7 5 ( 277)| inst_nEXP_SPACE_D0reg -Mux14| Mcel 2 4 ( 155)| SM_AMIGA_6_ -Mux15| Mcel 5 1 ( 223)| SM_AMIGA_0_ -Mux16| Mcel 1 9 ( 139)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_2_ +Mux01| Mcel 2 1 ( 151)| inst_AS_000_INT +Mux02| Mcel 5 8 ( 233)| SM_AMIGA_5_ +Mux03| Mcel 0 8 ( 113)| inst_nEXP_SPACE_D0reg +Mux04| IOPin 0 4 ( 95)| A_18_ +Mux05| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ +Mux06| IOPin 0 5 ( 96)| A_16_ +Mux07| Mcel 2 8 ( 161)| inst_DS_000_ENABLE +Mux08| IOPin 6 6 ( 71)| RW +Mux09| ... | ... +Mux10| Mcel 1 2 ( 128)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux11| Mcel 7 3 ( 274)| inst_AS_030_D0 +Mux12| IOPin 0 6 ( 97)| A_19_ +Mux13| IOPin 5 1 ( 59)| A_17_ +Mux14| Mcel 2 4 ( 155)| inst_AS_030_000_SYNC +Mux15| Mcel 2 12 ( 167)| CYCLE_DMA_1_ +Mux16| IOPin 4 1 ( 42)| AS_000 +Mux17| IOPin 5 3 ( 57)| FC_0_ Mux18| Mcel 5 9 ( 235)| SM_AMIGA_4_ -Mux19| Mcel 7 13 ( 289)| inst_AS_030_D0 -Mux20| Input Pin ( 36)| VPA +Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux20| IOPin 5 2 ( 58)| FC_1_ Mux21| Input Pin ( 86)| RST -Mux22| ... | ... +Mux22| Mcel 6 5 ( 253)| inst_CLK_000_PE Mux23| ... | ... -Mux24| IOPin 5 3 ( 57)| FC_0_ -Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D0 -Mux26| IOPin 0 5 ( 96)| A_16_ +Mux24| ... | ... +Mux25| IOPin 4 0 ( 41)| BERR +Mux26| ... | ... Mux27| ... | ... -Mux28| ... | ... -Mux29| Mcel 2 8 ( 161)| inst_CLK_000_D1 -Mux30| Mcel 4 8 ( 209)| inst_BGACK_030_INT_D -Mux31| IOPin 0 4 ( 95)| A_18_ +Mux28| Mcel 1 5 ( 133)| CYCLE_DMA_0_ +Mux29| Mcel 5 4 ( 227)| SM_AMIGA_6_ +Mux30| Mcel 5 1 ( 223)| SM_AMIGA_0_ +Mux31| ... | ... Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== @@ -955,20 +953,20 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| VMA| IO| | S | 3 | 4 to [ 0]| 1 XOR free 1| BG_000| IO| | S | 2 | 4 to [ 1]| 1 XOR free - 2| cpu_est_3_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 2| cpu_est_1_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 3| RST_DLY_0_|NOD| | S | 3 | 4 to [ 3]| 1 XOR free 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 | 4 to [ 4]| 1 XOR free 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| cpu_est_1_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free - 7|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 6| cpu_est_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 7| RST_DLY_2_|NOD| | S | 2 | 4 to [ 7]| 1 XOR free 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| cpu_est_0_|NOD| | S | 2 | 4 to [10]| 1 XOR free -11|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig + 9|inst_RESET_OUT|NOD| | S | 2 | 4 to [ 9]| 1 XOR free +10|inst_CLK_000_NE_D0|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig +11|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig 12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig 13| cpu_est_2_|NOD| | S | 4 | 4 to [13]| 1 XOR free -14|inst_CLK_000_NE_D0|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig +14| RST_DLY_1_|NOD| | S | 4 | 4 to [14]| 1 XOR free +15| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Maximum PT Capacity @@ -981,21 +979,21 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0| VMA| IO| | S | 3 |=> can support up to [ 5] logic PT(s) - 1| BG_000| IO| | S | 2 |=> can support up to [ 9] logic PT(s) - 2| cpu_est_3_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) - 3|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 13] logic PT(s) - 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 6| cpu_est_1_|NOD| | S | 3 |=> can support up to [ 17] logic PT(s) - 7|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 8| UDS_000| IO| | S | 1 |=> can support up to [ 13] logic PT(s) - 9|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) -10| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 17] logic PT(s) -11|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -12| LDS_000| IO| | S | 1 |=> can support up to [ 13] logic PT(s) -13| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 17] logic PT(s) -14|inst_CLK_000_NE_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -15|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 1| BG_000| IO| | S | 2 |=> can support up to [ 5] logic PT(s) + 2| cpu_est_1_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) + 3| RST_DLY_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 9] logic PT(s) + 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) + 6| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) + 7| RST_DLY_2_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) + 8| UDS_000| IO| | S | 1 |=> can support up to [ 9] logic PT(s) + 9|inst_RESET_OUT|NOD| | S | 2 |=> can support up to [ 17] logic PT(s) +10|inst_CLK_000_NE_D0|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) +11|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) +12| LDS_000| IO| | S | 1 |=> can support up to [ 9] logic PT(s) +13| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 13] logic PT(s) +14| RST_DLY_1_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) +15| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Node-Pin Assignments @@ -1007,20 +1005,20 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| VMA| IO| | => | 5 6 7 ( 0)| 30 29 28 ( 35) 1| BG_000| IO| | => | 5 ( 6) 7 0 | 30 ( 29) 28 35 - 2| cpu_est_3_|NOD| | => | 6 7 0 1 | 29 28 35 34 - 3|inst_CLK_OUT_PRE_D|NOD| | => | 6 7 0 1 | 29 28 35 34 + 2| cpu_est_1_|NOD| | => | 6 7 0 1 | 29 28 35 34 + 3| RST_DLY_0_|NOD| | => | 6 7 0 1 | 29 28 35 34 4|AMIGA_BUS_ENABLE_HIGH|OUT| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 5|AMIGA_ADDR_ENABLE|OUT| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 6| cpu_est_1_|NOD| | => | 0 1 2 3 | 35 34 33 32 - 7|CLK_000_N_SYNC_9_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 6| cpu_est_0_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 7| RST_DLY_2_|NOD| | => | 0 1 2 3 | 35 34 33 32 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 - 9|inst_CLK_000_D0|NOD| | => | 1 2 3 4 | 34 33 32 31 -10| cpu_est_0_|NOD| | => | 2 3 4 5 | 33 32 31 30 -11|CLK_000_N_SYNC_4_|NOD| | => | 2 3 4 5 | 33 32 31 30 + 9|inst_RESET_OUT|NOD| | => | 1 2 3 4 | 34 33 32 31 +10|inst_CLK_000_NE_D0|NOD| | => | 2 3 4 5 | 33 32 31 30 +11|CLK_000_N_SYNC_5_|NOD| | => | 2 3 4 5 | 33 32 31 30 12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 13| cpu_est_2_|NOD| | => | 3 4 5 6 | 32 31 30 29 -14|inst_CLK_000_NE_D0|NOD| | => | 4 5 6 7 | 31 30 29 28 -15|CLK_000_N_SYNC_3_|NOD| | => | 4 5 6 7 | 31 30 29 28 +14| RST_DLY_1_|NOD| | => | 4 5 6 7 | 31 30 29 28 +15| IPL_D0_1_|NOD| | => | 4 5 6 7 | 31 30 29 28 --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > IO-to-Node Pin Mapping @@ -1076,8 +1074,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 34|OUT AMIGA_BUS_ENABLE_HIGH|*| ] [RegIn 1 |177| -| | ] - [MCell 2 |176|NOD cpu_est_3_| |*] - [MCell 3 |178|NOD inst_CLK_OUT_PRE_D| |*] + [MCell 2 |176|NOD cpu_est_1_| |*] + [MCell 3 |178|NOD RST_DLY_0_| |*] 2 [IOpin 2 | 33|OUT AMIGA_ADDR_ENABLE|*| ] [RegIn 2 |180| -| | ] @@ -1086,18 +1084,18 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 32| IO UDS_000|*|*] [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD cpu_est_1_| |*] - [MCell 7 |184|NOD CLK_000_N_SYNC_9_| |*] + [MCell 6 |182|NOD cpu_est_0_| |*] + [MCell 7 |184|NOD RST_DLY_2_| |*] 4 [IOpin 4 | 31| IO LDS_000|*|*] [RegIn 4 |186| -| | ] [MCell 8 |185| IO UDS_000| | ] - [MCell 9 |187|NOD inst_CLK_000_D0| |*] + [MCell 9 |187|NOD inst_RESET_OUT| |*] 5 [IOpin 5 | 30|INP DTACK|*|*] [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD cpu_est_0_| |*] - [MCell 11 |190|NOD CLK_000_N_SYNC_4_| |*] + [MCell 10 |188|NOD inst_CLK_000_NE_D0| |*] + [MCell 11 |190|NOD CLK_000_N_SYNC_5_| |*] 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] [RegIn 6 |192| -| | ] @@ -1106,8 +1104,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 7 [IOpin 7 | 28|INP BGACK_000|*|*] [RegIn 7 |195| -| | ] - [MCell 14 |194|NOD inst_CLK_000_NE_D0| |*] - [MCell 15 |196|NOD CLK_000_N_SYNC_3_| |*] + [MCell 14 |194|NOD RST_DLY_1_| |*] + [MCell 15 |196|NOD IPL_D0_1_| |*] --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Logic Array Fan-in @@ -1115,39 +1113,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| Mcel 2 1 ( 151)| inst_VPA_D -Mux02| Mcel 3 15 ( 196)| CLK_000_N_SYNC_3_ -Mux03| Mcel 3 2 ( 176)| cpu_est_3_ +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux01| Mcel 5 12 ( 239)| cpu_est_3_ +Mux02| Mcel 3 1 ( 175)| RN_BG_000 +Mux03| Mcel 3 2 ( 176)| cpu_est_1_ Mux04| IOPin 2 6 ( 21)| BG_030 -Mux05| Mcel 6 6 ( 254)| inst_CLK_OUT_PRE_25 -Mux06| Mcel 5 13 ( 241)| CLK_000_N_SYNC_2_ -Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D0 -Mux08| ... | ... -Mux09| Mcel 0 1 ( 103)| inst_LDS_000_INT -Mux10| Mcel 1 2 ( 128)| CLK_000_N_SYNC_8_ -Mux11| ... | ... -Mux12| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux13| Mcel 7 5 ( 277)| inst_nEXP_SPACE_D0reg -Mux14| Input Pin ( 11)| CLK_000 -Mux15| Mcel 2 12 ( 167)| inst_DS_000_ENABLE -Mux16| Mcel 3 6 ( 182)| cpu_est_1_ -Mux17| Mcel 3 1 ( 175)| RN_BG_000 -Mux18| Mcel 0 5 ( 109)| inst_UDS_000_INT -Mux19| Mcel 7 13 ( 289)| inst_AS_030_D0 -Mux20| Mcel 3 10 ( 188)| cpu_est_0_ -Mux21| Mcel 3 13 ( 193)| cpu_est_2_ -Mux22| Mcel 6 5 ( 253)| inst_RESET_OUT -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| Mcel 3 14 ( 194)| inst_CLK_000_NE_D0 -Mux25| Mcel 5 0 ( 221)| inst_CLK_000_PE +Mux05| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ +Mux06| Mcel 1 9 ( 139)| inst_CLK_000_D0 +Mux07| Mcel 2 8 ( 161)| inst_DS_000_ENABLE +Mux08| Mcel 3 7 ( 184)| RST_DLY_2_ +Mux09| Mcel 3 3 ( 178)| RST_DLY_0_ +Mux10| Mcel 1 13 ( 145)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux11| Mcel 1 6 ( 134)| CLK_000_N_SYNC_4_ +Mux12| Mcel 3 9 ( 187)| inst_RESET_OUT +Mux13| ... | ... +Mux14| ... | ... +Mux15| Mcel 5 2 ( 224)| inst_VPA_D +Mux16| Mcel 3 6 ( 182)| cpu_est_0_ +Mux17| Mcel 3 14 ( 194)| RST_DLY_1_ +Mux18| Mcel 7 3 ( 274)| inst_AS_030_D0 +Mux19| Mcel 0 9 ( 115)| inst_UDS_000_INT +Mux20| Mcel 3 10 ( 188)| inst_CLK_000_NE_D0 +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 6 5 ( 253)| inst_CLK_000_PE +Mux23| Mcel 6 2 ( 248)| inst_CLK_000_NE +Mux24| ... | ... +Mux25| ... | ... Mux26| Mcel 3 0 ( 173)| RN_VMA Mux27| ... | ... -Mux28| Mcel 1 5 ( 133)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux29| ... | ... -Mux30| ... | ... -Mux31| ... | ... -Mux32| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ +Mux28| Mcel 0 5 ( 109)| inst_LDS_000_INT +Mux29| Mcel 3 13 ( 193)| cpu_est_2_ +Mux30| Mcel 0 8 ( 113)| inst_nEXP_SPACE_D0reg +Mux31| IOPin 5 4 ( 56)| IPL_1_ +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 4] > Macrocell (MCell) Cluster Assignments @@ -1161,18 +1159,18 @@ Mux32| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| BERR| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1|AMIGA_BUS_DATA_DIR|OUT| | S | 2 | 4 to [ 1]| 1 XOR free - 2|inst_CLK_OUT_EXP_INT|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 2|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free 4| AS_000| IO| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 5| CIIN_0|NOD| | S | 2 | 4 to [ 5]| 1 XOR free 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| CIIN_0|NOD| | S | 2 | 4 to [ 9]| 1 XOR free + 8|inst_CLK_000_D1|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig + 9|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1188,18 +1186,18 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| BERR| IO| | S | 1 |=> can support up to [ 9] logic PT(s) 1|AMIGA_BUS_DATA_DIR|OUT| | S | 2 |=> can support up to [ 18] logic PT(s) - 2|inst_CLK_OUT_EXP_INT|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 17] logic PT(s) - 4| AS_000| IO| | S | 1 |=> can support up to [ 19] logic PT(s) - 5|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) - 6| | ? | | S | |=> can support up to [ 18] logic PT(s) - 7| | ? | | S | |=> can support up to [ 14] logic PT(s) - 8|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) - 9| CIIN_0|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) -10| | ? | | S | |=> can support up to [ 14] logic PT(s) + 2|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 3| | ? | | S | |=> can support up to [ 13] logic PT(s) + 4| AS_000| IO| | S | 1 |=> can support up to [ 15] logic PT(s) + 5| CIIN_0|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) + 6| | ? | | S | |=> can support up to [ 14] logic PT(s) + 7| | ? | | S | |=> can support up to [ 18] logic PT(s) + 8|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) + 9|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +10| | ? | | S | |=> can support up to [ 18] logic PT(s) 11| | ? | | S | |=> can support up to [ 18] logic PT(s) 12| CIIN|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) -13|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +13|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -1213,18 +1211,18 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| BERR| IO| | => | 5 6 7 ( 0)| 46 47 48 ( 41) 1|AMIGA_BUS_DATA_DIR|OUT| | => | 5 6 ( 7) 0 | 46 47 ( 48) 41 - 2|inst_CLK_OUT_EXP_INT|NOD| | => | 6 7 0 1 | 47 48 41 42 + 2|CLK_000_P_SYNC_0_|NOD| | => | 6 7 0 1 | 47 48 41 42 3| | | | => | 6 7 0 1 | 47 48 41 42 4| AS_000| IO| | => | 7 0 ( 1) 2 | 48 41 ( 42) 43 - 5|inst_CLK_OUT_PRE_50|NOD| | => | 7 0 1 2 | 48 41 42 43 + 5| CIIN_0|NOD| | => | 7 0 1 2 | 48 41 42 43 6| | | | => | 0 1 2 3 | 41 42 43 44 7| | | | => | 0 1 2 3 | 41 42 43 44 - 8|inst_BGACK_030_INT_D|NOD| | => | 1 2 3 4 | 42 43 44 45 - 9| CIIN_0|NOD| | => | 1 2 3 4 | 42 43 44 45 + 8|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 42 43 44 45 + 9|CLK_000_N_SYNC_0_|NOD| | => | 1 2 3 4 | 42 43 44 45 10| | | | => | 2 3 4 5 | 43 44 45 46 11| | | | => | 2 3 4 5 | 43 44 45 46 12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) -13|CLK_000_P_SYNC_4_|NOD| | => | 3 4 5 6 | 44 45 46 47 +13|CLK_000_P_SYNC_3_|NOD| | => | 3 4 5 6 | 44 45 46 47 14| | | | => | 4 5 6 7 | 45 46 47 48 15| | | | => | 4 5 6 7 | 45 46 47 48 --------------------------------------------------------------------------- @@ -1280,13 +1278,13 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 42| IO AS_000|*|*] [RegIn 1 |201| -| | ] - [MCell 2 |200|NOD inst_CLK_OUT_EXP_INT| |*] + [MCell 2 |200|NOD CLK_000_P_SYNC_0_| |*] [MCell 3 |202| -| | ] 2 [IOpin 2 | 43| -| | ] [RegIn 2 |204| -| | ] [MCell 4 |203| IO AS_000| | ] - [MCell 5 |205|NOD inst_CLK_OUT_PRE_50| |*] + [MCell 5 |205|NOD CIIN_0| |*] 3 [IOpin 3 | 44| -| | ] [RegIn 3 |207| -| | ] @@ -1295,8 +1293,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 4 [IOpin 4 | 45| -| | ] [RegIn 4 |210| -| | ] - [MCell 8 |209|NOD inst_BGACK_030_INT_D| |*] - [MCell 9 |211|NOD CIIN_0| |*] + [MCell 8 |209|NOD inst_CLK_000_D1| |*] + [MCell 9 |211|NOD CLK_000_N_SYNC_0_| |*] 5 [IOpin 5 | 46| -| | ] [RegIn 5 |213| -| | ] @@ -1306,7 +1304,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 47|OUT CIIN|*| ] [RegIn 6 |216| -| | ] [MCell 12 |215|OUT CIIN| | ] - [MCell 13 |217|NOD CLK_000_P_SYNC_4_| |*] + [MCell 13 |217|NOD CLK_000_P_SYNC_3_| |*] 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] [RegIn 7 |219| -| | ] @@ -1319,37 +1317,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| Mcel 4 9 ( 211)| CIIN_0 -Mux03| IOPin 2 1 ( 16)| A_27_ -Mux04| Mcel 7 5 ( 277)| inst_nEXP_SPACE_D0reg +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux01| IOPin 1 6 ( 4)| A_31_ +Mux02| IOPin 4 1 ( 42)| AS_000 +Mux03| IOPin 2 3 ( 18)| A_25_ +Mux04| IOPin 3 7 ( 28)| BGACK_000 Mux05| IOPin 2 4 ( 19)| A_24_ -Mux06| IOPin 0 6 ( 97)| A_19_ +Mux06| Mcel 1 9 ( 139)| inst_CLK_000_D0 Mux07| IOPin 2 0 ( 15)| A_28_ -Mux08| IOPin 7 0 ( 85)| A_23_ -Mux09| IOPin 1 5 ( 5)| A_30_ -Mux10| Mcel 1 13 ( 145)| inst_AS_000_INT +Mux08| Mcel 6 7 ( 256)| CLK_000_P_SYNC_2_ +Mux09| IOPin 7 1 ( 84)| A_22_ +Mux10| Mcel 7 3 ( 274)| inst_AS_030_D0 Mux11| IOPin 0 0 ( 91)| FPU_SENSE -Mux12| IOPin 2 3 ( 18)| A_25_ +Mux12| IOPin 0 6 ( 97)| A_19_ Mux13| IOPin 1 4 ( 6)| A_29_ -Mux14| Mcel 4 5 ( 205)| inst_CLK_OUT_PRE_50 +Mux14| Mcel 4 5 ( 205)| CIIN_0 Mux15| IOPin 0 3 ( 94)| A_21_ -Mux16| IOPin 4 1 ( 42)| AS_000 +Mux16| Mcel 4 8 ( 209)| inst_CLK_000_D1 Mux17| IOPin 2 2 ( 17)| A_26_ -Mux18| IOPin 3 7 ( 28)| BGACK_000 -Mux19| Mcel 5 10 ( 236)| CLK_000_P_SYNC_3_ -Mux20| IOPin 7 1 ( 84)| A_22_ -Mux21| IOPin 7 5 ( 80)| RW_000 -Mux22| Mcel 6 5 ( 253)| inst_RESET_OUT -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux18| IOPin 7 0 ( 85)| A_23_ +Mux19| IOPin 1 5 ( 5)| A_30_ +Mux20| IOPin 5 2 ( 58)| FC_1_ +Mux21| IOPin 2 1 ( 16)| A_27_ +Mux22| Mcel 2 1 ( 151)| inst_AS_000_INT +Mux23| ... | ... Mux24| IOPin 5 3 ( 57)| FC_0_ -Mux25| IOPin 1 6 ( 4)| A_31_ +Mux25| Mcel 3 9 ( 187)| inst_RESET_OUT Mux26| IOPin 0 5 ( 96)| A_16_ Mux27| IOPin 5 1 ( 59)| A_17_ -Mux28| Mcel 7 13 ( 289)| inst_AS_030_D0 +Mux28| IOPin 7 5 ( 80)| RW_000 Mux29| IOPin 0 2 ( 93)| A_20_ -Mux30| ... | ... +Mux30| Mcel 0 8 ( 113)| inst_nEXP_SPACE_D0reg Mux31| IOPin 0 4 ( 95)| A_18_ Mux32| IOPin 7 3 ( 82)| AS_030 --------------------------------------------------------------------------- @@ -1363,21 +1361,21 @@ Mux32| IOPin 7 3 ( 82)| AS_030 | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0|inst_CLK_000_PE|NOD| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 1]| 1 XOR free - 2|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig - 4| SM_AMIGA_5_|NOD| | S | 3 | 4 to [ 4]| 1 XOR free - 5| SM_AMIGA_3_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 0| SM_AMIGA_i_7_|NOD| | S |14 | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 1| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 2| inst_VPA_D|NOD| | S | 1 | 4 to [ 0]| 1 XOR to [ 2] for 1 PT sig + 3|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 to [ 1]| 1 XOR to [ 3] for 1 PT sig + 4| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 4]| 1 XOR free + 5| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 5]| 1 XOR free + 6| SM_AMIGA_3_|NOD| | S | 5 | 4 to [ 6]| 1 XOR to [ 6] as logic PT 7| | ? | | S | | 4 free | 1 XOR free - 8| SM_AMIGA_i_7_|NOD| | S |14 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9| SM_AMIGA_4_|NOD| | S | 3 | 4 to [ 8]| 1 XOR to [ 8] as logic PT -10|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 to [ 9]| 1 XOR free -12| SM_AMIGA_2_|NOD| | S | 4 | 4 to [12]| 1 XOR free -13|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig + 8| SM_AMIGA_5_|NOD| | S | 3 | 4 to [ 8]| 1 XOR free + 9| SM_AMIGA_4_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free +10| SM_AMIGA_2_|NOD| | S | 4 | 4 to [10]| 1 XOR free +11| | ? | | S | | 4 free | 1 XOR free +12| cpu_est_3_|NOD| | S | 3 | 4 to [12]| 1 XOR free +13|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +14| N_317_i|NOD| | S | 4 | 4 to [14]| 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -1390,22 +1388,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0|inst_CLK_000_PE|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 1| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 17] logic PT(s) - 2|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 3|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 5| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8| SM_AMIGA_i_7_|NOD| | S |14 |=> can support up to [ 19] logic PT(s) - 9| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) -10|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) -11| | ? | | S | |=> can support up to [ 5] logic PT(s) -12| SM_AMIGA_2_|NOD| | S | 4 |=> can support up to [ 13] logic PT(s) -13|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -14|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) + 0| SM_AMIGA_i_7_|NOD| | S |14 |=> can support up to [ 14] logic PT(s) + 1| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 4] logic PT(s) + 2| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 3|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 4| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) + 5| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) + 6| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 10] logic PT(s) + 7| | ? | | S | |=> can support up to [ 5] logic PT(s) + 8| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) + 9| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) +10| SM_AMIGA_2_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| cpu_est_3_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) +13|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +14| N_317_i|NOD| | S | 4 |=> can support up to [ 14] logic PT(s) +15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 5] > Node-Pin Assignments @@ -1415,21 +1413,21 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 5] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0|inst_CLK_000_PE|NOD| | => | 5 6 7 0 | 55 54 53 60 + 0| SM_AMIGA_i_7_|NOD| | => | 5 6 7 0 | 55 54 53 60 1| SM_AMIGA_0_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 2|CLK_000_N_SYNC_1_|NOD| | => | 6 7 0 1 | 54 53 60 59 - 3|CLK_000_P_SYNC_9_|NOD| | => | 6 7 0 1 | 54 53 60 59 - 4| SM_AMIGA_5_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 5| SM_AMIGA_3_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 6|CLK_000_P_SYNC_6_|NOD| | => | 0 1 2 3 | 60 59 58 57 + 2| inst_VPA_D|NOD| | => | 6 7 0 1 | 54 53 60 59 + 3|CLK_000_N_SYNC_7_|NOD| | => | 6 7 0 1 | 54 53 60 59 + 4| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 53 60 59 58 + 5| SM_AMIGA_1_|NOD| | => | 7 0 1 2 | 53 60 59 58 + 6| SM_AMIGA_3_|NOD| | => | 0 1 2 3 | 60 59 58 57 7| | | | => | 0 1 2 3 | 60 59 58 57 - 8| SM_AMIGA_i_7_|NOD| | => | 1 2 3 4 | 59 58 57 56 + 8| SM_AMIGA_5_|NOD| | => | 1 2 3 4 | 59 58 57 56 9| SM_AMIGA_4_|NOD| | => | 1 2 3 4 | 59 58 57 56 -10|CLK_000_P_SYNC_3_|NOD| | => | 2 3 4 5 | 58 57 56 55 +10| SM_AMIGA_2_|NOD| | => | 2 3 4 5 | 58 57 56 55 11| | | | => | 2 3 4 5 | 58 57 56 55 -12| SM_AMIGA_2_|NOD| | => | 3 4 5 6 | 57 56 55 54 -13|CLK_000_N_SYNC_2_|NOD| | => | 3 4 5 6 | 57 56 55 54 -14|CLK_000_P_SYNC_2_|NOD| | => | 4 5 6 7 | 56 55 54 53 +12| cpu_est_3_|NOD| | => | 3 4 5 6 | 57 56 55 54 +13|inst_CLK_OUT_PRE_50|NOD| | => | 3 4 5 6 | 57 56 55 54 +14| N_317_i|NOD| | => | 4 5 6 7 | 56 55 54 53 15| | | | => | 4 5 6 7 | 56 55 54 53 --------------------------------------------------------------------------- =========================================================================== @@ -1479,42 +1477,42 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 60|INP A1|*|*] [RegIn 0 |222| -| | ] - [MCell 0 |221|NOD inst_CLK_000_PE| |*] + [MCell 0 |221|NOD SM_AMIGA_i_7_| |*] [MCell 1 |223|NOD SM_AMIGA_0_| |*] 1 [IOpin 1 | 59|INP A_17_|*|*] [RegIn 1 |225| -| | ] - [MCell 2 |224|NOD CLK_000_N_SYNC_1_| |*] - [MCell 3 |226|NOD CLK_000_P_SYNC_9_| |*] + [MCell 2 |224|NOD inst_VPA_D| |*] + [MCell 3 |226|NOD CLK_000_N_SYNC_7_| |*] 2 [IOpin 2 | 58|INP FC_1_|*|*] [RegIn 2 |228| -| | ] - [MCell 4 |227|NOD SM_AMIGA_5_| |*] - [MCell 5 |229|NOD SM_AMIGA_3_| |*] + [MCell 4 |227|NOD SM_AMIGA_6_| |*] + [MCell 5 |229|NOD SM_AMIGA_1_| |*] 3 [IOpin 3 | 57|INP FC_0_|*|*] [RegIn 3 |231| -| | ] - [MCell 6 |230|NOD CLK_000_P_SYNC_6_| |*] + [MCell 6 |230|NOD SM_AMIGA_3_| |*] [MCell 7 |232| -| | ] 4 [IOpin 4 | 56|INP IPL_1_|*|*] [RegIn 4 |234| -| | ] - [MCell 8 |233|NOD SM_AMIGA_i_7_| |*] + [MCell 8 |233|NOD SM_AMIGA_5_| |*] [MCell 9 |235|NOD SM_AMIGA_4_| |*] 5 [IOpin 5 | 55| -| | ] [RegIn 5 |237| -| | ] - [MCell 10 |236|NOD CLK_000_P_SYNC_3_| |*] + [MCell 10 |236|NOD SM_AMIGA_2_| |*] [MCell 11 |238| -| | ] 6 [IOpin 6 | 54| -| | ] [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD SM_AMIGA_2_| |*] - [MCell 13 |241|NOD CLK_000_N_SYNC_2_| |*] + [MCell 12 |239|NOD cpu_est_3_| |*] + [MCell 13 |241|NOD inst_CLK_OUT_PRE_50| |*] 7 [IOpin 7 | 53| -| | ] [RegIn 7 |243| -| | ] - [MCell 14 |242|NOD CLK_000_P_SYNC_2_| |*] + [MCell 14 |242|NOD N_317_i| |*] [MCell 15 |244| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -1524,38 +1522,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST -Mux01| Mcel 5 12 ( 239)| SM_AMIGA_2_ -Mux02| Mcel 3 10 ( 188)| cpu_est_0_ -Mux03| Mcel 0 8 ( 113)| SM_AMIGA_1_ -Mux04| Mcel 3 6 ( 182)| cpu_est_1_ -Mux05| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux06| Mcel 0 3 ( 106)| inst_DTACK_D0 -Mux07| Mcel 5 3 ( 226)| CLK_000_P_SYNC_9_ -Mux08| Mcel 5 14 ( 242)| CLK_000_P_SYNC_2_ -Mux09| Mcel 6 11 ( 262)| CLK_000_N_SYNC_0_ -Mux10| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux11| ... | ... -Mux12| Mcel 1 10 ( 140)| CLK_000_P_SYNC_5_ -Mux13| Mcel 2 9 ( 163)| N_210_i -Mux14| Mcel 5 4 ( 227)| SM_AMIGA_5_ -Mux15| Mcel 5 2 ( 224)| CLK_000_N_SYNC_1_ -Mux16| Mcel 3 2 ( 176)| cpu_est_3_ -Mux17| Mcel 3 14 ( 194)| inst_CLK_000_NE_D0 -Mux18| Mcel 6 15 ( 268)| CLK_000_P_SYNC_8_ -Mux19| ... | ... -Mux20| Mcel 1 14 ( 146)| CLK_000_P_SYNC_1_ +Mux01| IOPin 4 0 ( 41)| BERR +Mux02| Mcel 5 10 ( 236)| SM_AMIGA_2_ +Mux03| Mcel 0 8 ( 113)| inst_nEXP_SPACE_D0reg +Mux04| Mcel 6 2 ( 248)| inst_CLK_000_NE +Mux05| Mcel 6 6 ( 254)| CLK_000_N_SYNC_6_ +Mux06| Mcel 5 13 ( 241)| inst_CLK_OUT_PRE_50 +Mux07| ... | ... +Mux08| Mcel 4 8 ( 209)| inst_CLK_000_D1 +Mux09| Mcel 5 2 ( 224)| inst_VPA_D +Mux10| Mcel 5 4 ( 227)| SM_AMIGA_6_ +Mux11| Mcel 5 6 ( 230)| SM_AMIGA_3_ +Mux12| ... | ... +Mux13| Input Pin ( 36)| VPA +Mux14| Mcel 5 5 ( 229)| SM_AMIGA_1_ +Mux15| Mcel 5 1 ( 223)| SM_AMIGA_0_ +Mux16| Mcel 3 2 ( 176)| cpu_est_1_ +Mux17| Mcel 5 12 ( 239)| cpu_est_3_ +Mux18| Mcel 5 9 ( 235)| SM_AMIGA_4_ +Mux19| Mcel 1 15 ( 148)| inst_DTACK_D0 +Mux20| Mcel 3 10 ( 188)| inst_CLK_000_NE_D0 Mux21| Mcel 3 13 ( 193)| cpu_est_2_ -Mux22| Mcel 2 1 ( 151)| inst_VPA_D +Mux22| Mcel 6 5 ( 253)| inst_CLK_000_PE Mux23| ... | ... -Mux24| ... | ... -Mux25| IOPin 4 0 ( 41)| BERR +Mux24| Mcel 5 14 ( 242)| N_317_i +Mux25| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ Mux26| Mcel 3 0 ( 173)| RN_VMA -Mux27| Mcel 5 5 ( 229)| SM_AMIGA_3_ +Mux27| ... | ... Mux28| ... | ... -Mux29| Mcel 2 4 ( 155)| SM_AMIGA_6_ -Mux30| Mcel 5 1 ( 223)| SM_AMIGA_0_ -Mux31| ... | ... -Mux32| Mcel 5 9 ( 235)| SM_AMIGA_4_ +Mux29| Mcel 2 4 ( 155)| inst_AS_030_000_SYNC +Mux30| Mcel 3 6 ( 182)| cpu_est_0_ +Mux31| Mcel 1 9 ( 139)| inst_CLK_000_D0 +Mux32| Mcel 5 8 ( 233)| SM_AMIGA_5_ --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Macrocell (MCell) Cluster Assignments @@ -1569,20 +1567,20 @@ Mux32| Mcel 5 9 ( 235)| SM_AMIGA_4_ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW| IO| | S | 2 | 4 to [ 0]| 1 XOR free 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2| SIZE_DMA_0_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3| RST_DLY_2_|NOD| | S | 2 | 4 to [ 3]| 1 XOR free + 2|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 3|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4| E|OUT| | S | 2 | 4 to [ 4]| 1 XOR free - 5|inst_RESET_OUT|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6|inst_CLK_OUT_PRE_25|NOD| | S | 2 | 4 to [ 6]| 1 XOR free - 7|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 5|inst_CLK_000_PE|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 6|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 7|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig 8| A0| IO| | S | 3 | 4 to [ 8]| 1 XOR free - 9|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| RST_DLY_1_|NOD| | S | 4 | 4 to [10]| 1 XOR free -11|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig + 9| SIZE_DMA_1_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free +10|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig +11| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig 12| SIZE_0_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| SIZE_DMA_1_|NOD| | S | 3 | 4 to [13]| 1 XOR free -14| RST_DLY_0_|NOD| | S | 3 | 4 to [14]| 1 XOR free -15|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig +13| SIZE_DMA_0_|NOD| | S | 3 | 4 to [13]| 1 XOR free +14|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +15|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Maximum PT Capacity @@ -1594,22 +1592,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| RW| IO| | S | 2 |=> can support up to [ 9] logic PT(s) - 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) - 2| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) - 3| RST_DLY_2_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) - 4| E|OUT| | S | 2 |=> can support up to [ 5] logic PT(s) - 5|inst_RESET_OUT|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) - 6|inst_CLK_OUT_PRE_25|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) - 7|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 0| RW| IO| | S | 2 |=> can support up to [ 13] logic PT(s) + 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) + 2|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 4| E|OUT| | S | 2 |=> can support up to [ 17] logic PT(s) + 5|inst_CLK_000_PE|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 6|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 7|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) 8| A0| IO| | S | 3 |=> can support up to [ 13] logic PT(s) - 9|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -10| RST_DLY_1_|NOD| | S | 4 |=> can support up to [ 17] logic PT(s) -11|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -12| SIZE_0_| IO| | S | 1 |=> can support up to [ 9] logic PT(s) -13| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) -14| RST_DLY_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) -15|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 9| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) +10|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) +11| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) +12| SIZE_0_| IO| | S | 1 |=> can support up to [ 13] logic PT(s) +13| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 17] logic PT(s) +14|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) +15|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Node-Pin Assignments @@ -1621,20 +1619,20 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 2| SIZE_DMA_0_|NOD| | => | 6 7 0 1 | 71 72 65 66 - 3| RST_DLY_2_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 2|inst_CLK_000_NE|NOD| | => | 6 7 0 1 | 71 72 65 66 + 3|CLK_000_P_SYNC_4_|NOD| | => | 6 7 0 1 | 71 72 65 66 4| E|OUT| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5|inst_RESET_OUT|NOD| | => | 7 0 1 2 | 72 65 66 67 - 6|inst_CLK_OUT_PRE_25|NOD| | => | 0 1 2 3 | 65 66 67 68 - 7|CLK_000_N_SYNC_7_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 5|inst_CLK_000_PE|NOD| | => | 7 0 1 2 | 72 65 66 67 + 6|CLK_000_N_SYNC_6_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 7|CLK_000_P_SYNC_2_|NOD| | => | 0 1 2 3 | 65 66 67 68 8| A0| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9|inst_CLK_000_NE|NOD| | => | 1 2 3 4 | 66 67 68 69 -10| RST_DLY_1_|NOD| | => | 2 3 4 5 | 67 68 69 70 -11|CLK_000_N_SYNC_0_|NOD| | => | 2 3 4 5 | 67 68 69 70 + 9| SIZE_DMA_1_|NOD| | => | 1 2 3 4 | 66 67 68 69 +10|CLK_000_P_SYNC_8_|NOD| | => | 2 3 4 5 | 67 68 69 70 +11| IPL_D0_0_|NOD| | => | 2 3 4 5 | 67 68 69 70 12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13| SIZE_DMA_1_|NOD| | => | 3 4 5 6 | 68 69 70 71 -14| RST_DLY_0_|NOD| | => | 4 5 6 7 | 69 70 71 72 -15|CLK_000_P_SYNC_8_|NOD| | => | 4 5 6 7 | 69 70 71 72 +13| SIZE_DMA_0_|NOD| | => | 3 4 5 6 | 68 69 70 71 +14|CLK_000_P_SYNC_5_|NOD| | => | 4 5 6 7 | 69 70 71 72 +15|CLK_000_P_SYNC_9_|NOD| | => | 4 5 6 7 | 69 70 71 72 --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > IO-to-Node Pin Mapping @@ -1690,38 +1688,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 66|OUT E|*| ] [RegIn 1 |249| -| | ] - [MCell 2 |248|NOD SIZE_DMA_0_| |*] - [MCell 3 |250|NOD RST_DLY_2_| |*] + [MCell 2 |248|NOD inst_CLK_000_NE| |*] + [MCell 3 |250|NOD CLK_000_P_SYNC_4_| |*] 2 [IOpin 2 | 67|INP IPL_0_|*|*] [RegIn 2 |252| -| | ] [MCell 4 |251|OUT E| | ] - [MCell 5 |253|NOD inst_RESET_OUT| |*] + [MCell 5 |253|NOD inst_CLK_000_PE| |*] 3 [IOpin 3 | 68|INP IPL_2_|*|*] [RegIn 3 |255| -| | ] - [MCell 6 |254|NOD inst_CLK_OUT_PRE_25| |*] - [MCell 7 |256|NOD CLK_000_N_SYNC_7_| |*] + [MCell 6 |254|NOD CLK_000_N_SYNC_6_| |*] + [MCell 7 |256|NOD CLK_000_P_SYNC_2_| |*] 4 [IOpin 4 | 69| IO A0|*|*] paired w/[ RN_A0] [RegIn 4 |258| -| | ] [MCell 8 |257|NOD RN_A0| |*] paired w/[ A0] - [MCell 9 |259|NOD inst_CLK_000_NE| |*] + [MCell 9 |259|NOD SIZE_DMA_1_| |*] 5 [IOpin 5 | 70| IO SIZE_0_|*|*] [RegIn 5 |261| -| | ] - [MCell 10 |260|NOD RST_DLY_1_| |*] - [MCell 11 |262|NOD CLK_000_N_SYNC_0_| |*] + [MCell 10 |260|NOD CLK_000_P_SYNC_8_| |*] + [MCell 11 |262|NOD IPL_D0_0_| |*] 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] [RegIn 6 |264| -| | ] [MCell 12 |263| IO SIZE_0_| | ] - [MCell 13 |265|NOD SIZE_DMA_1_| |*] + [MCell 13 |265|NOD SIZE_DMA_0_| |*] 7 [IOpin 7 | 72| -| | ] [RegIn 7 |267| -| | ] - [MCell 14 |266|NOD RST_DLY_0_| |*] - [MCell 15 |268|NOD CLK_000_P_SYNC_8_| |*] + [MCell 14 |266|NOD CLK_000_P_SYNC_5_| |*] + [MCell 15 |268|NOD CLK_000_P_SYNC_9_| |*] --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Logic Array Fan-in @@ -1729,39 +1727,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 3 4 ( 31)| LDS_000 -Mux01| Mcel 3 13 ( 193)| cpu_est_2_ -Mux02| Mcel 1 6 ( 134)| CLK_000_P_SYNC_7_ -Mux03| Mcel 4 5 ( 205)| inst_CLK_OUT_PRE_50 -Mux04| Mcel 7 5 ( 277)| inst_nEXP_SPACE_D0reg -Mux05| Mcel 6 6 ( 254)| inst_CLK_OUT_PRE_25 +Mux00| IOPin 6 2 ( 67)| IPL_0_ +Mux01| Mcel 1 7 ( 136)| CLK_000_P_SYNC_1_ +Mux02| Mcel 6 15 ( 268)| CLK_000_P_SYNC_9_ +Mux03| Mcel 3 11 ( 190)| CLK_000_N_SYNC_5_ +Mux04| ... | ... +Mux05| Mcel 6 3 ( 250)| CLK_000_P_SYNC_4_ Mux06| IOPin 7 5 ( 80)| RW_000 Mux07| Mcel 7 6 ( 278)| CLK_000_N_SYNC_11_ Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 3 3 ( 178)| inst_CLK_OUT_PRE_D -Mux10| Mcel 6 14 ( 266)| RST_DLY_0_ +Mux09| Mcel 6 13 ( 265)| SIZE_DMA_0_ +Mux10| Mcel 6 8 ( 257)| RN_A0 Mux11| ... | ... -Mux12| Mcel 6 13 ( 265)| SIZE_DMA_1_ -Mux13| Mcel 6 8 ( 257)| RN_A0 -Mux14| Mcel 0 10 ( 116)| CLK_000_N_SYNC_6_ -Mux15| ... | ... -Mux16| Mcel 4 8 ( 209)| inst_BGACK_030_INT_D +Mux12| Mcel 6 9 ( 259)| SIZE_DMA_1_ +Mux13| Mcel 4 13 ( 217)| CLK_000_P_SYNC_3_ +Mux14| ... | ... +Mux15| Mcel 0 12 ( 119)| inst_CLK_OUT_PRE_D +Mux16| Mcel 3 2 ( 176)| cpu_est_1_ Mux17| Mcel 6 0 ( 245)| RN_RW -Mux18| ... | ... -Mux19| ... | ... +Mux18| Mcel 0 8 ( 113)| inst_nEXP_SPACE_D0reg +Mux19| Mcel 1 3 ( 130)| CLK_000_P_SYNC_7_ Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 Mux21| Input Pin ( 86)| RST -Mux22| Mcel 6 10 ( 260)| RST_DLY_1_ -Mux23| Mcel 6 2 ( 248)| SIZE_DMA_0_ -Mux24| Mcel 6 3 ( 250)| RST_DLY_2_ -Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D0 +Mux22| Mcel 6 10 ( 260)| CLK_000_P_SYNC_8_ +Mux23| ... | ... +Mux24| IOPin 3 4 ( 31)| LDS_000 +Mux25| Mcel 3 9 ( 187)| inst_RESET_OUT Mux26| ... | ... -Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux28| Mcel 3 2 ( 176)| cpu_est_3_ -Mux29| Mcel 2 8 ( 161)| inst_CLK_000_D1 -Mux30| Mcel 3 6 ( 182)| cpu_est_1_ -Mux31| ... | ... -Mux32| Mcel 6 5 ( 253)| inst_RESET_OUT +Mux27| ... | ... +Mux28| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux29| Mcel 3 13 ( 193)| cpu_est_2_ +Mux30| ... | ... +Mux31| Mcel 5 12 ( 239)| cpu_est_3_ +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 7] > Macrocell (MCell) Cluster Assignments @@ -1776,9 +1774,9 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW_000| IO| | S | 3 | 4 to [ 0]| 1 XOR free 1| FPU_CS|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig 2|CLK_000_N_SYNC_10_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free + 3|inst_AS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4| BGACK_030| IO| | S | 3 | 4 to [ 4]| 1 XOR free - 5|inst_nEXP_SPACE_D0reg|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 5| | ? | | S | | 4 free | 1 XOR free 6|CLK_000_N_SYNC_11_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free 8| AS_030| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig @@ -1786,7 +1784,7 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| SIZE_1_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_AS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1801,19 +1799,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0| RW_000| IO| | S | 3 |=> can support up to [ 13] logic PT(s) - 1| FPU_CS|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 2|CLK_000_N_SYNC_10_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) + 1| FPU_CS|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) + 2|CLK_000_N_SYNC_10_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 3|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) 4| BGACK_030| IO| | S | 3 |=> can support up to [ 18] logic PT(s) - 5|inst_nEXP_SPACE_D0reg|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_N_SYNC_11_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 5| | ? | | S | |=> can support up to [ 14] logic PT(s) + 6|CLK_000_N_SYNC_11_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 7| | ? | | S | |=> can support up to [ 13] logic PT(s) 8| AS_030| IO| | S | 1 |=> can support up to [ 15] logic PT(s) 9| DSACK1| IO| | S | 4 |=> can support up to [ 19] logic PT(s) 10| | ? | | S | |=> can support up to [ 14] logic PT(s) 11| | ? | | S | |=> can support up to [ 18] logic PT(s) 12| SIZE_1_| IO| | S | 1 |=> can support up to [ 19] logic PT(s) -13|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +13|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -1828,9 +1826,9 @@ _|_________________|__|_____|____________________|________________________ 0| RW_000| IO| | => |( 5) 6 7 0 |( 80) 79 78 85 1| FPU_CS|OUT| | => | 5 6 ( 7) 0 | 80 79 ( 78) 85 2|CLK_000_N_SYNC_10_|NOD| | => | 6 7 0 1 | 79 78 85 84 - 3| | | | => | 6 7 0 1 | 79 78 85 84 + 3|inst_AS_030_D0|NOD| | => | 6 7 0 1 | 79 78 85 84 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) - 5|inst_nEXP_SPACE_D0reg|NOD| | => | 7 0 1 2 | 78 85 84 83 + 5| | | | => | 7 0 1 2 | 78 85 84 83 6|CLK_000_N_SYNC_11_|NOD| | => | 0 1 2 3 | 85 84 83 82 7| | | | => | 0 1 2 3 | 85 84 83 82 8| AS_030| IO| | => | 1 2 ( 3) 4 | 84 83 ( 82) 81 @@ -1838,7 +1836,7 @@ _|_________________|__|_____|____________________|________________________ 10| | | | => | 2 3 4 5 | 83 82 81 80 11| | | | => | 2 3 4 5 | 83 82 81 80 12| SIZE_1_| IO| | => | 3 4 5 ( 6)| 82 81 80 ( 79) -13|inst_AS_030_D0|NOD| | => | 3 4 5 6 | 82 81 80 79 +13|inst_BGACK_030_INT_D|NOD| | => | 3 4 5 6 | 82 81 80 79 14| | | | => | 4 5 6 7 | 81 80 79 78 15| | | | => | 4 5 6 7 | 81 80 79 78 --------------------------------------------------------------------------- @@ -1898,12 +1896,12 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 84|INP A_22_|*|*] [RegIn 1 |273| -| | ] [MCell 2 |272|NOD CLK_000_N_SYNC_10_| |*] - [MCell 3 |274| -| | ] + [MCell 3 |274|NOD inst_AS_030_D0| |*] 2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030] [RegIn 2 |276| -| | ] [MCell 4 |275|NOD RN_BGACK_030| |*] paired w/[ BGACK_030] - [MCell 5 |277|NOD inst_nEXP_SPACE_D0reg| |*] + [MCell 5 |277| -| | ] 3 [IOpin 3 | 82| IO AS_030|*|*] [RegIn 3 |279| -| | ] @@ -1923,7 +1921,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 79| IO SIZE_1_|*|*] [RegIn 6 |288| -| | ] [MCell 12 |287| IO SIZE_1_| | ] - [MCell 13 |289|NOD inst_AS_030_D0| |*] + [MCell 13 |289|NOD inst_BGACK_030_INT_D| |*] 7 [IOpin 7 | 78|OUT FPU_CS|*| ] [RegIn 7 |291| -| | ] @@ -1936,37 +1934,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ -Mux03| Mcel 6 5 ( 253)| inst_RESET_OUT -Mux04| IOPin 0 4 ( 95)| A_18_ -Mux05| Mcel 7 9 ( 283)| RN_DSACK1 -Mux06| IOPin 0 6 ( 97)| A_19_ -Mux07| Mcel 7 13 ( 289)| inst_AS_030_D0 -Mux08| Mcel 3 7 ( 184)| CLK_000_N_SYNC_9_ -Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| Mcel 5 1 ( 223)| SM_AMIGA_0_ -Mux11| IOPin 6 6 ( 71)| RW +Mux02| Mcel 5 8 ( 233)| SM_AMIGA_5_ +Mux03| Mcel 0 8 ( 113)| inst_nEXP_SPACE_D0reg +Mux04| IOPin 3 7 ( 28)| BGACK_000 +Mux05| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ +Mux06| IOPin 5 3 ( 57)| FC_0_ +Mux07| Mcel 3 9 ( 187)| inst_RESET_OUT +Mux08| IOPin 6 6 ( 71)| RW +Mux09| Mcel 0 1 ( 103)| inst_AS_000_DMA +Mux10| Mcel 6 9 ( 259)| SIZE_DMA_1_ +Mux11| Mcel 7 9 ( 283)| RN_DSACK1 Mux12| IOPin 5 2 ( 58)| FC_1_ -Mux13| Mcel 7 5 ( 277)| inst_nEXP_SPACE_D0reg -Mux14| Mcel 7 2 ( 272)| CLK_000_N_SYNC_10_ -Mux15| Mcel 0 12 ( 119)| inst_AS_000_DMA +Mux13| IOPin 5 1 ( 59)| A_17_ +Mux14| Mcel 5 5 ( 229)| SM_AMIGA_1_ +Mux15| Mcel 0 6 ( 110)| CLK_000_N_SYNC_9_ Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 5 3 ( 57)| FC_0_ -Mux18| Mcel 0 8 ( 113)| SM_AMIGA_1_ +Mux17| IOPin 0 4 ( 95)| A_18_ +Mux18| Mcel 7 3 ( 274)| inst_AS_030_D0 Mux19| IOPin 0 0 ( 91)| FPU_SENSE -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Input Pin ( 14)| nEXP_SPACE -Mux22| Mcel 3 3 ( 178)| inst_CLK_OUT_PRE_D -Mux23| Mcel 6 2 ( 248)| SIZE_DMA_0_ -Mux24| ... | ... -Mux25| Mcel 6 13 ( 265)| SIZE_DMA_1_ +Mux20| Input Pin ( 64)| CLK_030 +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 6 5 ( 253)| inst_CLK_000_PE +Mux23| Mcel 7 0 ( 269)| RN_RW_000 +Mux24| Mcel 0 12 ( 119)| inst_CLK_OUT_PRE_D +Mux25| Mcel 6 13 ( 265)| SIZE_DMA_0_ Mux26| IOPin 0 5 ( 96)| A_16_ -Mux27| IOPin 5 1 ( 59)| A_17_ -Mux28| Input Pin ( 64)| CLK_030 -Mux29| Mcel 5 4 ( 227)| SM_AMIGA_5_ -Mux30| Mcel 7 0 ( 269)| RN_RW_000 -Mux31| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux32| IOPin 3 7 ( 28)| BGACK_000 +Mux27| IOPin 0 6 ( 97)| A_19_ +Mux28| Mcel 7 2 ( 272)| CLK_000_N_SYNC_10_ +Mux29| ... | ... +Mux30| Mcel 5 1 ( 223)| SM_AMIGA_0_ +Mux31| ... | ... +Mux32| IOPin 7 3 ( 82)| AS_030 --------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index 4982a66..b8fb20d 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -12,7 +12,7 @@ Project_Summary Project Name : 68030_tk Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Sun Jan 24 16:20:59 2016 +Project Fitted on : Mon Jan 25 07:24:24 2016 Device : M4A5-128/64 Package : 100TQFP @@ -40,8 +40,8 @@ Design_Summary Total Input Pins : 32 Total Output Pins : 19 Total Bidir I/O Pins : 10 - Total Flip-Flops : 80 - Total Product Terms : 236 + Total Flip-Flops : 79 + Total Product Terms : 233 Total Reserved Pins : 0 Total Reserved Blocks : 0 @@ -54,13 +54,13 @@ Dedicated Pins Input-Only Pins 2 2 0 --> 100% Clock/Input Pins 4 4 0 --> 100% I/O Pins 64 55 9 --> 85% -Logic Macrocells 128 100 28 --> 78% +Logic Macrocells 128 98 30 --> 76% Input Registers 64 0 64 --> 0% Unusable Macrocells .. 0 .. -CSM Outputs/Total Block Inputs 264 228 36 --> 86% -Logical Product Terms 640 236 404 --> 36% -Product Term Clusters 128 55 73 --> 42% +CSM Outputs/Total Block Inputs 264 222 42 --> 84% +Logical Product Terms 640 233 407 --> 36% +Product Term Clusters 128 54 74 --> 42%  Blocks_Resource_Summary @@ -71,14 +71,14 @@ Blocks_Resource_Summary --------------------------------------------------------------------------------- Maximum 33 8 8 -- -- 16 80 16 - --------------------------------------------------------------------------------- -Block A 28 8 0 13 0 3 42 5 Lo -Block B 27 8 0 16 0 0 46 7 Lo -Block C 28 7 0 7 0 9 22 11 Lo -Block D 27 8 0 16 0 0 28 9 Lo +Block A 26 8 0 13 0 3 37 8 Lo +Block B 27 8 0 16 0 0 46 6 Lo +Block C 26 7 0 5 0 11 18 11 Lo +Block D 27 8 0 16 0 0 33 6 Lo Block E 32 4 0 9 0 7 11 14 Lo -Block F 27 5 0 13 0 3 38 8 Lo -Block G 27 7 0 16 0 0 32 6 Lo -Block H 32 8 0 10 0 6 17 13 Lo +Block F 28 5 0 13 0 3 47 4 Lo +Block G 25 7 0 16 0 0 24 11 Lo +Block H 31 8 0 10 0 6 17 13 Lo --------------------------------------------------------------------------------- Four rightmost columns above reflect last status of the placement process. @@ -306,19 +306,19 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 4 B . I/O ----E--- Low Slow A_31_ 28 D . I/O ----E--H Low Slow BGACK_000 21 C . I/O ---D---- Low Slow BG_030 - 30 D . I/O A------- Low Slow DTACK + 30 D . I/O -B------ Low Slow DTACK 57 F . I/O --C-E--H Low Slow FC_0_ 58 F . I/O --C-E--H Low Slow FC_1_ 91 A . I/O ----E--H Low Slow FPU_SENSE - 67 G . I/O -B------ Low Slow IPL_0_ - 56 F . I/O -B------ Low Slow IPL_1_ + 67 G . I/O -B----G- Low Slow IPL_0_ + 56 F . I/O -B-D---- Low Slow IPL_1_ 68 G . I/O -B------ Low Slow IPL_2_ - 11 . . Ck/I ---D---- - Slow CLK_000 - 14 . . Ck/I -------H - Slow nEXP_SPACE - 36 . . Ded --C----- - Slow VPA + 11 . . Ck/I -B------ - Slow CLK_000 + 14 . . Ck/I A------- - Slow nEXP_SPACE + 36 . . Ded -----F-- - Slow VPA 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI 64 . . Ck/I A------H - Slow CLK_030 - 86 . . Ded ABCDEFGH - Slow RST + 86 . . Ded ABCD-FGH - Slow RST ---------------------------------------------------------------------- Power : Hi = High @@ -343,7 +343,7 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 29 D 2 DFF * * -------- Low Slow BG_000 47 E 1 COM -------- Low Slow CIIN 65 G 1 DFF * * -------- Low Fast CLK_DIV_OUT - 10 B 1 COM -------- Low Fast CLK_EXP + 10 B 1 DFF * * -------- Low Fast CLK_EXP 81 H 4 DFF * * -------- Low Slow DSACK1 98 A 1 COM -------- Low Slow DS_030 66 G 2 COM -------- Low Slow E @@ -369,9 +369,9 @@ Bidir_Signal_List Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- 69 G 3 DFF * * A------- Low Slow A0 - 42 E 1 COM A---E--H Low Slow AS_000 + 42 E 1 COM ABC-E--H Low Slow AS_000 82 H 1 COM ----E--H Low Slow AS_030 - 41 E 1 COM ABC--F-H Low Slow BERR + 41 E 1 COM --C--F-H Low Slow BERR 31 D 1 COM A-----G- Low Slow LDS_000 71 G 2 DFF * * --C----H Low Slow RW 80 H 3 DFF * * A---E-G- Low Slow RW_000 @@ -393,35 +393,35 @@ Buried_Signal_List Pin r e O Node #Mc Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - E9 E 2 COM ----E--- Low Slow CIIN_0 - G11 G 1 DFF * * -----F-- Low Slow CLK_000_N_SYNC_0_ + E5 E 2 COM ----E--- Low Slow CIIN_0 + E9 E 1 DFF * * A------- Low Slow CLK_000_N_SYNC_0_ H2 H 1 DFF * * -------H Low Slow CLK_000_N_SYNC_10_ H6 H 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_11_ - F2 F 1 DFF * * -----F-- Low Slow CLK_000_N_SYNC_1_ - F13 F 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_2_ - D15 D 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_3_ - D11 D 1 DFF * * A------- Low Slow CLK_000_N_SYNC_4_ - A14 A 1 DFF * * A------- Low Slow CLK_000_N_SYNC_5_ - A10 A 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_6_ - G7 G 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_7_ - B2 B 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_8_ - D7 D 1 DFF * * -------H Low Slow CLK_000_N_SYNC_9_ - B3 B 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_0_ - B14 B 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_1_ - F14 F 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_2_ - F10 F 1 DFF * * ----E--- Low Slow CLK_000_P_SYNC_3_ - E13 E 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_4_ - B10 B 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_5_ - F6 F 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_6_ - B6 B 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_7_ - G15 G 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_8_ - F3 F 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_9_ - A6 A 2 DFF * * A------- Low Slow CYCLE_DMA_0_ - A2 A 3 DFF * * A------- Low Slow CYCLE_DMA_1_ - B15 B 1 DFF * * -B------ Low Slow IPL_D0_0_ - B11 B 1 DFF * * -B------ Low Slow IPL_D0_1_ - B7 B 1 DFF * * -B------ Low Slow IPL_D0_2_ - C9 C 4 COM -----F-- Low Slow N_210_i + A14 A 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_1_ + B14 B 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_2_ + B10 B 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_3_ + B6 B 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_4_ + D11 D 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_5_ + G6 G 1 DFF * * -----F-- Low Slow CLK_000_N_SYNC_6_ + F3 F 1 DFF * * A------- Low Slow CLK_000_N_SYNC_7_ + A10 A 1 DFF * * A------- Low Slow CLK_000_N_SYNC_8_ + A6 A 1 DFF * * -------H Low Slow CLK_000_N_SYNC_9_ + E2 E 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_0_ + B7 B 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_1_ + G7 G 1 DFF * * ----E--- Low Slow CLK_000_P_SYNC_2_ + E13 E 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_3_ + G3 G 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_4_ + G14 G 1 DFF * * A------- Low Slow CLK_000_P_SYNC_5_ + A3 A 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_6_ + B3 B 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_7_ + G10 G 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_8_ + G15 G 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_9_ + B5 B 2 DFF * * ABC----- Low Slow CYCLE_DMA_0_ + C12 C 3 DFF * * A-C----- Low Slow CYCLE_DMA_1_ + G11 G 1 DFF * * -B------ Low Slow IPL_D0_0_ + D15 D 1 DFF * * -B------ Low Slow IPL_D0_1_ + B11 B 1 DFF * * -B------ Low Slow IPL_D0_2_ + F14 F 4 COM -----F-- Low Slow N_317_i G8 G 3 DFF * * ------G- Low - RN_A0 --> A0 H4 H 3 DFF * * ABCDE-GH Low - RN_BGACK_030 --> BGACK_030 D1 D 2 DFF * * ---D---- Low - RN_BG_000 --> BG_000 @@ -432,48 +432,46 @@ Buried_Signal_List G0 G 2 DFF * * ------G- Low - RN_RW --> RW H0 H 3 DFF * * -------H Low - RN_RW_000 --> RW_000 D0 D 3 TFF * * ---D-F-- Low - RN_VMA --> VMA - G14 G 3 DFF * * ------G- Low Slow RST_DLY_0_ - G10 G 4 DFF * * ------G- Low Slow RST_DLY_1_ - G3 G 2 DFF * * ------G- Low Slow RST_DLY_2_ - G2 G 3 DFF * * ------GH Low Slow SIZE_DMA_0_ - G13 G 3 DFF * * ------GH Low Slow SIZE_DMA_1_ + D3 D 3 DFF * * ---D---- Low Slow RST_DLY_0_ + D14 D 4 DFF * * ---D---- Low Slow RST_DLY_1_ + D7 D 2 DFF * * ---D---- Low Slow RST_DLY_2_ + G13 G 3 DFF * * ------GH Low Slow SIZE_DMA_0_ + G9 G 3 DFF * * ------GH Low Slow SIZE_DMA_1_ F1 F 2 DFF * * --C--F-H Low Slow SM_AMIGA_0_ - A8 A 3 DFF * * A-C--F-H Low Slow SM_AMIGA_1_ - F12 F 4 DFF * * A-C--F-- Low Slow SM_AMIGA_2_ - F5 F 5 TFF * * --C--F-- Low Slow SM_AMIGA_3_ + F5 F 3 DFF * * -----F-H Low Slow SM_AMIGA_1_ + F10 F 4 DFF * * -----F-- Low Slow SM_AMIGA_2_ + F6 F 5 TFF * * -----F-- Low Slow SM_AMIGA_3_ F9 F 3 DFF * * --C--F-- Low Slow SM_AMIGA_4_ - F4 F 3 DFF * * -BC--F-H Low Slow SM_AMIGA_5_ - C4 C 3 DFF * * A-C--F-- Low Slow SM_AMIGA_6_ - F8 F 14 DFF * * --CD---H Low Slow SM_AMIGA_i_7_ - D10 D 2 DFF * * ---D-F-- Low Slow cpu_est_0_ - D6 D 3 DFF * * ---D-FG- Low Slow cpu_est_1_ + F8 F 3 DFF * * --C--F-H Low Slow SM_AMIGA_5_ + F4 F 3 DFF * * A-C--F-- Low Slow SM_AMIGA_6_ + F0 F 14 DFF * * --CD-F-H Low Slow SM_AMIGA_i_7_ + D6 D 2 DFF * * ---D-F-- Low Slow cpu_est_0_ + D2 D 3 DFF * * ---D-FG- Low Slow cpu_est_1_ D13 D 4 DFF * * ---D-FG- Low Slow cpu_est_2_ - D2 D 3 DFF * * ---D-FG- Low Slow cpu_est_3_ - B5 B 2 DFF * * -B-D---- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH - B9 B 2 DFF * * -BC----- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW - A12 A 7 DFF * * A------H Low Slow inst_AS_000_DMA - B13 B 2 DFF * * -B--E--- Low Slow inst_AS_000_INT - C5 C 7 DFF * * --C----- Low Slow inst_AS_030_000_SYNC - H13 H 1 DFF * * -BCDE--H Low Slow inst_AS_030_D0 - E8 E 1 DFF * * -BC---G- Low Slow inst_BGACK_030_INT_D - D9 D 1 DFF * * -BCD--G- Low Slow inst_CLK_000_D0 - C8 C 1 DFF * * -BC---G- Low Slow inst_CLK_000_D1 - G9 G 1 DFF * * A--D-FG- Low Slow inst_CLK_000_NE - D14 D 1 DFF * * ---D-F-- Low Slow inst_CLK_000_NE_D0 - F0 F 1 DFF * * A-CD-F-H Low Slow inst_CLK_000_PE - A13 A 8 DFF * * A------- Low Slow inst_CLK_030_H - E2 E 1 DFF * * -B------ Low Slow inst_CLK_OUT_EXP_INT - G6 G 2 DFF * * ---D--G- Low Slow inst_CLK_OUT_PRE_25 - E5 E 1 DFF * * ----E-G- Low Slow inst_CLK_OUT_PRE_50 - D3 D 1 DFF * * ------GH Low Slow inst_CLK_OUT_PRE_D - A9 A 9 DFF * * A------- Low Slow inst_DS_000_DMA - C12 C 5 DFF * * --CD---- Low Slow inst_DS_000_ENABLE - A3 A 1 DFF * * -----F-- Low Slow inst_DTACK_D0 - A1 A 3 DFF * * A--D---- Low Slow inst_LDS_000_INT - G5 G 2 DFF * * AB-DE-GH Low Slow inst_RESET_OUT - A5 A 2 DFF * * A--D---- Low Slow inst_UDS_000_INT - C1 C 1 DFF * * ---D-F-- Low Slow inst_VPA_D - H5 H 1 DFF * * A-CDE-GH Low Slow inst_nEXP_SPACE_D0reg + F12 F 3 DFF * * ---D-FG- Low Slow cpu_est_3_ + B13 B 2 DFF * * -B-D---- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH + B2 B 2 DFF * * -BC----- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW + A1 A 7 DFF * * A------H Low Slow inst_AS_000_DMA + C1 C 2 DFF * * --C-E--- Low Slow inst_AS_000_INT + C4 C 7 DFF * * --C--F-- Low Slow inst_AS_030_000_SYNC + H3 H 1 DFF * * --CDE--H Low Slow inst_AS_030_D0 + H13 H 1 DFF * * -BC---G- Low Slow inst_BGACK_030_INT_D + B9 B 1 DFF * * ---DEF-- Low Slow inst_CLK_000_D0 + E8 E 1 DFF * * ----EF-- Low Slow inst_CLK_000_D1 + G2 G 1 DFF * * ---D-F-- Low Slow inst_CLK_000_NE + D10 D 1 DFF * * ---D-F-- Low Slow inst_CLK_000_NE_D0 + G5 G 1 DFF * * -BCD-F-H Low Slow inst_CLK_000_PE + A2 A 8 DFF * * A------- Low Slow inst_CLK_030_H + F13 F 1 DFF * * A----F-- Low Slow inst_CLK_OUT_PRE_50 + A12 A 1 DFF * * -B----GH Low Slow inst_CLK_OUT_PRE_D + A13 A 9 DFF * * A------- Low Slow inst_DS_000_DMA + C8 C 5 DFF * * --CD---- Low Slow inst_DS_000_ENABLE + B15 B 1 DFF * * -----F-- Low Slow inst_DTACK_D0 + A5 A 3 DFF * * A--D---- Low Slow inst_LDS_000_INT + D9 D 2 DFF * * AB-DE-GH Low Slow inst_RESET_OUT + A9 A 2 DFF * * A--D---- Low Slow inst_UDS_000_INT + F2 F 1 DFF * * ---D-F-- Low Slow inst_VPA_D + A8 A 1 DFF * * A-CDEFGH Low Slow inst_nEXP_SPACE_D0reg ---------------------------------------------------------------------- Power : Hi = High @@ -492,49 +490,49 @@ Signal Source : Fanout List A_31_{ C}: CIIN{ E} CIIN_0{ E} IPL_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} : IPL_D0_2_{ B} - FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} IPL_1_{ G}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_1_{ B} + : IPL_D0_1_{ D} + FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + : IPL_D0_0_{ G} AS_030{ I}: AS_000{ E} BERR{ E} FPU_CS{ H} : inst_AS_030_D0{ H} - IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_0_{ B} + FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} AS_000{ F}: AS_030{ H} DS_030{ A}AMIGA_BUS_DATA_DIR{ E} : BGACK_030{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} - : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} - FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + : CYCLE_DMA_0_{ B} CYCLE_DMA_1_{ C} inst_CLK_030_H{ A} UDS_000{ E}: A0{ G}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} inst_CLK_030_H{ A} LDS_000{ E}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} SIZE_DMA_0_{ G} : SIZE_DMA_1_{ G} inst_CLK_030_H{ A} A1{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ B}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ B} - nEXP_SPACE{. }:inst_nEXP_SPACE_D0reg{ H} - BERR{ F}: DSACK1{ H}inst_AS_000_INT{ B} SM_AMIGA_5_{ F} + nEXP_SPACE{. }:inst_nEXP_SPACE_D0reg{ A} + BERR{ F}: DSACK1{ H}inst_AS_000_INT{ C} SM_AMIGA_5_{ F} :inst_AS_030_000_SYNC{ C} SM_AMIGA_0_{ F} SM_AMIGA_4_{ F} - :inst_DS_000_ENABLE{ C} SM_AMIGA_6_{ C} SM_AMIGA_1_{ A} + :inst_DS_000_ENABLE{ C} SM_AMIGA_6_{ F} SM_AMIGA_1_{ F} : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} BG_030{ D}: BG_000{ D} BGACK_000{ E}: BERR{ E} FPU_CS{ H} BGACK_030{ H} CLK_030{. }: DSACK1{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : inst_CLK_030_H{ A} - CLK_000{. }:inst_CLK_000_D0{ D} + CLK_000{. }:inst_CLK_000_D0{ B} FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} - DTACK{ E}: inst_DTACK_D0{ A} - VPA{. }: inst_VPA_D{ C} + DTACK{ E}: inst_DTACK_D0{ B} + VPA{. }: inst_VPA_D{ F} RST{. }: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} : RW_000{ H} A0{ G} BG_000{ D} : BGACK_030{ H} DSACK1{ H} VMA{ D} - : RW{ G}inst_AS_000_INT{ B} SM_AMIGA_5_{ F} - :inst_AMIGA_BUS_ENABLE_DMA_LOW{ B} inst_AS_030_D0{ H}inst_nEXP_SPACE_D0reg{ H} - :inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ E}inst_AS_000_DMA{ A} - :inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} - : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} inst_VPA_D{ C} - :inst_UDS_000_INT{ A}inst_LDS_000_INT{ A} inst_DTACK_D0{ A} - : inst_RESET_OUT{ G} IPL_D0_0_{ B} IPL_D0_1_{ B} + : RW{ G}inst_AS_000_INT{ C} SM_AMIGA_5_{ F} + :inst_AMIGA_BUS_ENABLE_DMA_LOW{ B} inst_AS_030_D0{ H}inst_nEXP_SPACE_D0reg{ A} + :inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A} + :inst_DS_000_DMA{ A} CYCLE_DMA_0_{ B} CYCLE_DMA_1_{ C} + : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} inst_VPA_D{ F} + :inst_UDS_000_INT{ A}inst_LDS_000_INT{ A} inst_DTACK_D0{ B} + : inst_RESET_OUT{ D} IPL_D0_0_{ G} IPL_D0_1_{ D} : IPL_D0_2_{ B} SM_AMIGA_0_{ F}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ B} - : SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} RST_DLY_0_{ G} - : RST_DLY_1_{ G} RST_DLY_2_{ G} SM_AMIGA_6_{ C} - : inst_CLK_030_H{ A} SM_AMIGA_1_{ A} SM_AMIGA_3_{ F} + : SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} RST_DLY_0_{ D} + : RST_DLY_1_{ D} RST_DLY_2_{ D} SM_AMIGA_6_{ F} + : inst_CLK_030_H{ A} SM_AMIGA_1_{ F} SM_AMIGA_3_{ F} : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} SIZE_0_{ H}:inst_LDS_000_INT{ A} A_30_{ C}: CIIN{ E} CIIN_0{ E} @@ -562,11 +560,11 @@ RN_IPL_030_0_{ C}: IPL_030_0_{ B} RN_BG_000{ E}: BG_000{ D} RN_BGACK_030{ I}: SIZE_1_{ H} AS_030{ H} AS_000{ E} : DS_030{ A} UDS_000{ D} LDS_000{ D} - :AMIGA_BUS_DATA_DIR{ E} SIZE_0_{ G}AMIGA_BUS_ENABLE_LOW{ C} + : SIZE_0_{ G}AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C} :AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H} A0{ G} : BGACK_030{ H} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ B} - :inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ E}inst_AS_000_DMA{ A} - :inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} + :inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A} + :inst_DS_000_DMA{ A} CYCLE_DMA_0_{ B} CYCLE_DMA_1_{ C} : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ B} : inst_CLK_030_H{ A} RN_DSACK1{ I}: DSACK1{ H} @@ -574,122 +572,120 @@ RN_BGACK_030{ I}: SIZE_1_{ H} AS_030{ H} AS_000{ E} : SM_AMIGA_i_7_{ F} RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ C} RN_RW{ H}: RW{ G} - cpu_est_0_{ E}: VMA{ D} cpu_est_0_{ D} cpu_est_1_{ D} - : cpu_est_2_{ D} cpu_est_3_{ D} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} - cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_1_{ D} - : cpu_est_2_{ D} cpu_est_3_{ D} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} + N_317_i{ G}: SM_AMIGA_i_7_{ F} cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_2_{ D} - : cpu_est_3_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} + : cpu_est_3_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} - cpu_est_3_{ E}: E{ G} VMA{ D} cpu_est_1_{ D} - : cpu_est_3_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} + cpu_est_3_{ G}: E{ G} VMA{ D} cpu_est_3_{ F} + : cpu_est_1_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} -inst_AS_000_INT{ C}: AS_000{ E}inst_AS_000_INT{ B} -SM_AMIGA_5_{ G}: RW_000{ H}inst_AS_000_INT{ B} SM_AMIGA_5_{ F} - : N_210_i{ C} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} + cpu_est_0_{ E}: VMA{ D} cpu_est_2_{ D} cpu_est_3_{ F} + : cpu_est_0_{ D} cpu_est_1_{ D} SM_AMIGA_3_{ F} + : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} + cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_2_{ D} + : cpu_est_3_{ F} cpu_est_1_{ D} SM_AMIGA_3_{ F} + : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} +inst_AS_000_INT{ D}: AS_000{ E}inst_AS_000_INT{ C} +SM_AMIGA_5_{ G}: RW_000{ H} N_317_i{ F}inst_AS_000_INT{ C} + : SM_AMIGA_5_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} : SM_AMIGA_i_7_{ F} inst_AMIGA_BUS_ENABLE_DMA_LOW{ C}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ B} inst_AS_030_D0{ I}: CIIN{ E} BG_000{ D} DSACK1{ H} - :inst_AS_000_INT{ B}inst_AS_030_000_SYNC{ C}inst_DS_000_ENABLE{ C} + :inst_AS_000_INT{ C}inst_AS_030_000_SYNC{ C}inst_DS_000_ENABLE{ C} : CIIN_0{ E} -inst_nEXP_SPACE_D0reg{ I}: SIZE_1_{ H} AS_030{ H} DS_030{ A} - :AMIGA_BUS_DATA_DIR{ E} SIZE_0_{ G} A0{ G} - : BG_000{ D} DSACK1{ H}inst_AS_030_000_SYNC{ C} - : N_210_i{ C} SM_AMIGA_6_{ C} CIIN_0{ E} -inst_AS_030_000_SYNC{ D}:inst_AS_030_000_SYNC{ C} N_210_i{ C} SM_AMIGA_6_{ C} -inst_BGACK_030_INT_D{ F}: A0{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ B} +inst_nEXP_SPACE_D0reg{ B}: SIZE_1_{ H} AS_030{ H} DS_030{ A} + : SIZE_0_{ G}AMIGA_BUS_DATA_DIR{ E} A0{ G} + : BG_000{ D} DSACK1{ H} N_317_i{ F} + :inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ F} CIIN_0{ E} +inst_AS_030_000_SYNC{ D}: N_317_i{ F}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ F} +inst_BGACK_030_INT_D{ I}: A0{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ B} :inst_AS_030_000_SYNC{ C} SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ B} inst_AS_000_DMA{ B}: AS_030{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : inst_CLK_030_H{ A} inst_DS_000_DMA{ B}: DS_030{ A}inst_DS_000_DMA{ A} -CYCLE_DMA_0_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} - : CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} -CYCLE_DMA_1_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_1_{ A} +CYCLE_DMA_0_{ C}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_0_{ B} + : CYCLE_DMA_1_{ C} inst_CLK_030_H{ A} +CYCLE_DMA_1_{ D}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_1_{ C} : inst_CLK_030_H{ A} SIZE_DMA_0_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_0_{ G} SIZE_DMA_1_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_1_{ G} - inst_VPA_D{ D}: VMA{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} + inst_VPA_D{ G}: VMA{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} inst_UDS_000_INT{ B}: UDS_000{ D}inst_UDS_000_INT{ A} inst_LDS_000_INT{ B}: LDS_000{ D}inst_LDS_000_INT{ A} -inst_CLK_OUT_PRE_D{ E}: CLK_DIV_OUT{ G} DSACK1{ H} -inst_DTACK_D0{ B}: SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} -inst_RESET_OUT{ H}: AS_030{ H} AS_000{ E} DS_030{ A} +inst_CLK_OUT_PRE_D{ B}: CLK_DIV_OUT{ G} CLK_EXP{ B} DSACK1{ H} +inst_DTACK_D0{ C}: SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} +inst_RESET_OUT{ E}: AS_030{ H} AS_000{ E} DS_030{ A} : UDS_000{ D} LDS_000{ D} RESET{ B} : RW_000{ H} A0{ G} RW{ G} - : inst_RESET_OUT{ G} -inst_CLK_OUT_PRE_50{ F}:inst_CLK_OUT_PRE_50{ E}inst_CLK_OUT_PRE_25{ G}inst_CLK_OUT_EXP_INT{ E} - N_210_i{ D}: SM_AMIGA_i_7_{ F} -inst_CLK_OUT_PRE_25{ H}:inst_CLK_OUT_PRE_D{ D}inst_CLK_OUT_PRE_25{ G} -inst_CLK_000_D1{ D}: N_210_i{ C}CLK_000_P_SYNC_0_{ B}CLK_000_N_SYNC_0_{ G} - : SM_AMIGA_6_{ C} -inst_CLK_000_D0{ E}: BG_000{ D} N_210_i{ C}inst_CLK_000_D1{ C} - :CLK_000_P_SYNC_0_{ B}CLK_000_N_SYNC_0_{ G} SM_AMIGA_6_{ C} -inst_CLK_000_PE{ G}: RW_000{ H} BGACK_030{ H} VMA{ D} - : SM_AMIGA_5_{ F} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} + : inst_RESET_OUT{ D} +inst_CLK_OUT_PRE_50{ G}:inst_CLK_OUT_PRE_D{ A}inst_CLK_OUT_PRE_50{ F} +inst_CLK_000_D1{ F}: N_317_i{ F}CLK_000_P_SYNC_0_{ E}CLK_000_N_SYNC_0_{ E} + : SM_AMIGA_6_{ F} +inst_CLK_000_D0{ C}: BG_000{ D} N_317_i{ F}inst_CLK_000_D1{ E} + :CLK_000_P_SYNC_0_{ E}CLK_000_N_SYNC_0_{ E} SM_AMIGA_6_{ F} +inst_CLK_000_PE{ H}: RW_000{ H} BGACK_030{ H} VMA{ D} + : SM_AMIGA_5_{ F} CYCLE_DMA_0_{ B} CYCLE_DMA_1_{ C} : SM_AMIGA_0_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} - : SM_AMIGA_6_{ C} SM_AMIGA_1_{ A} SM_AMIGA_3_{ F} + : SM_AMIGA_6_{ F} SM_AMIGA_1_{ F} SM_AMIGA_3_{ F} : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} -inst_CLK_OUT_EXP_INT{ F}: CLK_EXP{ B} -CLK_000_P_SYNC_9_{ G}:inst_CLK_000_PE{ F} -inst_CLK_000_NE{ H}: VMA{ D} SM_AMIGA_5_{ F} inst_RESET_OUT{ G} +CLK_000_P_SYNC_9_{ H}:inst_CLK_000_PE{ G} +inst_CLK_000_NE{ H}: VMA{ D} SM_AMIGA_5_{ F} inst_RESET_OUT{ D} :inst_CLK_000_NE_D0{ D} SM_AMIGA_0_{ F} SM_AMIGA_4_{ F} - : RST_DLY_0_{ G} RST_DLY_1_{ G} RST_DLY_2_{ G} - : SM_AMIGA_1_{ A} SM_AMIGA_i_7_{ F} + : RST_DLY_0_{ D} RST_DLY_1_{ D} RST_DLY_2_{ D} + : SM_AMIGA_1_{ F} SM_AMIGA_i_7_{ F} CLK_000_N_SYNC_11_{ I}:inst_CLK_000_NE{ G} - IPL_D0_0_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_1_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_1_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} IPL_D0_2_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} -inst_CLK_000_NE_D0{ E}: cpu_est_0_{ D} cpu_est_1_{ D} cpu_est_2_{ D} - : cpu_est_3_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} +inst_CLK_000_NE_D0{ E}: cpu_est_2_{ D} cpu_est_3_{ F} cpu_est_0_{ D} + : cpu_est_1_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} -SM_AMIGA_0_{ G}: RW_000{ H} N_210_i{ C} SM_AMIGA_0_{ F} +SM_AMIGA_0_{ G}: RW_000{ H} N_317_i{ F} SM_AMIGA_0_{ F} :inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ F} inst_AMIGA_BUS_ENABLE_DMA_HIGH{ C}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ B} -SM_AMIGA_4_{ G}: N_210_i{ C} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} +SM_AMIGA_4_{ G}: N_317_i{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} : SM_AMIGA_3_{ F} SM_AMIGA_i_7_{ F} inst_DS_000_ENABLE{ D}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ C} - RST_DLY_0_{ H}: inst_RESET_OUT{ G} RST_DLY_0_{ G} RST_DLY_1_{ G} - : RST_DLY_2_{ G} - RST_DLY_1_{ H}: inst_RESET_OUT{ G} RST_DLY_0_{ G} RST_DLY_1_{ G} - : RST_DLY_2_{ G} - RST_DLY_2_{ H}: inst_RESET_OUT{ G} RST_DLY_0_{ G} RST_DLY_1_{ G} - : RST_DLY_2_{ G} -CLK_000_P_SYNC_0_{ C}:CLK_000_P_SYNC_1_{ B} -CLK_000_P_SYNC_1_{ C}:CLK_000_P_SYNC_2_{ F} -CLK_000_P_SYNC_2_{ G}:CLK_000_P_SYNC_3_{ F} -CLK_000_P_SYNC_3_{ G}:CLK_000_P_SYNC_4_{ E} -CLK_000_P_SYNC_4_{ F}:CLK_000_P_SYNC_5_{ B} -CLK_000_P_SYNC_5_{ C}:CLK_000_P_SYNC_6_{ F} -CLK_000_P_SYNC_6_{ G}:CLK_000_P_SYNC_7_{ B} + RST_DLY_0_{ E}: inst_RESET_OUT{ D} RST_DLY_0_{ D} RST_DLY_1_{ D} + : RST_DLY_2_{ D} + RST_DLY_1_{ E}: inst_RESET_OUT{ D} RST_DLY_0_{ D} RST_DLY_1_{ D} + : RST_DLY_2_{ D} + RST_DLY_2_{ E}: inst_RESET_OUT{ D} RST_DLY_0_{ D} RST_DLY_1_{ D} + : RST_DLY_2_{ D} +CLK_000_P_SYNC_0_{ F}:CLK_000_P_SYNC_1_{ B} +CLK_000_P_SYNC_1_{ C}:CLK_000_P_SYNC_2_{ G} +CLK_000_P_SYNC_2_{ H}:CLK_000_P_SYNC_3_{ E} +CLK_000_P_SYNC_3_{ F}:CLK_000_P_SYNC_4_{ G} +CLK_000_P_SYNC_4_{ H}:CLK_000_P_SYNC_5_{ G} +CLK_000_P_SYNC_5_{ H}:CLK_000_P_SYNC_6_{ A} +CLK_000_P_SYNC_6_{ B}:CLK_000_P_SYNC_7_{ B} CLK_000_P_SYNC_7_{ C}:CLK_000_P_SYNC_8_{ G} -CLK_000_P_SYNC_8_{ H}:CLK_000_P_SYNC_9_{ F} -CLK_000_N_SYNC_0_{ H}:CLK_000_N_SYNC_1_{ F} -CLK_000_N_SYNC_1_{ G}:CLK_000_N_SYNC_2_{ F} -CLK_000_N_SYNC_2_{ G}:CLK_000_N_SYNC_3_{ D} -CLK_000_N_SYNC_3_{ E}:CLK_000_N_SYNC_4_{ D} -CLK_000_N_SYNC_4_{ E}:CLK_000_N_SYNC_5_{ A} -CLK_000_N_SYNC_5_{ B}:CLK_000_N_SYNC_6_{ A} -CLK_000_N_SYNC_6_{ B}:CLK_000_N_SYNC_7_{ G} -CLK_000_N_SYNC_7_{ H}:CLK_000_N_SYNC_8_{ B} -CLK_000_N_SYNC_8_{ C}:CLK_000_N_SYNC_9_{ D} -CLK_000_N_SYNC_9_{ E}: DSACK1{ H}CLK_000_N_SYNC_10_{ H} +CLK_000_P_SYNC_8_{ H}:CLK_000_P_SYNC_9_{ G} +CLK_000_N_SYNC_0_{ F}:CLK_000_N_SYNC_1_{ A} +CLK_000_N_SYNC_1_{ B}:CLK_000_N_SYNC_2_{ B} +CLK_000_N_SYNC_2_{ C}:CLK_000_N_SYNC_3_{ B} +CLK_000_N_SYNC_3_{ C}:CLK_000_N_SYNC_4_{ B} +CLK_000_N_SYNC_4_{ C}:CLK_000_N_SYNC_5_{ D} +CLK_000_N_SYNC_5_{ E}:CLK_000_N_SYNC_6_{ G} +CLK_000_N_SYNC_6_{ H}:CLK_000_N_SYNC_7_{ F} +CLK_000_N_SYNC_7_{ G}:CLK_000_N_SYNC_8_{ A} +CLK_000_N_SYNC_8_{ B}:CLK_000_N_SYNC_9_{ A} +CLK_000_N_SYNC_9_{ B}: DSACK1{ H}CLK_000_N_SYNC_10_{ H} CLK_000_N_SYNC_10_{ I}: DSACK1{ H}CLK_000_N_SYNC_11_{ H} -SM_AMIGA_6_{ D}: SM_AMIGA_5_{ F}inst_UDS_000_INT{ A}inst_LDS_000_INT{ A} - : N_210_i{ C}inst_DS_000_ENABLE{ C} SM_AMIGA_6_{ C} +SM_AMIGA_6_{ G}: N_317_i{ F} SM_AMIGA_5_{ F}inst_UDS_000_INT{ A} + :inst_LDS_000_INT{ A}inst_DS_000_ENABLE{ C} SM_AMIGA_6_{ F} : SM_AMIGA_i_7_{ F} inst_CLK_030_H{ B}:inst_DS_000_DMA{ A} inst_CLK_030_H{ A} -SM_AMIGA_1_{ B}: DSACK1{ H} N_210_i{ C} SM_AMIGA_0_{ F} - : SM_AMIGA_1_{ A} SM_AMIGA_i_7_{ F} -SM_AMIGA_3_{ G}: N_210_i{ C} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} +SM_AMIGA_1_{ G}: DSACK1{ H} N_317_i{ F} SM_AMIGA_0_{ F} + : SM_AMIGA_1_{ F} SM_AMIGA_i_7_{ F} +SM_AMIGA_3_{ G}: N_317_i{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} -SM_AMIGA_2_{ G}: N_210_i{ C} SM_AMIGA_1_{ A} SM_AMIGA_2_{ F} +SM_AMIGA_2_{ G}: N_317_i{ F} SM_AMIGA_1_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} SM_AMIGA_i_7_{ G}:AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H}inst_AS_030_000_SYNC{ C} - :inst_DS_000_ENABLE{ C} SM_AMIGA_6_{ C} + :inst_DS_000_ENABLE{ C} SM_AMIGA_6_{ F} CIIN_0{ F}: CIIN{ E} ----------------------------------------------------------------------------- @@ -708,17 +704,17 @@ Equations : +-----+-----+-----+-----+------------------------ | | | | | DS_030 | | | | | AVEC -| * | S | BS | BR | SM_AMIGA_1_ +| * | S | BS | BR | inst_nEXP_SPACE_D0reg +| * | S | BS | BR | inst_CLK_OUT_PRE_D | * | S | BS | BR | inst_AS_000_DMA | * | S | BS | BR | inst_LDS_000_INT | * | S | BS | BR | inst_UDS_000_INT | * | S | BS | BR | inst_DS_000_DMA | * | S | BS | BR | inst_CLK_030_H -| * | S | BS | BR | CYCLE_DMA_1_ -| * | S | BS | BR | CYCLE_DMA_0_ -| * | S | BS | BR | CLK_000_N_SYNC_6_ -| * | S | BS | BR | CLK_000_N_SYNC_5_ -| * | S | BS | BR | inst_DTACK_D0 +| * | S | BS | BR | CLK_000_N_SYNC_9_ +| * | S | BS | BR | CLK_000_N_SYNC_8_ +| * | S | BS | BR | CLK_000_N_SYNC_1_ +| * | S | BS | BR | CLK_000_P_SYNC_6_ | | | | | A_19_ | | | | | A_16_ | | | | | A_18_ @@ -737,22 +733,22 @@ Equations : | * | S | BS | BR | IPL_030_2_ | * | S | BS | BR | IPL_030_0_ | * | S | BS | BR | IPL_030_1_ -| | | | | CLK_EXP +| * | S | BS | BR | CLK_EXP | | | | | RESET +| * | S | BS | BR | CYCLE_DMA_0_ +| * | S | BS | BR | inst_CLK_000_D0 | * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH | * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW -| * | S | BS | BR | inst_AS_000_INT | * | S | BS | BR | RN_IPL_030_0_ | * | S | BS | BR | RN_IPL_030_1_ | * | S | BS | BR | RN_IPL_030_2_ -| * | S | BS | BR | CLK_000_N_SYNC_8_ +| * | S | BS | BR | CLK_000_N_SYNC_4_ +| * | S | BS | BR | CLK_000_N_SYNC_3_ +| * | S | BS | BR | CLK_000_N_SYNC_2_ | * | S | BS | BR | CLK_000_P_SYNC_7_ -| * | S | BS | BR | CLK_000_P_SYNC_5_ | * | S | BS | BR | CLK_000_P_SYNC_1_ -| * | S | BS | BR | CLK_000_P_SYNC_0_ | * | S | BS | BR | IPL_D0_2_ -| * | S | BS | BR | IPL_D0_1_ -| * | S | BS | BR | IPL_D0_0_ +| * | S | BS | BR | inst_DTACK_D0 | | | | | A_29_ | | | | | A_30_ | | | | | A_31_ @@ -766,12 +762,10 @@ Equations : | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ | | | | | AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | SM_AMIGA_6_ -| * | S | BS | BR | inst_CLK_000_D1 -| * | S | BS | BR | inst_DS_000_ENABLE -| * | S | BS | BR | inst_VPA_D | * | S | BS | BR | inst_AS_030_000_SYNC -| | | | | N_210_i +| * | S | BS | BR | inst_DS_000_ENABLE +| * | S | BS | BR | CYCLE_DMA_1_ +| * | S | BS | BR | inst_AS_000_INT | | | | | BG_030 | | | | | A_24_ | | | | | A_25_ @@ -793,18 +787,18 @@ Equations : | | | | | AMIGA_BUS_ENABLE_HIGH | * | S | BS | BR | BG_000 | | | | | AMIGA_ADDR_ENABLE -| * | S | BS | BR | inst_CLK_000_D0 +| * | S | BS | BR | inst_RESET_OUT | * | S | BS | BR | cpu_est_2_ -| * | S | BS | BR | cpu_est_3_ | * | S | BS | BR | cpu_est_1_ | * | S | BS | BR | RN_VMA | * | S | BS | BR | cpu_est_0_ | * | S | BS | BR | inst_CLK_000_NE_D0 -| * | S | BS | BR | inst_CLK_OUT_PRE_D +| * | S | BS | BR | RST_DLY_1_ +| * | S | BS | BR | RST_DLY_0_ | * | S | BS | BR | RN_BG_000 -| * | S | BS | BR | CLK_000_N_SYNC_9_ -| * | S | BS | BR | CLK_000_N_SYNC_4_ -| * | S | BS | BR | CLK_000_N_SYNC_3_ +| * | S | BS | BR | RST_DLY_2_ +| * | S | BS | BR | CLK_000_N_SYNC_5_ +| * | S | BS | BR | IPL_D0_1_ | | | | | BGACK_000 | | | | | DTACK @@ -816,15 +810,15 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| | | | | BERR | | | | | AS_000 +| | | | | BERR | | | | | AMIGA_BUS_DATA_DIR | | | | | CIIN -| * | S | BS | BR | inst_BGACK_030_INT_D -| * | S | BS | BR | inst_CLK_OUT_PRE_50 +| * | S | BS | BR | inst_CLK_000_D1 | | | | | CIIN_0 -| * | S | BS | BR | CLK_000_P_SYNC_4_ -| * | S | BS | BR | inst_CLK_OUT_EXP_INT +| * | S | BS | BR | CLK_000_N_SYNC_0_ +| * | S | BS | BR | CLK_000_P_SYNC_3_ +| * | S | BS | BR | CLK_000_P_SYNC_0_ Block F @@ -834,24 +828,24 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| * | S | BS | BR | inst_CLK_000_PE -| * | S | BS | BR | SM_AMIGA_5_ | * | S | BS | BR | SM_AMIGA_i_7_ -| * | S | BS | BR | SM_AMIGA_2_ +| * | S | BS | BR | SM_AMIGA_6_ +| * | S | BS | BR | SM_AMIGA_5_ +| * | S | BS | BR | cpu_est_3_ | * | S | BS | BR | SM_AMIGA_0_ -| * | S | BS | BR | SM_AMIGA_3_ +| * | S | BS | BR | SM_AMIGA_1_ | * | S | BS | BR | SM_AMIGA_4_ -| * | S | BS | BR | CLK_000_N_SYNC_2_ -| * | S | BS | BR | CLK_000_N_SYNC_1_ -| * | S | BS | BR | CLK_000_P_SYNC_6_ -| * | S | BS | BR | CLK_000_P_SYNC_3_ -| * | S | BS | BR | CLK_000_P_SYNC_2_ -| * | S | BS | BR | CLK_000_P_SYNC_9_ +| * | S | BS | BR | inst_CLK_OUT_PRE_50 +| * | S | BS | BR | inst_VPA_D +| * | S | BS | BR | SM_AMIGA_3_ +| * | S | BS | BR | SM_AMIGA_2_ +| | | | | N_317_i +| * | S | BS | BR | CLK_000_N_SYNC_7_ | | | | | A_17_ | | | | | FC_1_ | | | | | FC_0_ -| | | | | A1 | | | | | IPL_1_ +| | | | | A1 Block G @@ -866,21 +860,21 @@ Equations : | | | | | SIZE_0_ | | | | | E | * | S | BS | BR | CLK_DIV_OUT -| * | S | BS | BR | inst_RESET_OUT -| * | S | BS | BR | inst_CLK_000_NE +| * | S | BS | BR | inst_CLK_000_PE | * | S | BS | BR | SIZE_DMA_1_ | * | S | BS | BR | SIZE_DMA_0_ -| * | S | BS | BR | inst_CLK_OUT_PRE_25 -| * | S | BS | BR | RST_DLY_1_ +| * | S | BS | BR | inst_CLK_000_NE | * | S | BS | BR | RN_A0 -| * | S | BS | BR | RST_DLY_0_ | * | S | BS | BR | RN_RW -| * | S | BS | BR | RST_DLY_2_ -| * | S | BS | BR | CLK_000_N_SYNC_7_ -| * | S | BS | BR | CLK_000_N_SYNC_0_ +| * | S | BS | BR | CLK_000_N_SYNC_6_ | * | S | BS | BR | CLK_000_P_SYNC_8_ -| | | | | IPL_2_ +| * | S | BS | BR | CLK_000_P_SYNC_5_ +| * | S | BS | BR | CLK_000_P_SYNC_4_ +| * | S | BS | BR | CLK_000_P_SYNC_2_ +| * | S | BS | BR | IPL_D0_0_ +| * | S | BS | BR | CLK_000_P_SYNC_9_ | | | | | IPL_0_ +| | | | | IPL_2_ Block H @@ -897,8 +891,8 @@ Equations : | * | S | BS | BR | BGACK_030 | | | | | FPU_CS | * | S | BS | BR | RN_BGACK_030 -| * | S | BS | BR | inst_nEXP_SPACE_D0reg | * | S | BS | BR | inst_AS_030_D0 +| * | S | BS | BR | inst_BGACK_030_INT_D | * | S | BS | BR | RN_DSACK1 | * | S | BS | BR | RN_RW_000 | * | S | BS | BR | CLK_000_N_SYNC_10_ @@ -921,22 +915,22 @@ BLOCK_A_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx A0 A0 pin 69 mx A17 BERR pin 41 -mx A1 SM_AMIGA_2_ mcell F12 mx A18inst_UDS_000_INT mcell A5 -mx A2 inst_DS_000_DMA mcell A9 mx A19CLK_000_N_SYNC_4_ mcell D11 -mx A3CLK_000_N_SYNC_5_ mcell A14 mx A20 SIZE_1_ pin 79 -mx A4 CLK_030 pin 64 mx A21 RST pin 86 -mx A5 inst_CLK_000_PE mcell F0 mx A22 CYCLE_DMA_1_ mcell A2 -mx A6 SM_AMIGA_6_ mcell C4 mx A23 RN_BGACK_030 mcell H4 -mx A7 ... ... mx A24 LDS_000 pin 31 -mx A8 UDS_000 pin 32 mx A25 inst_CLK_030_H mcell A13 -mx A9 DTACK pin 30 mx A26 ... ... -mx A10 CYCLE_DMA_0_ mcell A6 mx A27 inst_CLK_000_NE mcell G9 -mx A11 ... ... mx A28 RW_000 pin 80 -mx A12inst_LDS_000_INT mcell A1 mx A29 ... ... -mx A13inst_nEXP_SPACE_D0reg mcell H5 mx A30 SM_AMIGA_1_ mcell A8 -mx A14 SIZE_0_ pin 70 mx A31 ... ... -mx A15 inst_AS_000_DMA mcell A12 mx A32 inst_RESET_OUT mcell G5 +mx A0 A0 pin 69 mx A17 SIZE_0_ pin 70 +mx A1 ... ... mx A18inst_LDS_000_INT mcell A5 +mx A2CLK_000_N_SYNC_0_ mcell E9 mx A19inst_UDS_000_INT mcell A9 +mx A3inst_nEXP_SPACE_D0reg mcell A8 mx A20 CLK_030 pin 64 +mx A4 CYCLE_DMA_1_ mcell C12 mx A21 RST pin 86 +mx A5 nEXP_SPACE pin 14 mx A22 inst_CLK_030_H mcell A2 +mx A6 RW_000 pin 80 mx A23 RN_BGACK_030 mcell H4 +mx A7CLK_000_N_SYNC_7_ mcell F3 mx A24 LDS_000 pin 31 +mx A8 UDS_000 pin 32 mx A25 inst_DS_000_DMA mcell A13 +mx A9 inst_AS_000_DMA mcell A1 mx A26 ... ... +mx A10 SM_AMIGA_6_ mcell F4 mx A27 SIZE_1_ pin 79 +mx A11CLK_000_P_SYNC_5_ mcell G14 mx A28 CYCLE_DMA_0_ mcell B5 +mx A12 inst_RESET_OUT mcell D9 mx A29 ... ... +mx A13 ... ... mx A30 ... ... +mx A14CLK_000_N_SYNC_8_ mcell A10 mx A31 ... ... +mx A15inst_CLK_OUT_PRE_50 mcell F13 mx A32 ... ... mx A16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -945,23 +939,23 @@ BLOCK_B_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx B0 RST pin 86 mx B17 RN_IPL_030_0_ mcell B8 -mx B1 BERR pin 41 mx B18 ... ... -mx B2CLK_000_P_SYNC_4_ mcell E13 mx B19 inst_AS_030_D0 mcell H13 -mx B3 A1 pin 60 mx B20 RN_BGACK_030 mcell H4 +mx B0 IPL_0_ pin 67 mx B17 ... ... +mx B1 RN_IPL_030_1_ mcell B12 mx B18 ... ... +mx B2 IPL_D0_1_ mcell D15 mx B19inst_BGACK_030_INT_D mcell H13 +mx B3 CLK_000 pin 11 mx B20CLK_000_N_SYNC_1_ mcell A14 mx B4 IPL_2_ pin 68 mx B21 IPL_1_ pin 56 -mx B5CLK_000_P_SYNC_0_ mcell B3 mx B22 inst_RESET_OUT mcell G5 -mx B6inst_AMIGA_BUS_ENABLE_DMA_LOW mcell B9 mx B23 ... ... -mx B7 IPL_D0_1_ mcell B11 mx B24 IPL_D0_2_ mcell B7 -mx B8inst_BGACK_030_INT_D mcell E8 mx B25 inst_CLK_000_D0 mcell D9 -mx B9 ... ... mx B26 RN_IPL_030_1_ mcell B12 -mx B10 inst_AS_000_INT mcell B13 mx B27 IPL_D0_0_ mcell B15 -mx B11CLK_000_P_SYNC_6_ mcell F6 mx B28inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell B5 -mx B12CLK_000_N_SYNC_7_ mcell G7 mx B29 inst_CLK_000_D1 mcell C8 -mx B13 ... ... mx B30 RN_IPL_030_2_ mcell B4 -mx B14 SM_AMIGA_5_ mcell F4 mx B31 ... ... -mx B15inst_CLK_OUT_EXP_INT mcell E2 mx B32 ... ... -mx B16 IPL_0_ pin 67 +mx B5 ... ... mx B22 inst_CLK_000_PE mcell G5 +mx B6CLK_000_P_SYNC_6_ mcell A3 mx B23 RN_BGACK_030 mcell H4 +mx B7 IPL_D0_2_ mcell B11 mx B24 RST pin 86 +mx B8 RN_IPL_030_0_ mcell B8 mx B25 inst_RESET_OUT mcell D9 +mx B9inst_CLK_OUT_PRE_D mcell A12 mx B26 ... ... +mx B10inst_AMIGA_BUS_ENABLE_DMA_LOW mcell B2 mx B27 RN_IPL_030_2_ mcell B4 +mx B11 A1 pin 60 mx B28inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell B13 +mx B12CLK_000_N_SYNC_3_ mcell B10 mx B29CLK_000_N_SYNC_2_ mcell B14 +mx B13 IPL_D0_0_ mcell G11 mx B30 ... ... +mx B14 DTACK pin 30 mx B31 CYCLE_DMA_0_ mcell B5 +mx B15CLK_000_P_SYNC_0_ mcell E2 mx B32 ... ... +mx B16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -969,23 +963,23 @@ BLOCK_C_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx C0 RN_BGACK_030 mcell H4 mx C17 SM_AMIGA_2_ mcell F12 -mx C1 BERR pin 41 mx C18 SM_AMIGA_4_ mcell F9 -mx C2 SM_AMIGA_i_7_ mcell F8 mx C19 inst_AS_030_D0 mcell H13 -mx C3 SM_AMIGA_1_ mcell A8 mx C20 VPA pin 36 -mx C4inst_DS_000_ENABLE mcell C12 mx C21 RST pin 86 -mx C5 inst_CLK_000_PE mcell F0 mx C22 ... ... -mx C6 A_19_ pin 97 mx C23 ... ... -mx C7inst_AS_030_000_SYNC mcell C5 mx C24 FC_0_ pin 57 -mx C8 A_17_ pin 59 mx C25 inst_CLK_000_D0 mcell D9 -mx C9 SM_AMIGA_3_ mcell F5 mx C26 A_16_ pin 96 -mx C10 SM_AMIGA_5_ mcell F4 mx C27 ... ... -mx C11 RW pin 71 mx C28 ... ... -mx C12 FC_1_ pin 58 mx C29 inst_CLK_000_D1 mcell C8 -mx C13inst_nEXP_SPACE_D0reg mcell H5 mx C30inst_BGACK_030_INT_D mcell E8 -mx C14 SM_AMIGA_6_ mcell C4 mx C31 A_18_ pin 95 -mx C15 SM_AMIGA_0_ mcell F1 mx C32 ... ... -mx C16inst_AMIGA_BUS_ENABLE_DMA_LOW mcell B9 +mx C0 RN_BGACK_030 mcell H4 mx C17 FC_0_ pin 57 +mx C1 inst_AS_000_INT mcell C1 mx C18 SM_AMIGA_4_ mcell F9 +mx C2 SM_AMIGA_5_ mcell F8 mx C19inst_BGACK_030_INT_D mcell H13 +mx C3inst_nEXP_SPACE_D0reg mcell A8 mx C20 FC_1_ pin 58 +mx C4 A_18_ pin 95 mx C21 RST pin 86 +mx C5 SM_AMIGA_i_7_ mcell F0 mx C22 inst_CLK_000_PE mcell G5 +mx C6 A_16_ pin 96 mx C23 ... ... +mx C7inst_DS_000_ENABLE mcell C8 mx C24 ... ... +mx C8 RW pin 71 mx C25 BERR pin 41 +mx C9 ... ... mx C26 ... ... +mx C10inst_AMIGA_BUS_ENABLE_DMA_LOW mcell B2 mx C27 ... ... +mx C11 inst_AS_030_D0 mcell H3 mx C28 CYCLE_DMA_0_ mcell B5 +mx C12 A_19_ pin 97 mx C29 SM_AMIGA_6_ mcell F4 +mx C13 A_17_ pin 59 mx C30 SM_AMIGA_0_ mcell F1 +mx C14inst_AS_030_000_SYNC mcell C4 mx C31 ... ... +mx C15 CYCLE_DMA_1_ mcell C12 mx C32 ... ... +mx C16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -993,23 +987,23 @@ BLOCK_D_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx D0 RST pin 86 mx D17 RN_BG_000 mcell D1 -mx D1 inst_VPA_D mcell C1 mx D18inst_UDS_000_INT mcell A5 -mx D2CLK_000_N_SYNC_3_ mcell D15 mx D19 inst_AS_030_D0 mcell H13 -mx D3 cpu_est_3_ mcell D2 mx D20 cpu_est_0_ mcell D10 -mx D4 BG_030 pin 21 mx D21 cpu_est_2_ mcell D13 -mx D5inst_CLK_OUT_PRE_25 mcell G6 mx D22 inst_RESET_OUT mcell G5 -mx D6CLK_000_N_SYNC_2_ mcell F13 mx D23 RN_BGACK_030 mcell H4 -mx D7 inst_CLK_000_D0 mcell D9 mx D24inst_CLK_000_NE_D0 mcell D14 -mx D8 ... ... mx D25 inst_CLK_000_PE mcell F0 -mx D9inst_LDS_000_INT mcell A1 mx D26 RN_VMA mcell D0 -mx D10CLK_000_N_SYNC_8_ mcell B2 mx D27 ... ... -mx D11 ... ... mx D28inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell B5 -mx D12 inst_CLK_000_NE mcell G9 mx D29 ... ... -mx D13inst_nEXP_SPACE_D0reg mcell H5 mx D30 ... ... -mx D14 CLK_000 pin 11 mx D31 ... ... -mx D15inst_DS_000_ENABLE mcell C12 mx D32 SM_AMIGA_i_7_ mcell F8 -mx D16 cpu_est_1_ mcell D6 +mx D0 RN_BGACK_030 mcell H4 mx D17 RST_DLY_1_ mcell D14 +mx D1 cpu_est_3_ mcell F12 mx D18 inst_AS_030_D0 mcell H3 +mx D2 RN_BG_000 mcell D1 mx D19inst_UDS_000_INT mcell A9 +mx D3 cpu_est_1_ mcell D2 mx D20inst_CLK_000_NE_D0 mcell D10 +mx D4 BG_030 pin 21 mx D21 RST pin 86 +mx D5 SM_AMIGA_i_7_ mcell F0 mx D22 inst_CLK_000_PE mcell G5 +mx D6 inst_CLK_000_D0 mcell B9 mx D23 inst_CLK_000_NE mcell G2 +mx D7inst_DS_000_ENABLE mcell C8 mx D24 ... ... +mx D8 RST_DLY_2_ mcell D7 mx D25 ... ... +mx D9 RST_DLY_0_ mcell D3 mx D26 RN_VMA mcell D0 +mx D10inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell B13 mx D27 ... ... +mx D11CLK_000_N_SYNC_4_ mcell B6 mx D28inst_LDS_000_INT mcell A5 +mx D12 inst_RESET_OUT mcell D9 mx D29 cpu_est_2_ mcell D13 +mx D13 ... ... mx D30inst_nEXP_SPACE_D0reg mcell A8 +mx D14 ... ... mx D31 IPL_1_ pin 56 +mx D15 inst_VPA_D mcell F2 mx D32 ... ... +mx D16 cpu_est_0_ mcell D6 ---------------------------------------------------------------------------- @@ -1017,23 +1011,23 @@ BLOCK_E_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx E0 RST pin 86 mx E17 A_26_ pin 17 -mx E1 FC_1_ pin 58 mx E18 BGACK_000 pin 28 -mx E2 CIIN_0 mcell E9 mx E19CLK_000_P_SYNC_3_ mcell F10 -mx E3 A_27_ pin 16 mx E20 A_22_ pin 84 -mx E4inst_nEXP_SPACE_D0reg mcell H5 mx E21 RW_000 pin 80 -mx E5 A_24_ pin 19 mx E22 inst_RESET_OUT mcell G5 -mx E6 A_19_ pin 97 mx E23 RN_BGACK_030 mcell H4 +mx E0 RN_BGACK_030 mcell H4 mx E17 A_26_ pin 17 +mx E1 A_31_ pin 4 mx E18 A_23_ pin 85 +mx E2 AS_000 pin 42 mx E19 A_30_ pin 5 +mx E3 A_25_ pin 18 mx E20 FC_1_ pin 58 +mx E4 BGACK_000 pin 28 mx E21 A_27_ pin 16 +mx E5 A_24_ pin 19 mx E22 inst_AS_000_INT mcell C1 +mx E6 inst_CLK_000_D0 mcell B9 mx E23 ... ... mx E7 A_28_ pin 15 mx E24 FC_0_ pin 57 -mx E8 A_23_ pin 85 mx E25 A_31_ pin 4 -mx E9 A_30_ pin 5 mx E26 A_16_ pin 96 -mx E10 inst_AS_000_INT mcell B13 mx E27 A_17_ pin 59 -mx E11 FPU_SENSE pin 91 mx E28 inst_AS_030_D0 mcell H13 -mx E12 A_25_ pin 18 mx E29 A_20_ pin 93 -mx E13 A_29_ pin 6 mx E30 ... ... -mx E14inst_CLK_OUT_PRE_50 mcell E5 mx E31 A_18_ pin 95 +mx E8CLK_000_P_SYNC_2_ mcell G7 mx E25 inst_RESET_OUT mcell D9 +mx E9 A_22_ pin 84 mx E26 A_16_ pin 96 +mx E10 inst_AS_030_D0 mcell H3 mx E27 A_17_ pin 59 +mx E11 FPU_SENSE pin 91 mx E28 RW_000 pin 80 +mx E12 A_19_ pin 97 mx E29 A_20_ pin 93 +mx E13 A_29_ pin 6 mx E30inst_nEXP_SPACE_D0reg mcell A8 +mx E14 CIIN_0 mcell E5 mx E31 A_18_ pin 95 mx E15 A_21_ pin 94 mx E32 AS_030 pin 82 -mx E16 AS_000 pin 42 +mx E16 inst_CLK_000_D1 mcell E8 ---------------------------------------------------------------------------- @@ -1041,23 +1035,23 @@ BLOCK_F_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx F0 RST pin 86 mx F17inst_CLK_000_NE_D0 mcell D14 -mx F1 SM_AMIGA_2_ mcell F12 mx F18CLK_000_P_SYNC_8_ mcell G15 -mx F2 cpu_est_0_ mcell D10 mx F19 ... ... -mx F3 SM_AMIGA_1_ mcell A8 mx F20CLK_000_P_SYNC_1_ mcell B14 -mx F4 cpu_est_1_ mcell D6 mx F21 cpu_est_2_ mcell D13 -mx F5 inst_CLK_000_PE mcell F0 mx F22 inst_VPA_D mcell C1 -mx F6 inst_DTACK_D0 mcell A3 mx F23 ... ... -mx F7CLK_000_P_SYNC_9_ mcell F3 mx F24 ... ... -mx F8CLK_000_P_SYNC_2_ mcell F14 mx F25 BERR pin 41 -mx F9CLK_000_N_SYNC_0_ mcell G11 mx F26 RN_VMA mcell D0 -mx F10 inst_CLK_000_NE mcell G9 mx F27 SM_AMIGA_3_ mcell F5 -mx F11 ... ... mx F28 ... ... -mx F12CLK_000_P_SYNC_5_ mcell B10 mx F29 SM_AMIGA_6_ mcell C4 -mx F13 N_210_i mcell C9 mx F30 SM_AMIGA_0_ mcell F1 -mx F14 SM_AMIGA_5_ mcell F4 mx F31 ... ... -mx F15CLK_000_N_SYNC_1_ mcell F2 mx F32 SM_AMIGA_4_ mcell F9 -mx F16 cpu_est_3_ mcell D2 +mx F0 RST pin 86 mx F17 cpu_est_3_ mcell F12 +mx F1 BERR pin 41 mx F18 SM_AMIGA_4_ mcell F9 +mx F2 SM_AMIGA_2_ mcell F10 mx F19 inst_DTACK_D0 mcell B15 +mx F3inst_nEXP_SPACE_D0reg mcell A8 mx F20inst_CLK_000_NE_D0 mcell D10 +mx F4 inst_CLK_000_NE mcell G2 mx F21 cpu_est_2_ mcell D13 +mx F5CLK_000_N_SYNC_6_ mcell G6 mx F22 inst_CLK_000_PE mcell G5 +mx F6inst_CLK_OUT_PRE_50 mcell F13 mx F23 ... ... +mx F7 ... ... mx F24 N_317_i mcell F14 +mx F8 inst_CLK_000_D1 mcell E8 mx F25 SM_AMIGA_i_7_ mcell F0 +mx F9 inst_VPA_D mcell F2 mx F26 RN_VMA mcell D0 +mx F10 SM_AMIGA_6_ mcell F4 mx F27 ... ... +mx F11 SM_AMIGA_3_ mcell F6 mx F28 ... ... +mx F12 ... ... mx F29inst_AS_030_000_SYNC mcell C4 +mx F13 VPA pin 36 mx F30 cpu_est_0_ mcell D6 +mx F14 SM_AMIGA_1_ mcell F5 mx F31 inst_CLK_000_D0 mcell B9 +mx F15 SM_AMIGA_0_ mcell F1 mx F32 SM_AMIGA_5_ mcell F8 +mx F16 cpu_est_1_ mcell D2 ---------------------------------------------------------------------------- @@ -1065,23 +1059,23 @@ BLOCK_G_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx G0 LDS_000 pin 31 mx G17 RN_RW mcell G0 -mx G1 cpu_est_2_ mcell D13 mx G18 ... ... -mx G2CLK_000_P_SYNC_7_ mcell B6 mx G19 ... ... -mx G3inst_CLK_OUT_PRE_50 mcell E5 mx G20 RN_BGACK_030 mcell H4 -mx G4inst_nEXP_SPACE_D0reg mcell H5 mx G21 RST pin 86 -mx G5inst_CLK_OUT_PRE_25 mcell G6 mx G22 RST_DLY_1_ mcell G10 -mx G6 RW_000 pin 80 mx G23 SIZE_DMA_0_ mcell G2 -mx G7CLK_000_N_SYNC_11_ mcell H6 mx G24 RST_DLY_2_ mcell G3 -mx G8 UDS_000 pin 32 mx G25 inst_CLK_000_D0 mcell D9 -mx G9inst_CLK_OUT_PRE_D mcell D3 mx G26 ... ... -mx G10 RST_DLY_0_ mcell G14 mx G27 inst_CLK_000_NE mcell G9 -mx G11 ... ... mx G28 cpu_est_3_ mcell D2 -mx G12 SIZE_DMA_1_ mcell G13 mx G29 inst_CLK_000_D1 mcell C8 -mx G13 RN_A0 mcell G8 mx G30 cpu_est_1_ mcell D6 -mx G14CLK_000_N_SYNC_6_ mcell A10 mx G31 ... ... -mx G15 ... ... mx G32 inst_RESET_OUT mcell G5 -mx G16inst_BGACK_030_INT_D mcell E8 +mx G0 IPL_0_ pin 67 mx G17 RN_RW mcell G0 +mx G1CLK_000_P_SYNC_1_ mcell B7 mx G18inst_nEXP_SPACE_D0reg mcell A8 +mx G2CLK_000_P_SYNC_9_ mcell G15 mx G19CLK_000_P_SYNC_7_ mcell B3 +mx G3CLK_000_N_SYNC_5_ mcell D11 mx G20 RN_BGACK_030 mcell H4 +mx G4 ... ... mx G21 RST pin 86 +mx G5CLK_000_P_SYNC_4_ mcell G3 mx G22CLK_000_P_SYNC_8_ mcell G10 +mx G6 RW_000 pin 80 mx G23 ... ... +mx G7CLK_000_N_SYNC_11_ mcell H6 mx G24 LDS_000 pin 31 +mx G8 UDS_000 pin 32 mx G25 inst_RESET_OUT mcell D9 +mx G9 SIZE_DMA_0_ mcell G13 mx G26 ... ... +mx G10 RN_A0 mcell G8 mx G27 ... ... +mx G11 ... ... mx G28inst_BGACK_030_INT_D mcell H13 +mx G12 SIZE_DMA_1_ mcell G9 mx G29 cpu_est_2_ mcell D13 +mx G13CLK_000_P_SYNC_3_ mcell E13 mx G30 ... ... +mx G14 ... ... mx G31 cpu_est_3_ mcell F12 +mx G15inst_CLK_OUT_PRE_D mcell A12 mx G32 ... ... +mx G16 cpu_est_1_ mcell D2 ---------------------------------------------------------------------------- @@ -1089,22 +1083,22 @@ BLOCK_H_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx H0 RST pin 86 mx H17 FC_0_ pin 57 -mx H1 BERR pin 41 mx H18 SM_AMIGA_1_ mcell A8 -mx H2 SM_AMIGA_i_7_ mcell F8 mx H19 FPU_SENSE pin 91 -mx H3 inst_RESET_OUT mcell G5 mx H20 RN_BGACK_030 mcell H4 -mx H4 A_18_ pin 95 mx H21 nEXP_SPACE pin 14 -mx H5 RN_DSACK1 mcell H9 mx H22inst_CLK_OUT_PRE_D mcell D3 -mx H6 A_19_ pin 97 mx H23 SIZE_DMA_0_ mcell G2 -mx H7 inst_AS_030_D0 mcell H13 mx H24 ... ... -mx H8CLK_000_N_SYNC_9_ mcell D7 mx H25 SIZE_DMA_1_ mcell G13 -mx H9 AS_030 pin 82 mx H26 A_16_ pin 96 -mx H10 SM_AMIGA_0_ mcell F1 mx H27 A_17_ pin 59 -mx H11 RW pin 71 mx H28 CLK_030 pin 64 -mx H12 FC_1_ pin 58 mx H29 SM_AMIGA_5_ mcell F4 -mx H13inst_nEXP_SPACE_D0reg mcell H5 mx H30 RN_RW_000 mcell H0 -mx H14CLK_000_N_SYNC_10_ mcell H2 mx H31 inst_CLK_000_PE mcell F0 -mx H15 inst_AS_000_DMA mcell A12 mx H32 BGACK_000 pin 28 +mx H0 RN_BGACK_030 mcell H4 mx H17 A_18_ pin 95 +mx H1 BERR pin 41 mx H18 inst_AS_030_D0 mcell H3 +mx H2 SM_AMIGA_5_ mcell F8 mx H19 FPU_SENSE pin 91 +mx H3inst_nEXP_SPACE_D0reg mcell A8 mx H20 CLK_030 pin 64 +mx H4 BGACK_000 pin 28 mx H21 RST pin 86 +mx H5 SM_AMIGA_i_7_ mcell F0 mx H22 inst_CLK_000_PE mcell G5 +mx H6 FC_0_ pin 57 mx H23 RN_RW_000 mcell H0 +mx H7 inst_RESET_OUT mcell D9 mx H24inst_CLK_OUT_PRE_D mcell A12 +mx H8 RW pin 71 mx H25 SIZE_DMA_0_ mcell G13 +mx H9 inst_AS_000_DMA mcell A1 mx H26 A_16_ pin 96 +mx H10 SIZE_DMA_1_ mcell G9 mx H27 A_19_ pin 97 +mx H11 RN_DSACK1 mcell H9 mx H28CLK_000_N_SYNC_10_ mcell H2 +mx H12 FC_1_ pin 58 mx H29 ... ... +mx H13 A_17_ pin 59 mx H30 SM_AMIGA_0_ mcell F1 +mx H14 SM_AMIGA_1_ mcell F5 mx H31 ... ... +mx H15CLK_000_N_SYNC_9_ mcell A6 mx H32 AS_030 pin 82 mx H16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -1134,16 +1128,17 @@ PostFit_Equations 1 2 1 Pin LDS_000.OE 0 0 1 Pin BERR 1 9 1 Pin BERR.OE - 1 1 1 Pin CLK_EXP + 1 1 1 Pin CLK_DIV_OUT.D + 1 1 1 Pin CLK_DIV_OUT.C 1 9 1 Pin FPU_CS- 1 0 1 Pin AVEC 2 3 1 Pin E 0 0 1 Pin RESET 1 1 1 Pin RESET.OE 0 0 1 Pin AMIGA_ADDR_ENABLE - 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin SIZE_0_ 1 2 1 Pin SIZE_0_.OE + 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH 1 13 1 Pin CIIN @@ -1164,8 +1159,8 @@ PostFit_Equations 1 1 1 Pin BG_000.C 3 5 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.C - 1 1 1 Pin CLK_DIV_OUT.D - 1 1 1 Pin CLK_DIV_OUT.C + 1 1 1 Pin CLK_EXP.D + 1 1 1 Pin CLK_EXP.C 1 1 1 Pin DSACK1.OE 4 9 1 Pin DSACK1.D- 1 1 1 Pin DSACK1.C @@ -1174,14 +1169,15 @@ PostFit_Equations 1 2 1 Pin RW.OE 2 5 1 Pin RW.D- 1 1 1 Pin RW.C - 2 2 1 Node cpu_est_0_.D - 1 1 1 Node cpu_est_0_.C - 3 4 1 Node cpu_est_1_.D - 1 1 1 Node cpu_est_1_.C + 4 11 1 Node N_317_i- 4 4 1 Node cpu_est_2_.D 1 1 1 Node cpu_est_2_.C 3 5 1 Node cpu_est_3_.D 1 1 1 Node cpu_est_3_.C + 2 2 1 Node cpu_est_0_.D + 1 1 1 Node cpu_est_0_.C + 3 4 1 Node cpu_est_1_.D + 1 1 1 Node cpu_est_1_.C 2 5 1 Node inst_AS_000_INT.D- 1 1 1 Node inst_AS_000_INT.C 3 6 1 Node SM_AMIGA_5_.D @@ -1222,17 +1218,12 @@ PostFit_Equations 1 1 1 Node inst_RESET_OUT.C 1 1 1 Node inst_CLK_OUT_PRE_50.D 1 1 1 Node inst_CLK_OUT_PRE_50.C - 4 11 1 Node N_210_i- - 2 2 1 Node inst_CLK_OUT_PRE_25.D - 1 1 1 Node inst_CLK_OUT_PRE_25.C 1 1 1 Node inst_CLK_000_D1.D 1 1 1 Node inst_CLK_000_D1.C 1 1 1 Node inst_CLK_000_D0.D 1 1 1 Node inst_CLK_000_D0.C 1 1 1 Node inst_CLK_000_PE.D 1 1 1 Node inst_CLK_000_PE.C - 1 1 1 Node inst_CLK_OUT_EXP_INT.D - 1 1 1 Node inst_CLK_OUT_EXP_INT.C 1 1 1 Node CLK_000_P_SYNC_9_.D 1 1 1 Node CLK_000_P_SYNC_9_.C 1 1 1 Node inst_CLK_000_NE.D @@ -1315,9 +1306,9 @@ PostFit_Equations 1 1 1 Node SM_AMIGA_i_7_.C 2 14 1 Node CIIN_0 ========= - 327 P-Term Total: 327 + 323 P-Term Total: 323 Total Pins: 61 - Total Nodes: 71 + Total Nodes: 69 Average P-Term/Output: 2 @@ -1351,14 +1342,16 @@ BERR = (0); BERR.OE = (FC_1_ & BGACK_000 & FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); -CLK_EXP = (!inst_CLK_OUT_EXP_INT.Q); +CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); + +CLK_DIV_OUT.C = (CLK_OSZI); !FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); AVEC = (1); -E = (!cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q - # cpu_est_1_.Q & cpu_est_2_.Q & !cpu_est_3_.Q); +E = (cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_1_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_1_.Q); RESET = (0); @@ -1366,13 +1359,13 @@ RESET.OE = (!inst_RESET_OUT.Q); AMIGA_ADDR_ENABLE = (0); -AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN - # !BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q & !AS_000.PIN & RW_000.PIN); - SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); +AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN + # !BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q & !AS_000.PIN & RW_000.PIN); + !AMIGA_BUS_ENABLE_LOW = (!BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q); AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q @@ -1448,9 +1441,9 @@ BGACK_030.D = (!RST BGACK_030.C = (CLK_OSZI); -CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); +CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); -CLK_DIV_OUT.C = (CLK_OSZI); +CLK_EXP.C = (CLK_OSZI); DSACK1.OE = (inst_nEXP_SPACE_D0reg.Q); @@ -1462,8 +1455,8 @@ DSACK1.OE = (inst_nEXP_SPACE_D0reg.Q); DSACK1.C = (CLK_OSZI); VMA.T = (!RST & !VMA.Q - # !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & inst_CLK_000_PE.Q - # RST & VMA.Q & cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q); + # !VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_PE.Q + # RST & VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q); VMA.C = (CLK_OSZI); @@ -1474,6 +1467,24 @@ RW.OE = (!BGACK_030.Q & inst_RESET_OUT.Q); RW.C = (CLK_OSZI); +!N_317_i = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); + +cpu_est_2_.D = (cpu_est_2_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & !cpu_est_1_.Q + # cpu_est_2_.Q & !inst_CLK_000_NE_D0.Q + # !cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); + +cpu_est_2_.C = (CLK_OSZI); + +cpu_est_3_.D = (cpu_est_3_.Q & !inst_CLK_000_NE_D0.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); + +cpu_est_3_.C = (CLK_OSZI); + cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); @@ -1481,23 +1492,10 @@ cpu_est_0_.C = (CLK_OSZI); cpu_est_1_.D = (!cpu_est_0_.Q & cpu_est_1_.Q # cpu_est_1_.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_3_.Q & inst_CLK_000_NE_D0.Q); + # !cpu_est_3_.Q & cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.D = (!cpu_est_0_.Q & cpu_est_2_.Q - # !cpu_est_1_.Q & cpu_est_2_.Q - # cpu_est_2_.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_2_.C = (CLK_OSZI); - -cpu_est_3_.D = (!cpu_est_0_.Q & cpu_est_3_.Q - # cpu_est_3_.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_3_.C = (CLK_OSZI); - !inst_AS_000_INT.D = (RST & SM_AMIGA_5_.Q # RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q & BERR.PIN); @@ -1596,7 +1594,7 @@ inst_LDS_000_INT.D = (!RST inst_LDS_000_INT.C = (CLK_OSZI); -inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE_25.Q); +inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE_50.Q); inst_CLK_OUT_PRE_D.C = (CLK_OSZI); @@ -1613,16 +1611,6 @@ inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q); inst_CLK_OUT_PRE_50.C = (CLK_OSZI); -!N_210_i = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); - -inst_CLK_OUT_PRE_25.D = (!inst_CLK_OUT_PRE_50.Q & inst_CLK_OUT_PRE_25.Q - # inst_CLK_OUT_PRE_50.Q & !inst_CLK_OUT_PRE_25.Q); - -inst_CLK_OUT_PRE_25.C = (CLK_OSZI); - inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); inst_CLK_000_D1.C = (CLK_OSZI); @@ -1635,10 +1623,6 @@ inst_CLK_000_PE.D = (CLK_000_P_SYNC_9_.Q); inst_CLK_000_PE.C = (CLK_OSZI); -inst_CLK_OUT_EXP_INT.D = (inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_EXP_INT.C = (CLK_OSZI); - CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); CLK_000_P_SYNC_9_.C = (CLK_OSZI); @@ -1816,31 +1800,31 @@ SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q # SM_AMIGA_3_.Q & !BERR.PIN # RST & inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q # inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q - # !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q); + # !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q); SM_AMIGA_3_.C = (CLK_OSZI); SM_AMIGA_2_.D = (RST & SM_AMIGA_3_.Q & SM_AMIGA_2_.Q # RST & !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & BERR.PIN # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & SM_AMIGA_3_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & SM_AMIGA_3_.Q); + # RST & !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & SM_AMIGA_3_.Q); SM_AMIGA_2_.C = (CLK_OSZI); -SM_AMIGA_i_7_.D = (RST & N_210_i & !inst_CLK_000_PE.Q & BERR.PIN - # RST & N_210_i & !SM_AMIGA_0_.Q & BERR.PIN - # RST & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_3_.Q - # RST & !SM_AMIGA_5_.Q & N_210_i & inst_CLK_000_PE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & N_210_i & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !SM_AMIGA_5_.Q & N_210_i & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_210_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & N_210_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q); +SM_AMIGA_i_7_.D = (RST & N_317_i & !inst_CLK_000_PE.Q & BERR.PIN + # RST & N_317_i & !SM_AMIGA_0_.Q & BERR.PIN + # RST & N_317_i & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_3_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q + # RST & N_317_i & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & N_317_i & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # RST & N_317_i & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q + # RST & N_317_i & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_2_.Q + # RST & !VMA.Q & N_317_i & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal index 9ce6739..a71d207 100644 --- a/Logic/68030_tk.tal +++ b/Logic/68030_tk.tal @@ -81,10 +81,10 @@ inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2 RN_VMA 1 1 0 0 .. .. 1 1 RW 1 1 0 0 .. .. 1 1 RN_RW 1 1 0 0 .. .. 1 1 - cpu_est_0_ .. .. .. .. .. .. 1 1 - cpu_est_1_ .. .. 1 1 .. .. 1 1 cpu_est_2_ .. .. 1 1 .. .. 1 1 cpu_est_3_ .. .. 1 1 .. .. 1 1 + cpu_est_0_ .. .. .. .. .. .. 1 1 + cpu_est_1_ .. .. 1 1 .. .. 1 1 inst_AMIGA_BUS_ENABLE_DMA_LOW 1 1 1 1 .. .. .. .. inst_BGACK_030_INT_D 1 1 .. .. .. .. 1 1 inst_VPA_D 1 1 .. .. .. .. 1 1 @@ -92,9 +92,7 @@ inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1 inst_DTACK_D0 1 1 .. .. .. .. 1 1 inst_RESET_OUT 1 1 .. .. .. .. .. .. inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1 -inst_CLK_OUT_PRE_25 .. .. .. .. .. .. 1 1 inst_CLK_000_PE .. .. .. .. .. .. 1 1 -inst_CLK_OUT_EXP_INT .. .. 1 1 .. .. .. .. CLK_000_P_SYNC_9_ .. .. .. .. .. .. 1 1 inst_CLK_000_NE .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_11_ .. .. .. .. .. .. 1 1 diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index eccd2bc..398672e 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,573 +1,566 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ MODULE 68030_tk -#$ PINS 61 SIZE_1_ A_31_ IPL_2_ FC_1_ IPL_1_ AS_030 IPL_0_ AS_000 FC_0_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_EXP FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ AMIGA_BUS_ENABLE_LOW A_30_ AMIGA_BUS_ENABLE_HIGH A_29_ CIIN A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 BGACK_030 CLK_DIV_OUT DSACK1 VMA RW -#$ NODES 70 cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_RESET_OUT inst_CLK_OUT_PRE_50 N_210_i inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE inst_CLK_OUT_EXP_INT CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ +#$ PINS 61 SIZE_1_ A_31_ IPL_2_ IPL_1_ FC_1_ IPL_0_ AS_030 FC_0_ AS_000 DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE SIZE_0_ AMIGA_BUS_DATA_DIR A_30_ AMIGA_BUS_ENABLE_LOW A_29_ AMIGA_BUS_ENABLE_HIGH A_28_ CIIN A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 BGACK_030 CLK_EXP DSACK1 VMA RW +#$ NODES 68 N_317_i cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_RESET_OUT inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ .type fr -.i 122 -.o 193 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q cpu_est_3_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q inst_CLK_OUT_PRE_50.Q N_210_i inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q inst_CLK_OUT_EXP_INT.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_4_.Q inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob DS_030 CLK_EXP FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_2_.C cpu_est_3_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_P_SYNC_0_.C inst_DS_000_ENABLE.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_CLK_OUT_PRE_25.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C A0.C inst_BGACK_030_INT_D.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C CLK_DIV_OUT.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_EXP_INT.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C inst_CLK_000_PE.C SIZE_1_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ N_210_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE BGACK_030.D CLK_DIV_OUT.D VMA.T cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D cpu_est_3_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_RESET_OUT.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D inst_CLK_OUT_EXP_INT.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_4_.D inst_DS_000_ENABLE.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D SM_AMIGA_6_.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 561 --------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1--------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~1111111~~11111~1~~~~~~~~~~111~~11~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 --------------------------1------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------01-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------01------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0--1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------001------------------------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------110------------------------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------10---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1--------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------00000001111----------------0-------------------------------------------------------------------------------- ~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1----------------------0010--1-----------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0----------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------01------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ --------------------------------------------10----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1--------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------11------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------00------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------1----1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------1-----1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------01----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------10----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-----------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0-------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1-0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------01------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------10------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------10----------------10------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00000------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0---------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1-------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------11100-------------0-------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------11------------------------------------111---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1---------------------------10------------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1---------------------------01------------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1---------------------------00------------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0---------------------------11------------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0---------------------------10------------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0---------------------------01------------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -----------------------------------0-----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------111---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------110---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------10-0--------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------1--------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------00001-------------0------1---1--1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------------------1---0--1---1--1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1---------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------1------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------0----------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------0-----------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------01-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------11------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------1------------0-------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------0------------------1------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1-----------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------1-----------1--------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0----------------------1---------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1-------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1-----0--------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1--------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------00001-0-----------0------1---1-------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0-----------1---0--1---1-------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------------------------------------1------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------00001-------------0------------------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------1-------------------------------------1---0--------------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------00001-------------0------------------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------------------1---0--------------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1--------------------------------------------1---1--1-----0---------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------1-----------1------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------------0------------------1---1--------0--------------------------------00---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------------------------------------0-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1-------------------------------------------------------------------------------------------11--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------1-------------------00001-------------0------1------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------------------1---0--1------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------00001-0-----------0------1-----------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0-----------1---0--1-----------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1--------------------------------------------1------1-----0--0---------------------------0--00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0------------------1------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------1---------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0----------------------1--------0------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0-------------------------------0--0---------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------------------------------------------------------------------0-------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------10----------------10---------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ------------------------------------------0------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------0-----------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------1------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------------------------------------------1-----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------0--------------------------------------1----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1--------------------------------------0----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0-------------------------------------------------------------------1---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1-------------------------------------------------------------------0---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1--------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------0------------------------------------1------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------1------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------------------------------------------------1-----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-------------------------------------------------------------------1----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-------------------------------------------------------------------0----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------0------------------------------------1-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------1------------------------------------0-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------0--------------------------------------1------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------1--------------------------------------0------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------------------------------------------------------1-----------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------------------------------------------------------------------1--------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1--------------0010--1--------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1-------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------------------------------------1--------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------01----------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------0--------------1--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------10-------------1--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------1--------------0--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------1-------------0--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------0---------0----------------------------------------------------------------------01------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------0------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------1-------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-------------------------------------1---------------------------------------------------------1----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1--------------------------------------------------------0----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1------------------0------------0-01----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------0-----1------------------0------------0-10----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------01------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------10------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ ---------------------------------0-----------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0------------0-01----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------0-----1------------------0------------0-10----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------01------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------10------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1--------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------1---0---------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1-------------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------1------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------1------------0------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------0-----------1---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0---------------------------------1--------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1---------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1------------------------------------------------0-------------------------------------------1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------1------------------------------------------------------------------------------------------1-1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------0-------------------------------------------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------00---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------1---------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1------------------------------------------------1--------0------------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0--0---------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------------- ~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~00~~~~~~~0~~~~~~~~~~~~~0~~00000~~~~~~~~~~~~~~~~~~~~~~~000~00~~~~ ---------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0---------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0-------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~00~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0-1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------10-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------00-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------01------------------------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------00------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-1------------------------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0--0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00------------------------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0--------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-----------------------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1---------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-----------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------10------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-------0---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------11------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------00------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1----------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------1-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1---------------------------11------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------------------------------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1--------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------11-------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-----------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0----------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------1---------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1---------------10------------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1---------------01------------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1---------------00------------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1---------------11------------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1---------------10------------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1---------------01------------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1---------------00------------------------------------000---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 -----------------------------------1-----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------11----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------10----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------111---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-0---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1--------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------0-----0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------0------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------1---------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1--------------------0-----------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0--------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------0----------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0---------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-----------10---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0-------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0-----------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0---------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----------1-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------00------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------1-------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------1--------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-----------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------1----------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------1--------------------------------------0-------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------0------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0-----------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------------------------1----------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------1------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------------1---------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------0-----1-----------------------------------------------------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------1------------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------1-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------------------0--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------1------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------------0------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------------------0------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------1-----------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------1----------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------1---------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------1--------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------------0-------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------1---1--------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------1----------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----------------------------------------------------------------------0-----------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------------------------------------------------------0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------0--0----------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---1---------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------0----------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------1---------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------------------------------------------------------------------1-------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1--------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------1-------------------------0----------------------0------------------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1-------------------------0-------------------------------0---------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1----------------------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1----------------0------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------------------------------------------------0-----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0--------------------------------------1----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------1--------------------------------------0----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1-------------------------------------------------------1---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1-------------------------------------------------------0---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0--------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------0------------------------------------1------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------1------------------------------------0------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1------------------------------------------------------0-----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-------------------------------------------------------1----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1-------------------------------------------------------0----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------0------------------------------------1-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------1------------------------------------0-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------0--------------------------------------1------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------1--------------------------------------0------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-------------------------------------------------------0-----------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0--------------0010--1--------------------------------------------------------------------------------0--------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------1------------------0--------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------------------------0-------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------1------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1-----------------------------------------------------------------------1------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------11------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------0------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1------------------0--------------01----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-01----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------001----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0--------------10----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-10----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------010----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-01------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-10------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------001------------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------010------------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------01----------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------10----------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-----------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------1-----1------------------0--------------01----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-01----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------001----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0--------------10----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-10----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------010----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-01------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-10------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------001------------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------010------------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------01----------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------10----------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1---------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0-0----------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0-------------------------------0----------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1-----------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1--------------------1----------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1---------------------1---------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1----------------------1--------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1-----------------------0-------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1-------------------------------------1---1------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1--------------------------------------------------------0---0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1------------------------------------------------------------1------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---0----------1------------------1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------1------1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------1-----1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------0----1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1---1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------01--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------0------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------1------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------0-----------1---------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------------0--------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------1-----------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------1----------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------1---------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------1--------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------0-------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------1---1-------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------------------------0----------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------------------------------------------0--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------------0-------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------------------------------------------------0------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1---------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------1-1-------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-----------------------------------0---------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.i 120 +.o 190 +.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q N_317_i cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_4_.Q inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN +.ob DS_030 FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C RST_DLY_0_.C inst_AS_000_DMA.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C A0.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C CLK_EXP.C inst_CLK_000_D0.C inst_CLK_000_PE.C inst_CLK_000_NE.C SIZE_1_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ N_317_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_2_.D cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_RESET_OUT.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_4_.D inst_DS_000_ENABLE.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D SM_AMIGA_6_.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 554 +------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0--------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------0----------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~1111111~~11111~1~~~~~~~~111~~11~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1~111 +-------------------------1---------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----------------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------0-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------010---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------10-1--------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------01--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------01-0--------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------------------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-------------00000001111-----------------0----------------------------------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0----------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1----------------------0010--1------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-----------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------------------10-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0--------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1------------------------------------------------------------------------ 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1----1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1-----1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------01-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------10-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-------------1-------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0----------0-------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------01----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------10--------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0-0000----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1----------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1-0011-------------0----------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1---------------------------11----------------------------------111---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1---------------------------10----------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1---------------------------01----------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1---------------------------00----------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0---------------------------11----------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0---------------------------10----------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0---------------------------01----------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------0------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------010-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------1-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------1-------------------010100-------------0--------1-1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----------------1---0----1-1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------1-------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------1------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1-------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------0----------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------0-----------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------01-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------11------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------1------------0----------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0---------------1------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------1-----------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +--------------------------------1-----------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------1------------1-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0--------------------1--------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1-----0--------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-----------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------010100-0-----------0--------1------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----0-----------1---0----1------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-----------------------------------------------------------------------1------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1-------------------0-0100-------------0---------------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1--------------------------------------1---0-----------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------0-0100-------------0---------------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----------------------------------------------------1---0-----------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1--------------------1--------------------------1-1-----0---------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1-----------------------------------------------1----------1------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1--------------------1-----0--------------------1-------0--------------------------------00---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------1----------------------------------------0-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-----------------------------------------------------------------------------------------11--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-------------------010100-------------0----------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----------------1---0------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1-------------------010100-0-----------0---------------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----0-----------1---0-----------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1----------------------------1-----0--0---------------------------0--00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----0----------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------1------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0--------------------1-------0------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0----------------------------0--0---------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------0-------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------1-----------------------------10--------------10--------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +------------------------------------------0---------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------------------0--------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------------------------------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------------------------------1----------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------1-----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------0------------------------------------1----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------0----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-----------------------------------------------------------------1---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-----------------------------------------------------------------0---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------0----------------------------------1------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------1----------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------------------------------1-----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------------------------------------------------1----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------0----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------0----------------------------------1-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------1----------------------------------0-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------0------------------------------------1------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------1------------------------------------0------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------------------------1-----------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------------------------------------------------------------------------------------------1--------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---1--------------0010--1------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------1-------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1------------------------------------------------------1-------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------0------------1-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-----------1-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------1------------0-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------1-----------0-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------0----------0-------------------------------------------------------------------01------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------0------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0---------------------------------------1----------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------1------------------------------------------------------1----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1-----------------------------------------------------0----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0--------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1--------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1--------------------------0--------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------------1----------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------0-------1------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1----------------------------------0------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1-----------------------------------------------0----------1---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------0------------------------------1--------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1-----------------------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------0--------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1-------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-----------------------------------------------0------------------------------------------1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1----------------------------------------------------------------------------------------1-1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +----------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0----------------------------------------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------00---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------0---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----------------------------------------1------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------1-----------------------------------------------1-------0------------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------0--0---------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------------------------ ~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1----------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0-----------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0-1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------01---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~00~~~~~~~0~~~~~~~~~~~0~~00000~~~~~~~~~~~~~~~~~~~~~~~000~00~~~~~ +--------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1--------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0-------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~00~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------1------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------1------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------1---------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------11----------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------00----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------0---1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--1--------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------01--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1----0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-0--------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------0------------------------------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1----------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1---------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1--------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1-------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1-----------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1----------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0---------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0--------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0-------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------10---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1--------0---1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------------11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1-----------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +---------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1----------------------------11----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11-----------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------0---------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0-----------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1-----------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------1---------------10----------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1---------------01----------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1---------------00----------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0-----------1---------------11----------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0-----------1---------------10----------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0-----------1---------------01----------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0-----------1---------------00----------------------------------000---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +-------------------------------------1------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-1------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-0------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------10-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-----------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------------0-----0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------0------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------0----------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0--------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0-------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----------10---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0----------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-----------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0-----------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1----------1-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1----------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------00------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1----------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1------------------1------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------1---------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0--------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +--------------------------------------------1-------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------1---------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------0---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0----------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------------------------------------------------------------1---------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------------------------------1------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1---------------------------------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------------1------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------0-----1---------------------------------------------------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------1---------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------------------------1-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------------------------------0--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------------------------------------------1-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------------------------------------0------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------1------------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------1----------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------0---------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------1--------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------1-------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------------------1---1-----------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------------------------1----------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------------------------------0-----------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------------------------------------------------0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-------------------------------------------------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------0--0-------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0---1------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------------------0---------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-------------------1--------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------1-------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1-------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------1--------------------------0--------------------0-----------------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------1--------------------------0----------------------------0---------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------------------------------------------1--------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1--------------------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------1----------------0----------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------------------------------------------0-----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------1----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------1------------------------------------0----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0-----------1-----------------------------------------------------1---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1-----------------------------------------------------0---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------0----------------------------------1------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------1----------------------------------0------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------------------------------------------0-----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------1----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1-----------------------------------------------------0----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------0----------------------------------1-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------1----------------------------------0-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------0------------------------------------1------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------1------------------------------------0------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------------------------------------------------0-----------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +--------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---0--------------0010--1------------------------------------------------------------------------------0--------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------1------------------0------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------------------------------------------------------------0-------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------1------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1--------------------------------------------------------------------1------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------11------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------0------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001---------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010---------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001---------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010---------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1---------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--0---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------0-0-------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------0----------------------------0----------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1------------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1---------------------1----------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1----------------------0---------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1-----------------------1--------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1------------------------1-------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1--------------------------------------1---1---------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1------------------------------------------------------0---0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1----------------------------------------------------------1------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--0----------1------------------1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------1------1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------1-----1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------0----1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1---1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------01---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------------0------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------------------1------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------------------------0----------1---------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0--------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------------------------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------------------------0--------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------1------------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------1----------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------0---------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------1--------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------------1-------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------1---1----------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------------------------------------------0----------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------------------------------------------------------------------0--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------------0------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------------------------------------------------------1------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------0------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------1-1----------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1--------------------------------0---------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-----------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index f2e0233..b9d2e3c 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,573 +1,566 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ MODULE 68030_tk -#$ PINS 61 SIZE_1_ A_31_ IPL_2_ FC_1_ IPL_1_ AS_030 IPL_0_ AS_000 FC_0_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_EXP FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ AMIGA_BUS_ENABLE_LOW A_30_ AMIGA_BUS_ENABLE_HIGH A_29_ CIIN A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 BGACK_030 CLK_DIV_OUT DSACK1 VMA RW -#$ NODES 70 cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_RESET_OUT inst_CLK_OUT_PRE_50 N_210_i inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE inst_CLK_OUT_EXP_INT CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ +#$ PINS 61 SIZE_1_ A_31_ IPL_2_ IPL_1_ FC_1_ IPL_0_ AS_030 FC_0_ AS_000 DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE SIZE_0_ AMIGA_BUS_DATA_DIR A_30_ AMIGA_BUS_ENABLE_LOW A_29_ AMIGA_BUS_ENABLE_HIGH A_28_ CIIN A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 BGACK_030 CLK_EXP DSACK1 VMA RW +#$ NODES 68 N_317_i cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_RESET_OUT inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ .type fr -.i 122 -.o 193 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q cpu_est_3_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q inst_CLK_OUT_PRE_50.Q N_210_i inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q inst_CLK_OUT_EXP_INT.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_4_.Q inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob DS_030 CLK_EXP FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_2_.C cpu_est_3_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_P_SYNC_0_.C inst_DS_000_ENABLE.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_CLK_OUT_PRE_25.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C A0.C inst_BGACK_030_INT_D.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C CLK_DIV_OUT.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_EXP_INT.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C inst_CLK_000_PE.C SIZE_1_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ N_210_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE BGACK_030.D CLK_DIV_OUT.D VMA.T cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D cpu_est_3_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_RESET_OUT.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D inst_CLK_OUT_EXP_INT.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_4_.D inst_DS_000_ENABLE.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D SM_AMIGA_6_.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 561 --------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1--------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~1111111~~11111~1~~~~~~~~~~111~~11~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 --------------------------1------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------01-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------01------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0--1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------001------------------------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------110------------------------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------10---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1--------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------00000001111----------------0-------------------------------------------------------------------------------- ~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1----------------------0010--1-----------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0----------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------01------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ --------------------------------------------10----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1--------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------11------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------00------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------1----1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------1-----1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------01----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------10----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-----------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0-------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1-0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------01------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------10------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------10----------------10------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00000------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0---------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1-------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------11100-------------0-------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------11------------------------------------111---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1---------------------------10------------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1---------------------------01------------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1---------------------------00------------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0---------------------------11------------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0---------------------------10------------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0---------------------------01------------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -----------------------------------0-----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------111---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------110---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------10-0--------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------1--------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------00001-------------0------1---1--1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------------------1---0--1---1--1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1---------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------1------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------0----------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------0-----------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------01-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------11------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1----------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------1------------0-------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------0------------------1------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1-----------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------1-----------1--------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0----------------------1---------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1-------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1-----0--------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1--------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------00001-0-----------0------1---1-------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0-----------1---0--1---1-------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------------------------------------1------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------00001-------------0------------------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------1-------------------------------------1---0--------------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------00001-------------0------------------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------------------1---0--------------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1--------------------------------------------1---1--1-----0---------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------1-----------1------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------------0------------------1---1--------0--------------------------------00---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------------------------------------0-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1-------------------------------------------------------------------------------------------11--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------1-------------------00001-------------0------1------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------------------1---0--1------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------00001-0-----------0------1-----------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0-----------1---0--1-----------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1--------------------------------------------1------1-----0--0---------------------------0--00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0------------------1------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------1---------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0----------------------1--------0------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0-------------------------------0--0---------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------------------------------------------------------------------0-------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------10----------------10---------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ------------------------------------------0------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------0-----------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------1------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------------------------------------------1-----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------0--------------------------------------1----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1--------------------------------------0----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0-------------------------------------------------------------------1---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1-------------------------------------------------------------------0---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1--------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------0------------------------------------1------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------1------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------------------------------------------------1-----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-------------------------------------------------------------------1----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-------------------------------------------------------------------0----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------0------------------------------------1-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------1------------------------------------0-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------0--------------------------------------1------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------1--------------------------------------0------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------------------------------------------------------1-----------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------------------------------------------------------------------1--------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1--------------0010--1--------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1-------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------------------------------------1--------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------01----------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------0--------------1--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------10-------------1--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------1--------------0--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------1-------------0--------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------0---------0----------------------------------------------------------------------01------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------0------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------1-------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-------------------------------------1---------------------------------------------------------1----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1--------------------------------------------------------0----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1------------------0------------0-01----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------0-----1------------------0------------0-10----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------01------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------10------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ ---------------------------------0-----------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0------------0-01----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------0-----1------------------0------------0-10----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------01------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------10------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1--------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------1---0---------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1-------------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------1------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------1------------0------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------0-----------1---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0---------------------------------1--------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1---------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1------------------------------------------------0-------------------------------------------1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------1------------------------------------------------------------------------------------------1-1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------0-------------------------------------------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------00---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------1---------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1------------------------------------------------1--------0------------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0--0---------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------------- ~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~00~~~~~~~0~~~~~~~~~~~~~0~~00000~~~~~~~~~~~~~~~~~~~~~~~000~00~~~~ ---------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0---------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0-------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~00~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1------------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0-1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------10-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------00-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------01------------------------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------00------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-1------------------------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0--0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00------------------------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0--------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-----------------------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1---------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-----------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------10------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-------0---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------11------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------00------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1----------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------1-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1---------------------------11------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------------------------------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1--------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------11-------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-----------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0----------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------1---------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1---------------10------------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1---------------01------------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1---------------00------------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1---------------11------------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1---------------10------------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1---------------01------------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1---------------00------------------------------------000---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 -----------------------------------1-----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------11----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------10----------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------111---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-0---------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1--------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------0-----0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------0------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------1---------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1--------------------0-----------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0--------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------0----------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0---------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-----------10---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0-------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0-----------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0---------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----------1-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------00------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------1-------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------1--------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-----------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------1----------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------1--------------------------------------0-------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------0------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0-----------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------------------------1----------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------1------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------------1---------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------0-----1-----------------------------------------------------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------1------------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------1-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------------------0--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------1------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------------0------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------------------0------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------1-----------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------1----------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------1---------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------1--------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------------0-------------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------1---1--------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------1----------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----------------------------------------------------------------------0-----------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------------------------------------------------------0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------0--0----------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---1---------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------0----------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------1---------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------------------------------------------------------------------1-------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1--------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------1-------------------------0----------------------0------------------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1-------------------------0-------------------------------0---------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1----------------------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1----------------0------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------------------------------------------------0-----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0--------------------------------------1----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------1--------------------------------------0----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1-------------------------------------------------------1---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1-------------------------------------------------------0---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0--------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------0------------------------------------1------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------1------------------------------------0------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1------------------------------------------------------0-----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-------------------------------------------------------1----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1-------------------------------------------------------0----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------0------------------------------------1-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------1------------------------------------0-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------0--------------------------------------1------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------1--------------------------------------0------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-------------------------------------------------------0-----------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0--------------0010--1--------------------------------------------------------------------------------0--------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------1------------------0--------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------------------------0-------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------1------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1-----------------------------------------------------------------------1------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------11------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------0------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1------------------0--------------01----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-01----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------001----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0--------------10----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-10----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------010----------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-01------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-10------------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------001------------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------010------------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------01----------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------10----------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-----------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------1-----1------------------0--------------01----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-01----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------001----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0--------------10----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------0-10----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------010----------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-01------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0------------0-10------------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------001------------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------010------------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------01----------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------10----------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1---------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0-0----------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0-------------------------------0----------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1-----------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1--------------------1----------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1---------------------1---------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1----------------------1--------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1-----------------------0-------------0----------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1-------------------------------------1---1------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1--------------------------------------------------------0---0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------1------------------------------------------------------------1------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---0----------1------------------1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------1------1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------1-----1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------0----1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1---1--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------01--------01-1--------------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------0------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------1------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------0-----------1---------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------------0--------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------1-----------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------1----------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------1---------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------1--------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------0-------------0-----------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------1---1-------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------------------------0----------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------------------------------------------0--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------------0-------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------------------------------------------------0------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1---------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------1-1-------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-----------------------------------0---------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.i 120 +.o 190 +.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q N_317_i cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_4_.Q inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN +.ob DS_030 FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C RST_DLY_0_.C inst_AS_000_DMA.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C A0.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C CLK_EXP.C inst_CLK_000_D0.C inst_CLK_000_PE.C inst_CLK_000_NE.C SIZE_1_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ N_317_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_2_.D cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_RESET_OUT.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_4_.D inst_DS_000_ENABLE.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D SM_AMIGA_6_.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 554 +------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0--------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------0----------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~1111111~~11111~1~~~~~~~~111~~11~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1~111 +-------------------------1---------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----------------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------0-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------010---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------10-1--------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------01--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------01-0--------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------------------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-------------00000001111-----------------0----------------------------------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0----------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1----------------------0010--1------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-----------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------------------10-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0--------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1------------------------------------------------------------------------ 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1----1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1-----1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------01-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------10-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-------------1-------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0----------0-------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------01----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------10--------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0-0000----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1----------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1-0011-------------0----------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1---------------------------11----------------------------------111---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1---------------------------10----------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1---------------------------01----------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1---------------------------00----------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0---------------------------11----------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0---------------------------10----------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0---------------------------01----------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------0------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------010-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------1-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------1-------------------010100-------------0--------1-1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----------------1---0----1-1----10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------1-------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------1------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1-------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------0----------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------0-----------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------01-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------11------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1----------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------1------------0----------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0---------------1------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------1-----------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +--------------------------------1-----------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------1------------1-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0--------------------1--------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------1-----0--------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-----------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------010100-0-----------0--------1------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----0-----------1---0----1------10--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-----------------------------------------------------------------------1------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1-------------------0-0100-------------0---------------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1--------------------------------------1---0-----------1----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------0-0100-------------0---------------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----------------------------------------------------1---0-----------1---0------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1--------------------1--------------------------1-1-----0---------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1-----------------------------------------------1----------1------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1--------------------1-----0--------------------1-------0--------------------------------00---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------1----------------------------------------0-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-----------------------------------------------------------------------------------------11--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-------------------010100-------------0----------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----------------1---0------1----10--0---------------------------0---0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1-------------------010100-0-----------0---------------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----0-----------1---0-----------10--0---------------------------0-0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1----------------------------1-----0--0---------------------------0--00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------1-----0----------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------1------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0--------------------1-------0------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0----------------------------0--0---------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------0-------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------1-----------------------------10--------------10--------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +------------------------------------------0---------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------------------0--------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------------------------------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------------------------------1----------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------1-----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------0------------------------------------1----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------0----------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-----------------------------------------------------------------1---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-----------------------------------------------------------------0---------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------0----------------------------------1------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------1----------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------------------------------1-----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------------------------------------------------1----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------0----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------0----------------------------------1-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------1----------------------------------0-------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------0------------------------------------1------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------1------------------------------------0------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------------------------1-----------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------------------------------------------------------------------------------------------1--------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---1--------------0010--1------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------1-------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1------------------------------------------------------1-------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------0------------1-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-----------1-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------1------------0-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------1-----------0-------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------0----------0-------------------------------------------------------------------01------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------0------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0---------------------------------------1----------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------1------------------------------------------------------1----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1-----------------------------------------------------0----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0--------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1--------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1--------------------------0--------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1--------------------------1----------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------0-------1------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1----------------------------------0------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------1-----------------------------------------------0----------1---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------0------------------------------1--------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1-----------------------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------0--------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1-------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-----------------------------------------------0------------------------------------------1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1----------------------------------------------------------------------------------------1-1-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +----------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0----------------------------------------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------00---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------0---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----------------------------------------1------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------1-----------------------------------------------1-------0------------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------0--0---------------------------0----1-------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------------------------ ~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1----------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0-----------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0-1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------01---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~00~~~~~~~0~~~~~~~~~~~0~~00000~~~~~~~~~~~~~~~~~~~~~~~000~00~~~~~ +--------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1--------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0-------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~00~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------1------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------1------------------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------1---------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------11----------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------00----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------0---1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--1--------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------01--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1----0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-0--------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------0------------------------------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1----------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1---------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1--------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1-------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1-----------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1----------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0---------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0--------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0-------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------10---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1--------0---1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------------11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1-----------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +---------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1----------------------------11----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11-----------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------0---------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0--------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0-----------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1-----------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------1---------------10----------------------------------011---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1---------------01----------------------------------101---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1---------------00----------------------------------001---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0-----------1---------------11----------------------------------110---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0-----------1---------------10----------------------------------010---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0-----------1---------------01----------------------------------100---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0-----------1---------------00----------------------------------000---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +-------------------------------------1------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-1------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-0------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1-11-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------10-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-----------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------------0-----0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------0------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------0----------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0--------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0-------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----------10---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0----------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0----------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0-----------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0-----------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1----------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1----------1-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0-0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1----------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------00------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1----------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1------------------1------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------1---------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0--------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +--------------------------------------------1-------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------1---------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------0---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0----------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------------------------------------------------------------1---------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------------------------------1------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1---------------------------------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------------1------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------0-----1---------------------------------------------------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------1---------------------------------------1------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------------------------1-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------------------------------0--------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------------------------------------------1-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------------------------------------0------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------1------------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------1----------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------0---------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------1--------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------1-------------0---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------------------1---1-----------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------------------------1----------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------------------------------0-----------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------------------------------------------------0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-------------------------------------------------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------0--0-------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0---1------------------------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------------------0---------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-------------------1--------0--0---------------------------0-000--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------1-------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1-------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------1--------------------------0--------------------0-----------------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------1--------------------------0----------------------------0---------------------------0-------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------------------------------------------1--------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1--------------------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------1----------------0----------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------------------------------------------0-----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------1----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------1------------------------------------0----------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0-----------1-----------------------------------------------------1---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1-----------------------------------------------------0---------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------0----------------------------------1------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------1----------------------------------0------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------------------------------------------0-----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------1----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1-----------------------------------------------------0----------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------0----------------------------------1-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------1----------------------------------0-------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------0------------------------------------1------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------1------------------------------------0------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------------------------------------------------0-----------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +--------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---0--------------0010--1------------------------------------------------------------------------------0--------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------1------------------0------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------------------------------------------------------------0-------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------1------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1--------------------------------------------------------------------1------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------11------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------0------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10---------------------------------------------------1---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001---------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010---------------------------------------------------0---------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------010------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10---------------------------------------------------1---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001---------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010---------------------------------------------------0---------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------01-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1---------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------1----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--0---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------0-0-------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------0----------------------------0----------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1------------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1---------------------1----------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1----------------------0---------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1-----------------------1--------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1------------------------1-------------0-------------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1--------------------------------------1---1---------------0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1------------------------------------------------------0---0---------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------1----------------------------------------------------------1------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--0----------1------------------1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------1------1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------1-----1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------0----1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1---1---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------01---------01-1-----------------------------------------------------------0------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------------0------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------------------1------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------------------------0----------1---------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0--------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------------------------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------------------------0--------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------1------------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------1----------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------0---------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------1--------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------------1-------------0--------------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------1---1----------------------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------------------------------------------0----------------------------------1--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------------------------------------------------------------------0--------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------------0------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------------------------------------------------------1------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------0------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------1-1----------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1--------------------------------0---------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-----------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index 65f9fb7..50e391b 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,65 +1,64 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ MODULE BUS68030 -#$ PINS 61 SIZE_1_ A_31_ IPL_2_ FC_1_ IPL_1_ AS_030 IPL_0_ AS_000 FC_0_ DS_030 +#$ PINS 61 SIZE_1_ A_31_ IPL_2_ IPL_1_ FC_1_ IPL_0_ AS_030 FC_0_ AS_000 DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - CLK_EXP FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR SIZE_0_ AMIGA_BUS_ENABLE_LOW A_30_ AMIGA_BUS_ENABLE_HIGH - A_29_ CIIN A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ - A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 BGACK_030 - CLK_DIV_OUT DSACK1 VMA RW -#$ NODES 71 cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT + CLK_DIV_OUT FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE + SIZE_0_ AMIGA_BUS_DATA_DIR A_30_ AMIGA_BUS_ENABLE_LOW A_29_ + AMIGA_BUS_ENABLE_HIGH A_28_ CIIN A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ + A_19_ A_18_ A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 + BGACK_030 CLK_EXP DSACK1 VMA RW +#$ NODES 69 N_317_i cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_RESET_OUT - inst_CLK_OUT_PRE_50 N_210_i inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D0 - inst_CLK_000_PE inst_CLK_OUT_EXP_INT CLK_000_P_SYNC_9_ inst_CLK_000_NE - CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ - inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ - RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ - CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ - CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ - CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ - CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ - CLK_000_N_SYNC_10_ SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ - SM_AMIGA_2_ SM_AMIGA_i_7_ CIIN_0 + inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE + CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ + IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH + SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ + CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ + CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ + CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ + CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ + CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ + SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ + CIIN_0 .type f -.i 123 -.o 194 +.i 121 +.o 191 .ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q - cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q cpu_est_3_.Q inst_AS_000_INT.Q + N_317_i cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q inst_CLK_OUT_PRE_50.Q - N_210_i inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q - inst_CLK_000_PE.Q inst_CLK_OUT_EXP_INT.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q - CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_CLK_000_NE_D0.Q - SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_4_.Q - inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q CLK_000_P_SYNC_0_.Q - CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q - CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q - CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q - CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q - CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q - SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q - SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN - AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN - BERR.PIN RW.PIN CIIN_0 + inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q + inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q + inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q + SM_AMIGA_4_.Q inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q + CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q + CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q + CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q + CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q + CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q + RW_000.Q RW.Q A0.Q SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q + SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q + AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN + A0.PIN BERR.PIN RW.PIN CIIN_0 .ob SIZE_1_ SIZE_1_.OE AS_030% AS_030.OE AS_000% AS_000.OE DS_030% DS_030.OE - UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE CLK_EXP FPU_CS% AVEC E - RESET RESET.OE AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ SIZE_0_.OE - AMIGA_BUS_ENABLE_LOW% AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE IPL_030_2_.D% - IPL_030_2_.C IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C RW_000.D% - RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D% BG_000.C BGACK_030.D BGACK_030.C - CLK_DIV_OUT.D CLK_DIV_OUT.C DSACK1.D% DSACK1.C DSACK1.OE VMA.T VMA.C RW.D% RW.C - RW.OE cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D - cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C inst_AS_000_INT.D% inst_AS_000_INT.C - SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% + UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C + FPU_CS% AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE SIZE_0_ SIZE_0_.OE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE + IPL_030_2_.D% IPL_030_2_.C IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C + RW_000.D% RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D% BG_000.C BGACK_030.D + BGACK_030.C CLK_EXP.D CLK_EXP.C DSACK1.D% DSACK1.C DSACK1.OE VMA.T VMA.C RW.D% + RW.C RW.OE N_317_i% cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C + cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C inst_AS_000_INT.D% + inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D% inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D% inst_nEXP_SPACE_D0reg.C inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D% inst_BGACK_030_INT_D.C @@ -69,253 +68,248 @@ inst_UDS_000_INT.D% inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_RESET_OUT.D inst_RESET_OUT.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C - N_210_i% inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_000_D1.D - inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D - inst_CLK_000_PE.C inst_CLK_OUT_EXP_INT.D inst_CLK_OUT_EXP_INT.C - CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C IPL_D0_0_.D% IPL_D0_0_.C IPL_D0_1_.D% - IPL_D0_1_.C IPL_D0_2_.D% IPL_D0_2_.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C - SM_AMIGA_0_.D SM_AMIGA_0_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% - inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_4_.D SM_AMIGA_4_.C - inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D - RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C - CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C - CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C - CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C - CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C SM_AMIGA_6_.D SM_AMIGA_6_.C - inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_3_.T - SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C CIIN_0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 225 --------------------------------------------------01------------------------------------------------------------------------ 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0-------------------------------------------------------------------------------- 01000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------0-------------------------------------------------------------------0--------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0-------------1------------------------------------------------------------------ 00010001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0-------------------------------------------------------------------------0---------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----------------------1------------------------------------------------------------------ 00000100010100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------0------------------------------------------------------------------0--------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------0----------------------1----------------------------------------------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0---------------------1----------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------------------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1--------------0010--1--------------------------------------------------------------------------------0---------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------0----------------------------------------------------------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0--------------0010--1--------------------------------------------------------------------------------0---------- 00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------001------------------------------------------------------------------------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------110------------------------------------------------------------------------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------0------------------------------------------------------------------ 00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0----------------------------------------------------------------------01-------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1---------------------------------------------------------------------------------0-------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------10------------------------------------------------------------------------ 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------0---------------------------------------------------------------------------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------1-------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--------------------------------------------------------------------------0--------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------00000001111----------------0--------------------------------------------------------------------------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ---------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------11------------------------------------110----------------------------------------------------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------10------------------------------------010----------------------------------------------------- 00000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------01------------------------------------100----------------------------------------------------- 00000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------00------------------------------------000----------------------------------------------------- 00000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------1-------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1------------------------------------0-------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------1------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1--------------------------------------0------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------0-----------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1----------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000101010100100101010100101001010101010101010101010101010101010101010101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010 --1-----------1---------------01------------------------------------101----------------------------------------------------- 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------00------------------------------------001----------------------------------------------------- 00000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------------------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------1------------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1------------------------------------0------------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------0-----------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------1----------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-------------------------------------------------------0----------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------10------------------------------------011----------------------------------------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------------------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------0-----------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------1----------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1--------------------------------------0----------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------1---------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-------------------------------------------------------0---------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0----------------------0------------------------------------0-------1--------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0-------------------------------0---------------------------0-------1--------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------------------------------------------------------0- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000001000010000000000000000000000000000000001010000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----------1--------------------------------------------------------1--------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0----------------------------------------------------------------------------------1------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1---------------------------11------------------1------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1----------------------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-------------------------1------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-------------------------------------------------------1--------------------------------------------------1--------- 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1-----------------------------------------------------------------------------------1------1------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------1------------------------------------------1------1------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------1-----1------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0-------------------------------0----------------------------------------------1-- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------0-------------------0----------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00000------------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------11100-------------0-------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------1-------------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-----------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-----------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------1-----------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------01--------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------10-0--------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1----------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-1-------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------01-------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------110---------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1---------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0--1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------111---------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1--------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0----------------------1---------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1-------------------------0------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1--------------------------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1------------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-------0---1------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0-0----------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1------------------1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1------1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------0----1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1---1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------01--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-------------------------------------1----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------11-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------00-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1--------- 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0--------------------------------------1-------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-------------------------------------1---------------------------------------------------------1----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------1--------------------------------------------------------0----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------0--------------1--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------1--------------0--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------01----------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------10-------------1--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------1-------------0--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------0------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1----------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----------1-----1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0----------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------0-------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------------------------------1----------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------1------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1--------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--0----------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0---1---------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--------------------0----------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0---------------------1---------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0-1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1-0--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1-----0--------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0--------1------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 ----0---------1------------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------1---------------------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-----------1---------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0----------------------1--------0------------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0-------------------------------0--0---------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0---------------------------------1--------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1--------0------------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------0--0---------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------0----------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------0-----------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------01--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------10--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------11-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------11--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------01------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------------------------------------------------------10------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -----------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 ------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 -------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 ---------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 ----------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -----------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 ------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 --------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 --------------1----------------------------10----------------10---------------------------------------------0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1----------------------------------------------------------------------------------------1----0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------------------------------------0---------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0------------0-01----------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------0-----1------------------0------------0-10----------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------01------------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------10------------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------0-----1------------------0------------0-01----------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------0-----1------------------0------------0-10----------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------01------------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------10------------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------------------------------------1-----------------------------------------0-1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1---------------------------------------------------0--------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1------------------------------------------------------------------------------------------1-1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------0-------------------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ----------------------------------00001-------------0------------------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ----------------------------------------------------1---0--------------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1------------------------------------------------1-----------1------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ----------------------------------------------------------------------------------------------------------1--------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------00001-------------0------------------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------------------1---0--------------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------------------------------------------------------------------------11---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1------------------------------------------------0-------------------------------------------1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------00001-------------0------1---1--1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------------------1---0--1---1--1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------00001-0-----------0------1---1-------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0-----------1---0--1---1-------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1---1--1-----0---------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------------1---1--------0--------------------------------00----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------00001-------------0------1------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------------------1---0--1------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------00001-0-----------0------1-----------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0-----------1---0--1-----------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1------1-----0--0---------------------------0--00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------------1------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1---0---------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1------------0------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 + inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C + inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C + inst_CLK_000_NE.D inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C + IPL_D0_0_.D% IPL_D0_0_.C IPL_D0_1_.D% IPL_D0_1_.C IPL_D0_2_.D% IPL_D0_2_.C + inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C + inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_4_.D + SM_AMIGA_4_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C RST_DLY_0_.D + RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C + CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C + CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C + CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C + CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C + CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C + CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C + CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C + CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C + CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C + CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D + CLK_000_N_SYNC_10_.C SM_AMIGA_6_.D SM_AMIGA_6_.C inst_CLK_030_H.D + inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_3_.T SM_AMIGA_3_.C + SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C CIIN_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 221 +--------------------------------------------------01--------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0----------0----------------------------------------------------------------------------- 01000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------0----------------------------------------------------------------0--------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0----------0-------------1--------------------------------------------------------------- 00010001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0----------------------------------------------------------------------0---------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------------------1--------------------------------------------------------------- 00000100010100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------0---------------------------------------------------------------0--------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------0-------------------1----------------------------------------------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------0------------------1----------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---1--------------0010--1------------------------------------------------------------------------------0---------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------1----------------------------------------------------------------- 00000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1--------------------------------------------------------------------------------------------------------------- 00000000000000010000000000000010101010010010101010010100010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010 +--1---1---0--------------0010--1------------------------------------------------------------------------------0---------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------10-1---------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------01-0---------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------0--------------------------------------------------------------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------10--------------------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0----------0-------------------------------------------------------------------01-------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-------------------------------------------------------------------------------0-------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------0------------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0-------------------------------------1-------------------------------------------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------------------------------------------------------------------0--------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-------------00000001111-----------------0------------------------------------------------------------------------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------11----------------------------------110----------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------10----------------------------------010----------------------------------------------------- 00000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------01----------------------------------100----------------------------------------------------- 00000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------00----------------------------------000----------------------------------------------------- 00000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1-------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0-------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------0-----------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------01----------------------------------101----------------------------------------------------- 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------00----------------------------------001----------------------------------------------------- 00000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------------------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1------------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0------------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------0-----------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1----------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0----------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------10----------------------------------011----------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------------------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------0-----------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1----------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0----------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1---------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0---------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0--------------------0-----------------------------------0-------1--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0----------------------------0---------------------------0-------1--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1------------------------------------------------------------------------------0- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000100001000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------1-----------------------------------------------------1--------------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------------------------------------------------------------------------------1------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1----------------------------11----------------1------------------------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1--------------------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1------------------------------------------------------1-------------------------------------------------1--------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----1---------------------------------------------------------------------------------1------1------------------ 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------1---------------------------------------1------1------------------ 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------------------------------1-----1------------------ 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------0----------------------------0----------------------------------------------1-- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-------------0-------------------0--------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0-0000----------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------1-0011-------------0----------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1----------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0-------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0--0-------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0---1------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0------------------0---------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0-------------------1--------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1-0----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1--0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0-11-----------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1--------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------010----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1-11-----------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1-------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------01---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------010-----------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------0--0---------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0--------------------1--------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1----------------------0------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1-----------------------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------1------------------0---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1--------0---1--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0--------1----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------0-0-------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--0----------1------------------1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1------1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------0----1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1---1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------01---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0--------------------------------------1-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------11----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------00----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------------------------------1--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0---------------------------------------1----------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1--------------------------------------1------------------------------------------------------1----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1-----------------------------------------------------0----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------0------------1-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------1------------0-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------01-------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------10-----------1-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0----------------1-----------0-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------0--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1-----------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0--------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------1-----1--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------01----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------0----------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------------------------------1----------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------1---------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------------------1--------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0-1----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------0-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1---------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1-----0--------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------0-------1------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +---0---------1------------------0---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1------------------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1----------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1-------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------0----------1---------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0--------------------1-------0------------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0----------------------------0--0---------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------0------------------------------1--------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------1-------0------------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------0--0---------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------0----------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------0-----------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------01--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------10--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------11-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------11--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------01------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +-----------------------------------------------------------10------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +--------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +---------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +----------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +-----------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +-------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +--------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +---------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +-----------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 +-------------1-----------------------------10--------------10--------------------------------------------0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1--------------------------------------------------------------------------------------1----0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1-----------------------------------------------0--------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------01---------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------10---------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------01---------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------10---------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1-----------------------------------------------1----------------------------------------0-1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1-------------------------------------------------0--------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1----------------------------------------------------------------------------------------1-1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------0-----------------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +---------------------------------0-0100-------------0---------------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------------1---0-----------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-----------------------------------------------1----------1------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------------------------------------------------------------------------------------------------1--------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-------------------0-0100-------------0---------------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1--------------------------------------1---0-----------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-----------------------------------------------------------------------------------------11---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-----------------------------------------------0------------------------------------------1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-------------------010100-------------0--------1-1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----------------1---0----1-1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1-------------------010100-0-----------0--------1------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0-----------1---0----1------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1--------------------------1-1-----0---------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0--------------------1-------0--------------------------------00----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1-------------------010100-------------0----------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----------------1---0------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1-------------------010100-0-----------0---------------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0-----------1---0-----------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1----------------------------1-----0--0---------------------------0--00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0----------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1--------------------------0--------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1----------------------------------0------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index 6af9f40..bac4844 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,65 +1,64 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ MODULE BUS68030 -#$ PINS 61 SIZE_1_ A_31_ IPL_2_ FC_1_ IPL_1_ AS_030 IPL_0_ AS_000 FC_0_ DS_030 +#$ PINS 61 SIZE_1_ A_31_ IPL_2_ IPL_1_ FC_1_ IPL_0_ AS_030 FC_0_ AS_000 DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - CLK_EXP FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR SIZE_0_ AMIGA_BUS_ENABLE_LOW A_30_ AMIGA_BUS_ENABLE_HIGH - A_29_ CIIN A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ - A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 BGACK_030 - CLK_DIV_OUT DSACK1 VMA RW -#$ NODES 71 cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT + CLK_DIV_OUT FPU_CS FPU_SENSE DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE + SIZE_0_ AMIGA_BUS_DATA_DIR A_30_ AMIGA_BUS_ENABLE_LOW A_29_ + AMIGA_BUS_ENABLE_HIGH A_28_ CIIN A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ + A_19_ A_18_ A_17_ A_16_ IPL_030_2_ IPL_030_1_ IPL_030_0_ RW_000 A0 BG_000 + BGACK_030 CLK_EXP DSACK1 VMA RW +#$ NODES 69 N_317_i cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_RESET_OUT - inst_CLK_OUT_PRE_50 N_210_i inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D0 - inst_CLK_000_PE inst_CLK_OUT_EXP_INT CLK_000_P_SYNC_9_ inst_CLK_000_NE - CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ - inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ - RST_DLY_1_ RST_DLY_2_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ - CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ - CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ - CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ - CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ - CLK_000_N_SYNC_10_ SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ - SM_AMIGA_2_ SM_AMIGA_i_7_ CIIN_0 + inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE + CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ IPL_D0_0_ IPL_D0_1_ + IPL_D0_2_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH + SM_AMIGA_4_ inst_DS_000_ENABLE RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ + CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ + CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ + CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ + CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ + CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ + SM_AMIGA_6_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ + CIIN_0 .type f -.i 123 -.o 194 +.i 121 +.o 191 .ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q - cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q cpu_est_3_.Q inst_AS_000_INT.Q + N_317_i cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q inst_CLK_OUT_PRE_50.Q - N_210_i inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q - inst_CLK_000_PE.Q inst_CLK_OUT_EXP_INT.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q - CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_CLK_000_NE_D0.Q - SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_4_.Q - inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q CLK_000_P_SYNC_0_.Q - CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q - CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q - CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q - CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q - CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q - SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q - SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN - AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN - BERR.PIN RW.PIN CIIN_0 + inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q + inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q + inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q + SM_AMIGA_4_.Q inst_DS_000_ENABLE.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q + CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q + CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q + CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q + CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q + CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q + RW_000.Q RW.Q A0.Q SM_AMIGA_6_.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_3_.Q + SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q + AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN + A0.PIN BERR.PIN RW.PIN CIIN_0 .ob SIZE_1_ SIZE_1_.OE AS_030- AS_030.OE AS_000- AS_000.OE DS_030- DS_030.OE - UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE CLK_EXP FPU_CS- AVEC E - RESET RESET.OE AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ SIZE_0_.OE - AMIGA_BUS_ENABLE_LOW- AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE IPL_030_2_.D- - IPL_030_2_.C IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C RW_000.D- - RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D- BG_000.C BGACK_030.D BGACK_030.C - CLK_DIV_OUT.D CLK_DIV_OUT.C DSACK1.D- DSACK1.C DSACK1.OE VMA.T VMA.C RW.D- RW.C - RW.OE cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D - cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C inst_AS_000_INT.D- inst_AS_000_INT.C - SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- + UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C + FPU_CS- AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE SIZE_0_ SIZE_0_.OE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE + IPL_030_2_.D- IPL_030_2_.C IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C + RW_000.D- RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D- BG_000.C BGACK_030.D + BGACK_030.C CLK_EXP.D CLK_EXP.C DSACK1.D- DSACK1.C DSACK1.OE VMA.T VMA.C RW.D- + RW.C RW.OE N_317_i- cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C + cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C inst_AS_000_INT.D- + inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D- inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D- inst_nEXP_SPACE_D0reg.C inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D- inst_BGACK_030_INT_D.C @@ -69,253 +68,248 @@ inst_UDS_000_INT.D- inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_RESET_OUT.D inst_RESET_OUT.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C - N_210_i- inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_000_D1.D - inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D - inst_CLK_000_PE.C inst_CLK_OUT_EXP_INT.D inst_CLK_OUT_EXP_INT.C - CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C IPL_D0_0_.D- IPL_D0_0_.C IPL_D0_1_.D- - IPL_D0_1_.C IPL_D0_2_.D- IPL_D0_2_.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C - SM_AMIGA_0_.D SM_AMIGA_0_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- - inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_4_.D SM_AMIGA_4_.C - inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D - RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C - CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C - CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C - CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C - CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C SM_AMIGA_6_.D SM_AMIGA_6_.C - inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_3_.T - SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C CIIN_0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 225 --------------------------------------------------01------------------------------------------------------------------------ 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0-------------------------------------------------------------------------------- 01000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------0-------------------------------------------------------------------0--------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0-------------1------------------------------------------------------------------ 00010001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0-------------------------------------------------------------------------0---------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----------------------1------------------------------------------------------------------ 00000100010100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------0------------------------------------------------------------------0--------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------0----------------------1----------------------------------------------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0---------------------1----------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------------------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1--------------0010--1--------------------------------------------------------------------------------0---------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------0----------------------------------------------------------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0--------------0010--1--------------------------------------------------------------------------------0---------- 00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------001------------------------------------------------------------------------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------110------------------------------------------------------------------------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------0------------------------------------------------------------------ 00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0----------------------------------------------------------------------01-------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1---------------------------------------------------------------------------------0-------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------10------------------------------------------------------------------------ 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------0---------------------------------------------------------------------------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------1-------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--------------------------------------------------------------------------0--------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------00000001111----------------0--------------------------------------------------------------------------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ---------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------11------------------------------------110----------------------------------------------------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------10------------------------------------010----------------------------------------------------- 00000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------01------------------------------------100----------------------------------------------------- 00000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------00------------------------------------000----------------------------------------------------- 00000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------1-------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1------------------------------------0-------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------1------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1--------------------------------------0------------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------0-----------------------------------------0----------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1----------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000101010100100101010100101001010101010101010101010101010101010101010101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010 --1-----------1---------------01------------------------------------101----------------------------------------------------- 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------00------------------------------------001----------------------------------------------------- 00000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------------------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------1------------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1------------------------------------0------------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------0-----------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------1----------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-------------------------------------------------------0----------------------------------------0------------ 00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------10------------------------------------011----------------------------------------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------------------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------0-----------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------1----------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1--------------------------------------0----------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------1---------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-------------------------------------------------------0---------------------------------------0------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0----------------------0------------------------------------0-------1--------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0-------------------------------0---------------------------0-------1--------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------------------------------------------------------0- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000001000010000000000000000000000000000000001010000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----------1--------------------------------------------------------1--------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0----------------------------------------------------------------------------------1------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1---------------------------11------------------1------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1----------------------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-------------------------1------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-------------------------------------------------------1--------------------------------------------------1--------- 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1-----------------------------------------------------------------------------------1------1------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------1------------------------------------------1------1------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------1-----1------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0-------------------------------0----------------------------------------------1-- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------0-------------------0----------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00000------------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------11100-------------0-------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------1-------------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-----------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-----------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------1-----------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------01--------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------10-0--------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1----------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-1-------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------01-------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------110---------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1---------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0--1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------111---------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1--------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0----------------------1---------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1-------------------------0------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1--------------------------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1------------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-------0---1------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0-0----------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1------------------1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1------1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------0----1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1---1--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------01--------01-1--------------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-------------------------------------1----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------11-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------00-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1--------- 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0--------------------------------------1-------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-------------------------------------1---------------------------------------------------------1----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------1--------------------------------------------------------0----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------0--------------1--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------1--------------0--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------01----------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0--------------10-------------1--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------1-------------0--------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------0------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1----------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----------1-----1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0----------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------0-------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------------------------------1----------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------1------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1--------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--0----------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0---1---------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--------------------0----------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0---------------------1---------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0-1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1-0--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1-----0--------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0--------1------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 ----0---------1------------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------1---------------------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-----------1---------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0----------------------1--------0------------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0-------------------------------0--0---------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0---------------------------------1--------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1--------0------------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------0--0---------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------0----------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------0-----------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------01--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------10--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------11-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------1----------11--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------01------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------------------------------------------------------10------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -----------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 ------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 -------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 ---------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 ----------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -----------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 ------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 --------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 --------------1----------------------------10----------------10---------------------------------------------0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1----------------------------------------------------------------------------------------1----0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------------------------------------0---------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0------------0-01----------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------0-----1------------------0------------0-10----------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------01------------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------10------------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------0-----1------------------0------------0-01----------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------0-----1------------------0------------0-10----------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------01------------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------0--------------10------------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------------------------------------1-----------------------------------------0-1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1---------------------------------------------------0--------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1------------------------------------------------------------------------------------------1-1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------0-------------------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ----------------------------------00001-------------0------------------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ----------------------------------------------------1---0--------------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1------------------------------------------------1-----------1------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ----------------------------------------------------------------------------------------------------------1--------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------00001-------------0------------------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------------------1---0--------------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------------------------------------------------------------------------11---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1------------------------------------------------0-------------------------------------------1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------00001-------------0------1---1--1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------------------1---0--1---1--1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------00001-0-----------0------1---1-------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0-----------1---0--1---1-------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1---1--1-----0---------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------------1---1--------0--------------------------------00----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------00001-------------0------1------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------------------1---0--1------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------00001-0-----------0------1-----------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0-----------1---0--1-----------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1------1-----0--0---------------------------0--00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------------1------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1---0---------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------------1------------0------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 + inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C + inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C + inst_CLK_000_NE.D inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C + IPL_D0_0_.D- IPL_D0_0_.C IPL_D0_1_.D- IPL_D0_1_.C IPL_D0_2_.D- IPL_D0_2_.C + inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C + inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_4_.D + SM_AMIGA_4_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C RST_DLY_0_.D + RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C + CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C + CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C + CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C + CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C + CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C + CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C + CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C + CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C + CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C + CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D + CLK_000_N_SYNC_10_.C SM_AMIGA_6_.D SM_AMIGA_6_.C inst_CLK_030_H.D + inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_3_.T SM_AMIGA_3_.C + SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C CIIN_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 221 +--------------------------------------------------01--------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0----------0----------------------------------------------------------------------------- 01000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------0----------------------------------------------------------------0--------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0----------0-------------1--------------------------------------------------------------- 00010001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0----------------------------------------------------------------------0---------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------------------1--------------------------------------------------------------- 00000100010100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------0---------------------------------------------------------------0--------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------0-------------------1----------------------------------------------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------0------------------1----------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------------------------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---1--------------0010--1------------------------------------------------------------------------------0---------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------1----------------------------------------------------------------- 00000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1--------------------------------------------------------------------------------------------------------------- 00000000000000010000000000000010101010010010101010010100010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010 +--1---1---0--------------0010--1------------------------------------------------------------------------------0---------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------10-1---------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------01-0---------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------0--------------------------------------------------------------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------10--------------------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0----------0-------------------------------------------------------------------01-------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-------------------------------------------------------------------------------0-------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------0------------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0-------------------------------------1-------------------------------------------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------------------------------------------------------------------0--------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-------------00000001111-----------------0------------------------------------------------------------------------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------11----------------------------------110----------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------10----------------------------------010----------------------------------------------------- 00000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------01----------------------------------100----------------------------------------------------- 00000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------00----------------------------------000----------------------------------------------------- 00000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1-------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0-------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0------------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------0-----------------------------------------0----------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------01----------------------------------101----------------------------------------------------- 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------00----------------------------------001----------------------------------------------------- 00000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------------------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1------------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0------------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------0-----------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1----------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0----------------------------------------0------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------10----------------------------------011----------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------------------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------0-----------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1----------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0----------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1---------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0---------------------------------------0------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0--------------------0-----------------------------------0-------1--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0----------------------------0---------------------------0-------1--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1------------------------------------------------------------------------------0- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000100001000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------1-----------------------------------------------------1--------------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------------------------------------------------------------------------------1------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1----------------------------11----------------1------------------------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1--------------------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1------------------------------------------------------1-------------------------------------------------1--------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----1---------------------------------------------------------------------------------1------1------------------ 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------1---------------------------------------1------1------------------ 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------------------------------1-----1------------------ 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------0----------------------------0----------------------------------------------1-- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-------------0-------------------0--------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0-0000----------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------1-0011-------------0----------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1----------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0-------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0--0-------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0---1------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0------------------0---------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0-------------------1--------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1-0----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1--0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0-11-----------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1--------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------010----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1-11-----------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1-------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------01---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------010-----------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------0--0---------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0--------------------1--------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1----------------------0------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1-----------------------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------1------------------0---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1--------0---1--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0--------1----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------0-0-------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--0----------1------------------1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1------1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------0----1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1---1---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------01---------01-1-----------------------------------------------------------0------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0--------------------------------------1-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------11----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------00----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------------------------------1--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0---------------------------------------1----------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1--------------------------------------1------------------------------------------------------1----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1-----------------------------------------------------0----------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------0------------1-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------1------------0-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------01-------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------10-----------1-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0----------------1-----------0-------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------0--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1-----------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0--------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------1-----1--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------01----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------0----------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------------------------------1----------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------1---------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------------------1--------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0-1----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------0-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1---------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1----------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1-----0--------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------0-------1------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +---0---------1------------------0---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1------------------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1----------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1-------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------0----------1---------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0--------------------1-------0------------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0----------------------------0--0---------------------------0----1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------0------------------------------1--------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------1-------0------------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------0--0---------------------------0----1-------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------0----------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------111-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------0-----------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------01--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------10--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------11-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------1----------11--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------01------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +-----------------------------------------------------------10------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +--------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +---------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +----------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +-----------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +-------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +--------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +---------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +-----------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 +-------------1-----------------------------10--------------10--------------------------------------------0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1--------------------------------------------------------------------------------------1----0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1-----------------------------------------------0--------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------01---------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------10---------------------------------------------------1---------0-0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------01---------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------0---------------10---------------------------------------------------1---------0--0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1-----------------------------------------------1----------------------------------------0-1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1-------------------------------------------------0--------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1----------------------------------------------------------------------------------------1-1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------0-----------------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +---------------------------------0-0100-------------0---------------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------------1---0-----------1---0------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-----------------------------------------------1----------1------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------------------------------------------------------------------------------------------------1--------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-------------------0-0100-------------0---------------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1--------------------------------------1---0-----------1----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-----------------------------------------------------------------------------------------11---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-----------------------------------------------0------------------------------------------1-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-------------------010100-------------0--------1-1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----------------1---0----1-1----10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1-------------------010100-0-----------0--------1------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0-----------1---0----1------10--------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1--------------------------1-1-----0---------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0--------------------1-------0--------------------------------00----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1-------------------010100-------------0----------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----------------1---0------1----10--0---------------------------0---0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1-------------------010100-0-----------0---------------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0-----------1---0-----------10--0---------------------------0-0-0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1----------------------------1-----0--0---------------------------0--00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1-----0----------------------------0--0---------------------------0-000---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1--------------------------0--------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +-------------1--------------------1----------------------------------0------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index 6d42c92..792417a 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 1/24/16; -TIME = 16:20:59; +DATE = 1/25/16; +TIME = 07:24:24; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -136,9 +136,9 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF -BERR = OUTPUT,41,4,-; -RW_000 = BIDIR,80,7,-; AS_000 = OUTPUT,42,4,-; +RW_000 = BIDIR,80,7,-; +BERR = OUTPUT,41,4,-; RW = BIDIR,71,6,-; AS_030 = OUTPUT,82,7,-; UDS_000 = OUTPUT,32,3,-; @@ -166,84 +166,82 @@ AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; CLK_EXP = OUTPUT,10,1,-; RESET = OUTPUT,3,1,-; RN_BGACK_030 = NODE,-1,7,-; -inst_RESET_OUT = NODE,*,6,-; -inst_nEXP_SPACE_D0reg = NODE,*,7,-; -inst_CLK_000_PE = NODE,*,5,-; -inst_AS_030_D0 = NODE,*,7,-; -SM_AMIGA_1_ = NODE,*,0,-; -SM_AMIGA_5_ = NODE,*,5,-; -inst_CLK_000_NE = NODE,*,6,-; -inst_CLK_000_D0 = NODE,*,3,-; +inst_nEXP_SPACE_D0reg = NODE,*,0,-; +inst_RESET_OUT = NODE,*,3,-; +inst_CLK_000_PE = NODE,*,6,-; SM_AMIGA_i_7_ = NODE,*,5,-; -SM_AMIGA_2_ = NODE,*,5,-; +inst_AS_030_D0 = NODE,*,7,-; cpu_est_2_ = NODE,*,3,-; -SM_AMIGA_6_ = NODE,*,2,-; -cpu_est_3_ = NODE,*,3,-; +SM_AMIGA_6_ = NODE,*,5,-; +SM_AMIGA_5_ = NODE,*,5,-; cpu_est_1_ = NODE,*,3,-; +cpu_est_3_ = NODE,*,5,-; SM_AMIGA_0_ = NODE,*,5,-; -inst_CLK_000_D1 = NODE,*,2,-; -inst_BGACK_030_INT_D = NODE,*,4,-; +CYCLE_DMA_0_ = NODE,*,1,-; +inst_CLK_000_D0 = NODE,*,1,-; +inst_CLK_OUT_PRE_D = NODE,*,0,-; +inst_BGACK_030_INT_D = NODE,*,7,-; inst_AS_000_DMA = NODE,*,0,-; -SM_AMIGA_3_ = NODE,*,5,-; +inst_AS_030_000_SYNC = NODE,*,2,-; inst_DS_000_ENABLE = NODE,*,2,-; RN_VMA = NODE,-1,3,-; +SM_AMIGA_1_ = NODE,*,5,-; SM_AMIGA_4_ = NODE,*,5,-; inst_LDS_000_INT = NODE,*,0,-; SIZE_DMA_1_ = NODE,*,6,-; SIZE_DMA_0_ = NODE,*,6,-; +CYCLE_DMA_1_ = NODE,*,2,-; inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,1,-; -inst_CLK_OUT_PRE_25 = NODE,*,6,-; inst_UDS_000_INT = NODE,*,0,-; inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,1,-; -inst_AS_000_INT = NODE,*,1,-; +inst_AS_000_INT = NODE,*,2,-; cpu_est_0_ = NODE,*,3,-; inst_CLK_000_NE_D0 = NODE,*,3,-; -inst_CLK_OUT_PRE_50 = NODE,*,4,-; -inst_CLK_OUT_PRE_D = NODE,*,3,-; -inst_VPA_D = NODE,*,2,-; +inst_CLK_000_NE = NODE,*,6,-; +inst_CLK_000_D1 = NODE,*,4,-; +inst_CLK_OUT_PRE_50 = NODE,*,5,-; +inst_VPA_D = NODE,*,5,-; RN_IPL_030_0_ = NODE,-1,1,-; RN_IPL_030_1_ = NODE,-1,1,-; RN_IPL_030_2_ = NODE,-1,1,-; inst_DS_000_DMA = NODE,*,0,-; inst_CLK_030_H = NODE,*,0,-; -inst_AS_030_000_SYNC = NODE,*,2,-; +SM_AMIGA_3_ = NODE,*,5,-; RN_DSACK1 = NODE,-1,7,-; -RST_DLY_1_ = NODE,*,6,-; -N_210_i = NODE,*,2,-; +SM_AMIGA_2_ = NODE,*,5,-; +RST_DLY_1_ = NODE,*,3,-; +N_317_i = NODE,*,5,-; RN_A0 = NODE,-1,6,-; RN_RW_000 = NODE,-1,7,-; -RST_DLY_0_ = NODE,*,6,-; -CYCLE_DMA_1_ = NODE,*,0,-; +RST_DLY_0_ = NODE,*,3,-; RN_RW = NODE,-1,6,-; RN_BG_000 = NODE,-1,3,-; CIIN_0 = NODE,*,4,-; -RST_DLY_2_ = NODE,*,6,-; -CYCLE_DMA_0_ = NODE,*,0,-; +RST_DLY_2_ = NODE,*,3,-; CLK_000_N_SYNC_10_ = NODE,*,7,-; -CLK_000_N_SYNC_9_ = NODE,*,3,-; -CLK_000_N_SYNC_8_ = NODE,*,1,-; -CLK_000_N_SYNC_7_ = NODE,*,6,-; -CLK_000_N_SYNC_6_ = NODE,*,0,-; -CLK_000_N_SYNC_5_ = NODE,*,0,-; -CLK_000_N_SYNC_4_ = NODE,*,3,-; -CLK_000_N_SYNC_3_ = NODE,*,3,-; -CLK_000_N_SYNC_2_ = NODE,*,5,-; -CLK_000_N_SYNC_1_ = NODE,*,5,-; -CLK_000_N_SYNC_0_ = NODE,*,6,-; +CLK_000_N_SYNC_9_ = NODE,*,0,-; +CLK_000_N_SYNC_8_ = NODE,*,0,-; +CLK_000_N_SYNC_7_ = NODE,*,5,-; +CLK_000_N_SYNC_6_ = NODE,*,6,-; +CLK_000_N_SYNC_5_ = NODE,*,3,-; +CLK_000_N_SYNC_4_ = NODE,*,1,-; +CLK_000_N_SYNC_3_ = NODE,*,1,-; +CLK_000_N_SYNC_2_ = NODE,*,1,-; +CLK_000_N_SYNC_1_ = NODE,*,0,-; +CLK_000_N_SYNC_0_ = NODE,*,4,-; CLK_000_P_SYNC_8_ = NODE,*,6,-; CLK_000_P_SYNC_7_ = NODE,*,1,-; -CLK_000_P_SYNC_6_ = NODE,*,5,-; -CLK_000_P_SYNC_5_ = NODE,*,1,-; -CLK_000_P_SYNC_4_ = NODE,*,4,-; -CLK_000_P_SYNC_3_ = NODE,*,5,-; -CLK_000_P_SYNC_2_ = NODE,*,5,-; +CLK_000_P_SYNC_6_ = NODE,*,0,-; +CLK_000_P_SYNC_5_ = NODE,*,6,-; +CLK_000_P_SYNC_4_ = NODE,*,6,-; +CLK_000_P_SYNC_3_ = NODE,*,4,-; +CLK_000_P_SYNC_2_ = NODE,*,6,-; CLK_000_P_SYNC_1_ = NODE,*,1,-; -CLK_000_P_SYNC_0_ = NODE,*,1,-; +CLK_000_P_SYNC_0_ = NODE,*,4,-; IPL_D0_2_ = NODE,*,1,-; -IPL_D0_1_ = NODE,*,1,-; -IPL_D0_0_ = NODE,*,1,-; +IPL_D0_1_ = NODE,*,3,-; +IPL_D0_0_ = NODE,*,6,-; CLK_000_N_SYNC_11_ = NODE,*,7,-; -CLK_000_P_SYNC_9_ = NODE,*,5,-; -inst_CLK_OUT_EXP_INT = NODE,*,4,-; -inst_DTACK_D0 = NODE,*,0,-; +CLK_000_P_SYNC_9_ = NODE,*,6,-; +inst_DTACK_D0 = NODE,*,1,-; CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index c5fcf75..c6c078d 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 1/24/16; -TIME = 16:20:59; +DATE = 1/25/16; +TIME = 07:24:24; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -139,12 +139,12 @@ Layer = OFF; SIZE_1_ = BIDIR,79, H,-; A_31_ = INPUT,4, B,-; IPL_2_ = INPUT,68, G,-; -FC_1_ = INPUT,58, F,-; IPL_1_ = INPUT,56, F,-; -AS_030 = BIDIR,82, H,-; +FC_1_ = INPUT,58, F,-; IPL_0_ = INPUT,67, G,-; -AS_000 = BIDIR,42, E,-; +AS_030 = BIDIR,82, H,-; FC_0_ = INPUT,57, F,-; +AS_000 = BIDIR,42, E,-; DS_030 = OUTPUT,98, A,-; UDS_000 = BIDIR,32, D,-; LDS_000 = BIDIR,31, D,-; @@ -156,7 +156,7 @@ BGACK_000 = INPUT,28, D,-; CLK_030 = INPUT,64,-,-; CLK_000 = INPUT,11,-,-; CLK_OSZI = INPUT,61,-,-; -CLK_EXP = OUTPUT,10, B,-; +CLK_DIV_OUT = OUTPUT,65, G,-; FPU_CS = OUTPUT,78, H,-; FPU_SENSE = INPUT,91, A,-; DTACK = INPUT,30, D,-; @@ -166,14 +166,14 @@ VPA = INPUT,36,-,-; RST = INPUT,86,-,-; RESET = OUTPUT,3, B,-; AMIGA_ADDR_ENABLE = OUTPUT,33, D,-; -AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; SIZE_0_ = BIDIR,70, G,-; -AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; +AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; A_30_ = INPUT,5, B,-; -AMIGA_BUS_ENABLE_HIGH = OUTPUT,34, D,-; +AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; A_29_ = INPUT,6, B,-; -CIIN = OUTPUT,47, E,-; +AMIGA_BUS_ENABLE_HIGH = OUTPUT,34, D,-; A_28_ = INPUT,15, C,-; +CIIN = OUTPUT,47, E,-; A_27_ = INPUT,16, C,-; A_26_ = INPUT,17, C,-; A_25_ = INPUT,18, C,-; @@ -193,78 +193,76 @@ RW_000 = BIDIR,80, H,-; A0 = BIDIR,69, G,-; BG_000 = OUTPUT,29, D,-; BGACK_030 = OUTPUT,83, H,-; -CLK_DIV_OUT = OUTPUT,65, G,-; +CLK_EXP = OUTPUT,10, B,-; DSACK1 = OUTPUT,81, H,-; VMA = OUTPUT,35, D,-; RW = BIDIR,71, G,-; -cpu_est_0_ = NODE,10, D,-; -cpu_est_1_ = NODE,6, D,-; +N_317_i = NODE,14, F,-; cpu_est_2_ = NODE,13, D,-; -cpu_est_3_ = NODE,2, D,-; -inst_AS_000_INT = NODE,13, B,-; -SM_AMIGA_5_ = NODE,4, F,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,9, B,-; -inst_AS_030_D0 = NODE,13, H,-; -inst_nEXP_SPACE_D0reg = NODE,5, H,-; -inst_AS_030_000_SYNC = NODE,5, C,-; -inst_BGACK_030_INT_D = NODE,8, E,-; -inst_AS_000_DMA = NODE,12, A,-; -inst_DS_000_DMA = NODE,9, A,-; -CYCLE_DMA_0_ = NODE,6, A,-; -CYCLE_DMA_1_ = NODE,2, A,-; -SIZE_DMA_0_ = NODE,2, G,-; -SIZE_DMA_1_ = NODE,13, G,-; -inst_VPA_D = NODE,1, C,-; -inst_UDS_000_INT = NODE,5, A,-; -inst_LDS_000_INT = NODE,1, A,-; -inst_CLK_OUT_PRE_D = NODE,3, D,-; -inst_DTACK_D0 = NODE,3, A,-; -inst_RESET_OUT = NODE,5, G,-; -inst_CLK_OUT_PRE_50 = NODE,5, E,-; -N_210_i = NODE,9, C,-; -inst_CLK_OUT_PRE_25 = NODE,6, G,-; -inst_CLK_000_D1 = NODE,8, C,-; -inst_CLK_000_D0 = NODE,9, D,-; -inst_CLK_000_PE = NODE,0, F,-; -inst_CLK_OUT_EXP_INT = NODE,2, E,-; -CLK_000_P_SYNC_9_ = NODE,3, F,-; -inst_CLK_000_NE = NODE,9, G,-; +cpu_est_3_ = NODE,12, F,-; +cpu_est_0_ = NODE,6, D,-; +cpu_est_1_ = NODE,2, D,-; +inst_AS_000_INT = NODE,1, C,-; +SM_AMIGA_5_ = NODE,8, F,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,2, B,-; +inst_AS_030_D0 = NODE,3, H,-; +inst_nEXP_SPACE_D0reg = NODE,8, A,-; +inst_AS_030_000_SYNC = NODE,4, C,-; +inst_BGACK_030_INT_D = NODE,13, H,-; +inst_AS_000_DMA = NODE,1, A,-; +inst_DS_000_DMA = NODE,13, A,-; +CYCLE_DMA_0_ = NODE,5, B,-; +CYCLE_DMA_1_ = NODE,12, C,-; +SIZE_DMA_0_ = NODE,13, G,-; +SIZE_DMA_1_ = NODE,9, G,-; +inst_VPA_D = NODE,2, F,-; +inst_UDS_000_INT = NODE,9, A,-; +inst_LDS_000_INT = NODE,5, A,-; +inst_CLK_OUT_PRE_D = NODE,12, A,-; +inst_DTACK_D0 = NODE,15, B,-; +inst_RESET_OUT = NODE,9, D,-; +inst_CLK_OUT_PRE_50 = NODE,13, F,-; +inst_CLK_000_D1 = NODE,8, E,-; +inst_CLK_000_D0 = NODE,9, B,-; +inst_CLK_000_PE = NODE,5, G,-; +CLK_000_P_SYNC_9_ = NODE,15, G,-; +inst_CLK_000_NE = NODE,2, G,-; CLK_000_N_SYNC_11_ = NODE,6, H,-; -IPL_D0_0_ = NODE,15, B,-; -IPL_D0_1_ = NODE,11, B,-; -IPL_D0_2_ = NODE,7, B,-; -inst_CLK_000_NE_D0 = NODE,14, D,-; +IPL_D0_0_ = NODE,11, G,-; +IPL_D0_1_ = NODE,15, D,-; +IPL_D0_2_ = NODE,11, B,-; +inst_CLK_000_NE_D0 = NODE,10, D,-; SM_AMIGA_0_ = NODE,1, F,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,5, B,-; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,13, B,-; SM_AMIGA_4_ = NODE,9, F,-; -inst_DS_000_ENABLE = NODE,12, C,-; -RST_DLY_0_ = NODE,14, G,-; -RST_DLY_1_ = NODE,10, G,-; -RST_DLY_2_ = NODE,3, G,-; -CLK_000_P_SYNC_0_ = NODE,3, B,-; -CLK_000_P_SYNC_1_ = NODE,14, B,-; -CLK_000_P_SYNC_2_ = NODE,14, F,-; -CLK_000_P_SYNC_3_ = NODE,10, F,-; -CLK_000_P_SYNC_4_ = NODE,13, E,-; -CLK_000_P_SYNC_5_ = NODE,10, B,-; -CLK_000_P_SYNC_6_ = NODE,6, F,-; -CLK_000_P_SYNC_7_ = NODE,6, B,-; -CLK_000_P_SYNC_8_ = NODE,15, G,-; -CLK_000_N_SYNC_0_ = NODE,11, G,-; -CLK_000_N_SYNC_1_ = NODE,2, F,-; -CLK_000_N_SYNC_2_ = NODE,13, F,-; -CLK_000_N_SYNC_3_ = NODE,15, D,-; -CLK_000_N_SYNC_4_ = NODE,11, D,-; -CLK_000_N_SYNC_5_ = NODE,14, A,-; -CLK_000_N_SYNC_6_ = NODE,10, A,-; -CLK_000_N_SYNC_7_ = NODE,7, G,-; -CLK_000_N_SYNC_8_ = NODE,2, B,-; -CLK_000_N_SYNC_9_ = NODE,7, D,-; +inst_DS_000_ENABLE = NODE,8, C,-; +RST_DLY_0_ = NODE,3, D,-; +RST_DLY_1_ = NODE,14, D,-; +RST_DLY_2_ = NODE,7, D,-; +CLK_000_P_SYNC_0_ = NODE,2, E,-; +CLK_000_P_SYNC_1_ = NODE,7, B,-; +CLK_000_P_SYNC_2_ = NODE,7, G,-; +CLK_000_P_SYNC_3_ = NODE,13, E,-; +CLK_000_P_SYNC_4_ = NODE,3, G,-; +CLK_000_P_SYNC_5_ = NODE,14, G,-; +CLK_000_P_SYNC_6_ = NODE,3, A,-; +CLK_000_P_SYNC_7_ = NODE,3, B,-; +CLK_000_P_SYNC_8_ = NODE,10, G,-; +CLK_000_N_SYNC_0_ = NODE,9, E,-; +CLK_000_N_SYNC_1_ = NODE,14, A,-; +CLK_000_N_SYNC_2_ = NODE,14, B,-; +CLK_000_N_SYNC_3_ = NODE,10, B,-; +CLK_000_N_SYNC_4_ = NODE,6, B,-; +CLK_000_N_SYNC_5_ = NODE,11, D,-; +CLK_000_N_SYNC_6_ = NODE,6, G,-; +CLK_000_N_SYNC_7_ = NODE,3, F,-; +CLK_000_N_SYNC_8_ = NODE,10, A,-; +CLK_000_N_SYNC_9_ = NODE,6, A,-; CLK_000_N_SYNC_10_ = NODE,2, H,-; -SM_AMIGA_6_ = NODE,4, C,-; -inst_CLK_030_H = NODE,13, A,-; -SM_AMIGA_1_ = NODE,8, A,-; -SM_AMIGA_3_ = NODE,5, F,-; -SM_AMIGA_2_ = NODE,12, F,-; -SM_AMIGA_i_7_ = NODE,8, F,-; -CIIN_0 = NODE,9, E,-; +SM_AMIGA_6_ = NODE,4, F,-; +inst_CLK_030_H = NODE,2, A,-; +SM_AMIGA_1_ = NODE,5, F,-; +SM_AMIGA_3_ = NODE,6, F,-; +SM_AMIGA_2_ = NODE,10, F,-; +SM_AMIGA_i_7_ = NODE,0, F,-; +CIIN_0 = NODE,5, E,-; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index de2340b..6a09c87 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 1.8.00.04.29.14 -Design '68030_tk' created Sun Jan 24 16:20:54 2016 +Design '68030_tk' created Mon Jan 25 07:24:19 2016 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index 5ce81bf..7017536 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,280 +1,279 @@ -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 -#$ PINS 75 A_9_ A_8_ SIZE_1_ A_7_ A_6_ A_31_ A_5_ A_4_ IPL_030_2_ A_3_ A_2_ IPL_2_ IPL_030_1_ IPL_030_0_ FC_1_ IPL_1_ AS_030 IPL_0_ AS_000 FC_0_ RW_000 DS_030 UDS_000 LDS_000 A0 A1 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ AMIGA_BUS_ENABLE_LOW A_30_ AMIGA_BUS_ENABLE_HIGH A_29_ CIIN A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_15_ A_14_ A_13_ A_12_ A_11_ A_10_ -#$ NODES 681 N_184 N_184_0 rw_000_dma_0_un1_n N_180 N_185_0 rw_000_dma_0_un0_n N_179 UDS_000_c_i a0_dma_0_un3_n pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 \ -# LDS_000_c_i a0_dma_0_un1_n N_312 N_173_i a0_dma_0_un0_n N_270 N_358_0 amiga_bus_enable_dma_low_0_un3_n inst_BGACK_030_INTreg N_357 \ -# N_239_i amiga_bus_enable_dma_low_0_un1_n inst_CLK_OUT_INTreg N_354 pos_clk_size_dma_6_0_1__n amiga_bus_enable_dma_low_0_un0_n vcc_n_n N_227 N_238_i amiga_bus_enable_dma_high_0_un3_n \ -# un5_e N_378 pos_clk_size_dma_6_0_0__n amiga_bus_enable_dma_high_0_un1_n inst_VMA_INTreg N_29 N_237_i amiga_bus_enable_dma_high_0_un0_n gnd_n_n N_28 \ -# N_236_i cpu_est_0_2__un3_n un1_amiga_bus_enable_low N_3 AMIGA_BUS_DATA_DIR_c_0 cpu_est_0_2__un1_n un3_size N_5 N_331_i cpu_est_0_2__un0_n \ -# un4_size N_7 pos_clk_un6_bgack_000_0_n cpu_est_0_3__un3_n un4_uds_000 N_190_i N_356_0 cpu_est_0_3__un1_n un4_lds_000 un1_amiga_bus_enable_low_i \ -# N_352_0 cpu_est_0_3__un0_n un5_ciin un21_fpu_cs_i N_8_i ipl_030_0_0__un3_n un4_as_000 CLK_OUT_EXP_INT_i N_46_0 ipl_030_0_0__un1_n \ -# un1_SM_AMIGA_5 AS_000_i N_10_i ipl_030_0_0__un0_n un21_fpu_cs DS_000_DMA_i N_44_0 rw_000_int_0_un3_n un22_berr sm_amiga_i_5__n \ -# N_19_i rw_000_int_0_un1_n un6_ds_030 sm_amiga_i_6__n N_41_0 rw_000_int_0_un0_n cpu_est_0_ sm_amiga_i_0__n N_20_i uds_000_int_0_un3_n \ -# cpu_est_1_ CLK_000_NE_i N_40_0 uds_000_int_0_un1_n cpu_est_2_ sm_amiga_i_4__n N_24_i uds_000_int_0_un0_n cpu_est_3_ RW_000_i \ -# N_36_0 vma_int_0_un3_n inst_AS_000_INT sm_amiga_i_2__n N_25_i vma_int_0_un1_n SM_AMIGA_5_ CLK_000_D0_i N_35_0 vma_int_0_un0_n \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW BERR_i bg_000_0_un3_n inst_AS_030_D0 sm_amiga_i_1__n N_198_i bg_000_0_un1_n inst_nEXP_SPACE_D0reg CLK_000_PE_i N_243_2_i \ -# bg_000_0_un0_n inst_AS_030_000_SYNC N_410_i_0 N_196_i cpu_est_0_1__un3_n inst_BGACK_030_INT_D sm_amiga_i_i_7__n N_195_i cpu_est_0_1__un1_n inst_AS_000_DMA \ -# AS_030_i cpu_est_0_1__un0_n inst_DS_000_DMA FPU_SENSE_i N_201_i dsack1_int_0_un3_n CYCLE_DMA_0_ nEXP_SPACE_D0_i N_200_i dsack1_int_0_un1_n \ -# CYCLE_DMA_1_ BGACK_030_INT_i N_199_i dsack1_int_0_un0_n SIZE_DMA_0_ AMIGA_BUS_ENABLE_DMA_HIGH_i N_182_0 ds_000_enable_0_un3_n SIZE_DMA_1_ A1_i \ -# N_158_i ds_000_enable_0_un1_n inst_VPA_D CLK_030_H_i N_148_i ds_000_enable_0_un0_n inst_UDS_000_INT a_i_16__n N_307_i lds_000_int_0_un3_n \ -# inst_LDS_000_INT a_i_18__n N_143_0 lds_000_int_0_un1_n inst_CLK_OUT_PRE_D a_i_19__n N_217_i lds_000_int_0_un0_n inst_DTACK_D0 N_114_i \ -# N_235_i a_15__n inst_RESET_OUT N_113_i inst_CLK_OUT_PRE_50 AS_000_INT_i N_210_i a_14__n inst_CLK_OUT_PRE_25 AMIGA_BUS_ENABLE_DMA_LOW_i \ -# inst_CLK_000_D1 rst_dly_i_2__n N_207_i a_13__n inst_CLK_000_D0 rst_dly_i_0__n N_208_i inst_CLK_000_PE rst_dly_i_1__n N_206_i \ -# a_12__n inst_CLK_OUT_EXP_INT RESET_OUT_i CLK_000_P_SYNC_9_ size_dma_i_1__n N_313_i a_11__n inst_CLK_000_NE size_dma_i_0__n N_211_i \ -# CLK_000_N_SYNC_11_ AS_030_D0_i N_212_i a_10__n IPL_D0_0_ a_i_24__n N_183_0 IPL_D0_1_ sm_amiga_i_3__n N_181_0 \ -# a_9__n IPL_D0_2_ cpu_est_i_3__n N_178_0 inst_CLK_000_NE_D0 cpu_est_i_0__n N_69_0 a_8__n SM_AMIGA_0_ VPA_D_i \ -# N_329_i inst_AMIGA_BUS_ENABLE_DMA_HIGH cpu_est_i_1__n N_176_i a_7__n inst_DSACK1_INTreg CLK_030_i N_175_0 pos_clk_ipl_n CLK_000_D1_i \ -# N_174_0 a_6__n SM_AMIGA_4_ cpu_est_i_2__n N_171_0 inst_DS_000_ENABLE DTACK_D0_i un1_SM_AMIGA_5_i a_5__n RST_DLY_0_ \ -# RW_i N_324_i RST_DLY_1_ a_i_31__n N_326_i a_4__n RST_DLY_2_ a_i_29__n N_168_i pos_clk_un8_bg_030_n \ -# a_i_30__n VMA_INT_i a_3__n CLK_000_P_SYNC_0_ a_i_27__n N_165_i CLK_000_P_SYNC_1_ a_i_28__n N_164_i a_2__n \ -# CLK_000_P_SYNC_2_ a_i_25__n N_162_i CLK_000_P_SYNC_3_ a_i_26__n clk_000_n_sync_i_10__n CLK_000_P_SYNC_4_ N_213_i N_321_i CLK_000_P_SYNC_5_ \ -# N_214_i N_159_0 CLK_000_P_SYNC_6_ N_215_i N_318_i CLK_000_P_SYNC_7_ N_156_i CLK_000_P_SYNC_8_ DS_000_ENABLE_1_sqmuxa_i N_155_i \ -# CLK_000_N_SYNC_0_ N_98_i N_154_i CLK_000_N_SYNC_1_ un6_ds_030_i CLK_OUT_PRE_D_i CLK_000_N_SYNC_2_ un4_as_000_i N_152_0 CLK_000_N_SYNC_3_ \ -# un4_lds_000_i N_150_i CLK_000_N_SYNC_4_ un4_uds_000_i AS_030_000_SYNC_i CLK_000_N_SYNC_5_ LDS_000_INT_i N_147_i CLK_000_N_SYNC_6_ UDS_000_INT_i \ -# N_145_i CLK_000_N_SYNC_7_ AS_030_c N_281_i CLK_000_N_SYNC_8_ N_302_i CLK_000_N_SYNC_9_ AS_000_c CLK_000_N_SYNC_10_ N_279_i \ -# inst_RW_000_INT RW_000_c N_280_i inst_RW_000_DMA un5_e_0 pos_clk_un7_clk_000_pe_n N_278_i inst_A0_DMA UDS_000_c cpu_est_2_0_3__n \ -# SM_AMIGA_6_ N_277_i DS_000_ENABLE_1_sqmuxa LDS_000_c N_348_i inst_CLK_030_H cpu_est_2_0_2__n SM_AMIGA_1_ size_c_0__n N_128_i \ -# SM_AMIGA_3_ N_193_i SM_AMIGA_2_ size_c_1__n N_241_i pos_clk_un3_as_030_d0_n DS_000_ENABLE_1_sqmuxa_1 N_240_i N_4 N_124_0 \ -# N_6 N_269_0 un5_ciin_i N_61_0 un1_as_030_i N_17 N_228_i N_18 N_355_0 N_21 \ -# N_226_i N_22 N_26 N_224_i N_27 N_225_i CLK_OUT_PRE_25_0 N_282_0 N_221_i N_222_i \ -# N_219_i N_220_i N_283_0 N_216_i N_218_i cpu_est_2_0_1__n N_373_i N_375_i pos_clk_un7_clk_000_pe_0_n N_188_i \ -# a_c_16__n N_205_i a_c_17__n pos_clk_un8_sm_amiga_i_n A0_c_i a_c_18__n size_c_i_1__n N_27_i a_c_19__n N_31_0 \ -# ipl_c_i_0__n a_c_20__n N_52_0 N_4_i a_c_21__n N_49_0 N_17_i SM_AMIGA_i_7_ a_c_22__n N_43_0 \ -# N_124 N_18_i cpu_est_2_1__n a_c_23__n N_42_0 cpu_est_2_2__n N_21_i cpu_est_2_3__n a_c_24__n N_39_0 \ -# G_134 N_22_i G_135 a_c_25__n N_38_0 G_136 N_26_i N_269 a_c_26__n N_34_0 \ -# N_61 BG_030_c_i a_c_27__n pos_clk_un8_bg_030_0_n N_98 N_161_i_1 a_c_28__n N_161_i_2 pos_clk_un8_sm_amiga_i_1_n N_355 \ -# a_c_29__n N_324_1 N_324_2 N_128 a_c_30__n N_150_i_1 N_137 un1_SM_AMIGA_5_i_1 N_145 a_c_31__n \ -# un1_SM_AMIGA_5_i_2 N_148 N_138_i_1 N_150 A0_c N_138_i_2 N_152 N_146_i_1 N_154 A1_c \ -# N_146_i_2 N_156 N_146_i_3 N_159 nEXP_SPACE_c N_220_1 N_161 N_220_2 N_165 BERR_c \ -# N_375_1 N_168 N_375_2 N_171 BG_030_c N_373_1 N_174 N_373_2 N_175 BG_000DFFreg \ -# N_210_1 N_178 N_210_2 N_181 N_210_3 N_183 BGACK_000_c un5_ciin_1 N_188 un5_ciin_2 \ -# N_190 CLK_030_c un5_ciin_3 N_193 un5_ciin_4 N_195 un5_ciin_5 N_200 un5_ciin_6 N_205 \ -# CLK_OSZI_c un5_ciin_7 N_206 un5_ciin_8 N_207 un5_ciin_9 N_208 un5_ciin_10 N_210 un5_ciin_11 \ -# N_211 FPU_SENSE_c N_302_1 N_212 N_244_i_1 N_373 IPL_030DFF_0_reg N_244_i_2 N_375 N_243_i_1 \ -# N_216 IPL_030DFF_1_reg N_410_1 N_218 N_410_2 N_219 IPL_030DFF_2_reg N_410_3 N_220 N_410_4 \ -# N_221 ipl_c_0__n N_237_1 N_222 N_237_2 N_224 ipl_c_1__n un21_fpu_cs_1 N_225 un22_berr_1_0 \ -# N_226 ipl_c_2__n N_233_1 N_228 N_233_2 N_230 N_245_i_1 N_231 DTACK_c N_128_i_1 \ -# N_240 N_134_i_1 N_241 N_124_0_1 N_277 N_267_i_1 N_278 VPA_c N_268_i_1 N_279 \ -# N_355_0_1 N_280 N_353_i_1 N_281 RST_c N_140_i_1 N_302 N_142_i_1 N_313 N_280_1 \ -# N_318 RW_c N_225_1 N_321 N_224_1 N_324 fc_c_0__n N_219_1 N_326 N_218_1 \ -# N_329 fc_c_1__n N_212_1 N_332 N_208_1 N_348 N_207_1 cpu_est_0_0_x2_0_x2_0_ AMIGA_BUS_DATA_DIR_c N_200_1 \ -# pos_clk_CYCLE_DMA_5_0_i_0_x2 N_195_1 pos_clk_CYCLE_DMA_5_1_i_0_x2 pos_clk_ipl_1_n N_235 ipl_030_0_1__un3_n N_196 ipl_030_0_1__un1_n N_143 N_7_i \ -# ipl_030_0_1__un0_n N_158 N_47_0 as_030_000_sync_0_un3_n N_198 N_5_i as_030_000_sync_0_un1_n N_199 N_48_0 as_030_000_sync_0_un0_n \ -# N_307 N_3_i as_000_int_0_un3_n N_201 N_50_0 as_000_int_0_un1_n N_182 nEXP_SPACE_c_i as_000_int_0_un0_n N_243_2 \ -# N_55_0 ds_000_dma_0_un3_n N_8 VPA_c_i ds_000_dma_0_un1_n N_356 N_56_0 ds_000_dma_0_un0_n N_10 DTACK_c_i \ -# ipl_030_0_2__un3_n pos_clk_un6_bgack_000_n N_57_0 ipl_030_0_2__un1_n N_19 ipl_c_i_1__n ipl_030_0_2__un0_n N_352 N_53_0 un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n \ -# N_327 ipl_c_i_2__n un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n N_20 N_54_0 un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n pos_clk_a0_dma_3_n N_28_i sm_amiga_srsts_i_0_0_m3_1__un3_n N_24 \ -# N_32_0 sm_amiga_srsts_i_0_0_m3_1__un1_n N_113 N_29_i sm_amiga_srsts_i_0_0_m3_1__un0_n N_25 N_33_0 sm_amiga_srsts_i_0_0_m3_5__un3_n N_114 N_378_i \ -# sm_amiga_srsts_i_0_0_m3_5__un1_n pos_clk_size_dma_6_0__n sm_amiga_srsts_i_0_0_m3_5__un0_n N_232 size_dma_0_0__un3_n pos_clk_size_dma_6_1__n N_227_i size_dma_0_0__un1_n N_410 N_354_0 \ -# size_dma_0_0__un0_n N_185 N_233_i size_dma_0_1__un3_n N_236 N_357_0 size_dma_0_1__un1_n N_238 N_270_0 size_dma_0_1__un0_n \ -# N_173 AS_000_DMA_i as_000_dma_0_un3_n N_239 N_137_0 as_000_dma_0_un1_n N_331 N_312_i as_000_dma_0_un0_n N_237 \ -# pos_clk_un3_as_030_d0_i_n bgack_030_int_0_un3_n un22_berr_1 N_161_i bgack_030_int_0_un1_n N_233 N_179_0 bgack_030_int_0_un0_n N_209 N_180_0 \ -# rw_000_dma_0_un3_n +#$ PINS 75 A_8_ A_7_ SIZE_1_ A_6_ A_5_ A_31_ A_4_ A_3_ IPL_030_2_ A_2_ IPL_030_1_ IPL_2_ IPL_030_0_ IPL_1_ FC_1_ IPL_0_ AS_030 FC_0_ AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 A1 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE SIZE_0_ AMIGA_BUS_DATA_DIR A_30_ AMIGA_BUS_ENABLE_LOW A_29_ AMIGA_BUS_ENABLE_HIGH A_28_ CIIN A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_15_ A_14_ A_13_ A_12_ A_11_ A_10_ A_9_ +#$ NODES 680 N_280 N_210_0 cpu_est_0_1__un0_n N_279 N_289_0 cpu_est_0_2__un3_n N_271 N_218_0 cpu_est_0_2__un1_n N_272 \ +# cpu_est_0_2__un0_n N_276 N_242_i cpu_est_0_3__un3_n DS_000_ENABLE_1_sqmuxa_1 N_246_i cpu_est_0_3__un1_n inst_BGACK_030_INTreg N_4 N_240_i \ +# cpu_est_0_3__un0_n vcc_n_n N_5 N_241_i ipl_030_0_0__un3_n inst_VMA_INTreg N_7 ipl_030_0_0__un1_n gnd_n_n N_10 \ +# N_266_i ipl_030_0_0__un0_n un1_amiga_bus_enable_low N_18 N_267_i ipl_030_0_1__un3_n un3_size N_24 N_254_i ipl_030_0_1__un1_n \ +# un4_size N_6 N_317_i ipl_030_0_1__un0_n un4_uds_000 un1_amiga_bus_enable_low_i ipl_030_0_2__un3_n un4_lds_000 un21_fpu_cs_i N_313_i \ +# ipl_030_0_2__un1_n un5_ciin BGACK_030_INT_i N_316_i ipl_030_0_2__un0_n un4_as_000 AMIGA_BUS_ENABLE_DMA_LOW_i N_312_i a0_dma_0_un3_n un21_fpu_cs \ +# UDS_000_INT_i a0_dma_0_un1_n un22_berr LDS_000_INT_i N_347_i a0_dma_0_un0_n un6_ds_030 N_236_i N_323_i uds_000_int_0_un3_n \ +# cpu_est_2_ sm_amiga_i_5__n N_324_i uds_000_int_0_un1_n cpu_est_3_ DS_000_ENABLE_1_sqmuxa_i N_222_i uds_000_int_0_un0_n cpu_est_0_ N_276_i \ +# AS_000_DMA_i vma_int_0_un3_n cpu_est_1_ RST_i N_221_0 vma_int_0_un1_n inst_AS_000_INT a_i_19__n N_220_0 vma_int_0_un0_n \ +# SM_AMIGA_5_ a_i_18__n N_219_0 amiga_bus_enable_dma_high_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW size_dma_i_1__n N_216_0 amiga_bus_enable_dma_high_0_un1_n inst_AS_030_D0 size_dma_i_0__n \ +# N_290_0 amiga_bus_enable_dma_high_0_un0_n inst_nEXP_SPACE_D0reg RW_i N_212_0 bg_000_0_un3_n inst_AS_030_000_SYNC CLK_000_PE_i N_211_0 bg_000_0_un1_n \ +# inst_BGACK_030_INT_D a_i_16__n N_204_0 bg_000_0_un0_n inst_AS_000_DMA RESET_OUT_i N_351_i ds_000_dma_0_un3_n inst_DS_000_DMA BERR_i \ +# N_353_i ds_000_dma_0_un1_n CYCLE_DMA_0_ sm_amiga_i_i_7__n N_201_i ds_000_dma_0_un0_n CYCLE_DMA_1_ nEXP_SPACE_D0_i VMA_INT_i as_000_dma_0_un3_n \ +# SIZE_DMA_0_ sm_amiga_i_4__n N_197_i as_000_dma_0_un1_n SIZE_DMA_1_ FPU_SENSE_i N_196_i as_000_dma_0_un0_n inst_VPA_D AS_030_i \ +# N_193_i lds_000_int_0_un3_n inst_UDS_000_INT AS_030_D0_i N_192_0 lds_000_int_0_un1_n inst_LDS_000_INT a_i_24__n clk_000_n_sync_i_10__n lds_000_int_0_un0_n \ +# inst_CLK_OUT_PRE_D sm_amiga_i_3__n N_350_i rw_000_dma_0_un3_n inst_DTACK_D0 cpu_est_i_0__n N_188_0 rw_000_dma_0_un1_n inst_RESET_OUT cpu_est_i_3__n \ +# N_187_i rw_000_dma_0_un0_n inst_CLK_OUT_PRE_50 cpu_est_i_2__n N_185_i a_15__n inst_CLK_000_D1 cpu_est_i_1__n N_182_i inst_CLK_000_D0 \ +# VPA_D_i N_181_i a_14__n inst_CLK_000_PE CLK_000_NE_i CLK_OUT_PRE_D_i CLK_000_P_SYNC_9_ sm_amiga_i_1__n N_175_0 a_13__n \ +# inst_CLK_000_NE rst_dly_i_2__n N_168_i CLK_000_N_SYNC_11_ CLK_030_i AS_030_000_SYNC_i a_12__n IPL_D0_0_ rst_dly_i_0__n N_158_i \ +# IPL_D0_1_ rst_dly_i_1__n CLK_000_D0_i a_11__n IPL_D0_2_ CLK_000_D1_i N_148_i inst_CLK_000_NE_D0 DTACK_D0_i N_345_i \ +# a_10__n pos_clk_un6_bg_030_n RW_000_i N_344_i SM_AMIGA_0_ CLK_030_H_i N_144_0 a_9__n inst_AMIGA_BUS_ENABLE_DMA_HIGH sm_amiga_i_6__n \ +# N_138_0 inst_DSACK1_INTreg sm_amiga_i_2__n a_8__n AS_000_i N_342_i pos_clk_ipl_n sm_amiga_i_0__n N_343_i a_7__n \ +# SM_AMIGA_4_ A1_i N_124_0 inst_DS_000_ENABLE a_i_31__n N_341_i a_6__n RST_DLY_0_ a_i_29__n N_119_0 \ +# RST_DLY_1_ a_i_30__n N_340_i a_5__n RST_DLY_2_ a_i_27__n N_361_i pos_clk_un8_bg_030_n a_i_28__n cpu_est_2_0_2__n \ +# a_4__n CLK_000_P_SYNC_0_ a_i_25__n N_338_i CLK_000_P_SYNC_1_ a_i_26__n N_339_i a_3__n CLK_000_P_SYNC_2_ N_213_i \ +# cpu_est_2_0_1__n CLK_000_P_SYNC_3_ N_214_i N_332_i a_2__n CLK_000_P_SYNC_4_ N_215_i N_336_i CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_pe_0_n \ +# CLK_000_P_SYNC_6_ N_275_i N_99_0 CLK_000_P_SYNC_7_ un6_ds_030_i N_331_i CLK_000_P_SYNC_8_ DS_000_DMA_i N_96_0 CLK_000_N_SYNC_0_ \ +# un4_as_000_i N_330_i CLK_000_N_SYNC_1_ AS_000_INT_i N_90_0 CLK_000_N_SYNC_2_ un4_lds_000_i N_328_i CLK_000_N_SYNC_3_ un4_uds_000_i \ +# CLK_000_N_SYNC_4_ AS_030_c N_80_0 CLK_000_N_SYNC_5_ N_325_i CLK_000_N_SYNC_6_ AS_000_c N_326_i CLK_000_N_SYNC_7_ N_258_0 \ +# CLK_000_N_SYNC_8_ RW_000_c N_217_i CLK_000_N_SYNC_9_ N_321_i CLK_000_N_SYNC_10_ N_322_i inst_RW_000_INT UDS_000_c inst_RW_000_DMA \ +# N_320_i pos_clk_un7_clk_000_pe_n LDS_000_c inst_A0_DMA un5_ciin_i pos_clk_a0_dma_3_n size_c_0__n N_61_0 SM_AMIGA_6_ N_310_i \ +# inst_CLK_030_H size_c_1__n SM_AMIGA_1_ N_305_i SM_AMIGA_3_ N_307_i SM_AMIGA_2_ N_3 N_303_i N_8 \ +# N_304_i N_283_0 N_301_i N_300_i N_123_0 N_17 N_278_i N_19 N_297_i N_20 \ +# AMIGA_BUS_DATA_DIR_c_0 N_21 N_277_i N_22 N_25 N_26 pos_clk_un8_sm_amiga_i_n N_27 A0_c_i N_28 \ +# size_c_i_1__n N_29 N_29_i N_32_0 N_28_i N_31_0 N_27_i N_30_0 ipl_c_i_2__n N_53_0 \ +# ipl_c_i_1__n N_52_0 a_c_16__n ipl_c_i_0__n N_51_0 a_c_17__n DTACK_c_i N_56_0 a_c_18__n VPA_c_i \ +# N_55_0 a_c_19__n nEXP_SPACE_c_i N_54_0 a_c_20__n N_3_i N_49_0 a_c_21__n N_8_i N_45_0 \ +# a_c_22__n N_17_i N_42_0 a_c_23__n N_19_i N_40_0 SM_AMIGA_i_7_ a_c_24__n N_20_i N_123 \ +# N_39_0 cpu_est_2_1__n a_c_25__n N_21_i cpu_est_2_2__n N_38_0 N_209 a_c_26__n N_22_i G_134 \ +# N_37_0 G_135 a_c_27__n N_25_i G_136 N_34_0 N_217 a_c_28__n N_26_i N_33_0 \ +# N_61 a_c_29__n BG_030_c_i N_127 pos_clk_un6_bg_030_i_n a_c_30__n pos_clk_un8_bg_030_0_n N_80 N_289_0_1 a_c_31__n \ +# un1_SM_AMIGA_5_i_1 N_90 un1_SM_AMIGA_5_i_2 N_96 A0_c pos_clk_un8_sm_amiga_i_1_n N_99 N_351_1 N_119 A1_c \ +# N_351_2 N_124 N_168_i_1 N_138 nEXP_SPACE_c N_192_0_1 N_144 N_192_0_2 N_158 BERR_c \ +# N_137_i_1 N_168 N_137_i_2 N_175 BG_030_c N_145_i_1 N_182 N_145_i_2 N_185 BG_000DFFreg \ +# N_145_i_3 N_187 N_260_i_1 N_188 N_260_i_2 N_192 BGACK_000_c N_259_i_1 N_193 N_259_i_2 \ +# N_197 CLK_030_c N_336_1 N_201 N_336_2 N_204 N_332_1 N_206 N_332_2 N_207 \ +# CLK_OSZI_c N_332_3 N_317_1 N_211 N_317_2 N_212 CLK_OUT_INTreg N_317_3 N_290 N_304_1 \ +# N_216 N_304_2 N_219 FPU_SENSE_c un5_ciin_1 N_220 un5_ciin_2 N_221 IPL_030DFF_0_reg un5_ciin_3 \ +# N_227 un5_ciin_4 N_229 IPL_030DFF_1_reg un5_ciin_5 N_230 un5_ciin_6 N_236 IPL_030DFF_2_reg un5_ciin_7 \ +# N_240 un5_ciin_8 N_241 ipl_c_0__n un5_ciin_9 N_242 un5_ciin_10 N_246 ipl_c_1__n un5_ciin_11 \ +# N_254 un22_berr_1_0 N_266 ipl_c_2__n un21_fpu_cs_1 N_267 N_375_1 N_275 N_375_2 N_277 \ +# DTACK_c N_375_3 N_278 N_375_4 N_297 N_134_i_1 N_300 N_96_0_1 N_301 VPA_c \ +# N_83_i_1 N_303 N_261_i_1 N_304 N_141_i_1 N_305 RST_c N_139_i_1 N_307 N_133_i_1 \ +# N_310 N_123_0_1 N_312 RW_c N_282_i_1 N_313 N_343_1 N_316 fc_c_0__n N_339_1 \ +# N_317 pos_clk_un6_bg_030_1_n N_320 fc_c_1__n N_326_1 N_321 N_325_1 N_322 N_324_1 N_323 \ +# AMIGA_BUS_DATA_DIR_c N_316_1 N_324 N_313_1 N_325 N_303_1 N_326 N_297_1 N_328 N_266_1 \ +# N_330 N_24_i N_240_1 N_331 N_35_0 pos_clk_ipl_1_n N_332 N_18_i amiga_bus_enable_dma_low_0_un3_n N_336 \ +# N_41_0 amiga_bus_enable_dma_low_0_un1_n N_338 N_10_i amiga_bus_enable_dma_low_0_un0_n N_339 N_43_0 rw_000_int_0_un3_n N_340 N_7_i \ +# rw_000_int_0_un1_n N_341 N_46_0 rw_000_int_0_un0_n N_342 N_5_i bgack_030_int_0_un3_n N_343 N_47_0 bgack_030_int_0_un1_n \ +# N_344 N_4_i bgack_030_int_0_un0_n N_345 N_48_0 as_030_000_sync_0_un3_n N_347 N_272_i as_030_000_sync_0_un1_n N_350 \ +# N_271_i as_030_000_sync_0_un0_n N_351 N_279_0 ds_000_enable_0_un3_n N_353 N_280_0 ds_000_enable_0_un1_n N_361 N_281_0 \ +# ds_000_enable_0_un0_n pos_clk_un24_bgack_030_int_i_i_a4_i_x2 N_298_i as_000_int_0_un3_n pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_size_dma_6_0_0__n as_000_int_0_un1_n cpu_est_0_0_x2_0_ N_299_i as_000_int_0_un0_n \ +# pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_size_dma_6_0_1__n dsack1_int_0_un3_n un22_berr_1 un1_as_000_i dsack1_int_0_un1_n N_375 N_358_i dsack1_int_0_un0_n N_218 \ +# pos_clk_un6_bgack_000_0_n size_dma_0_1__un3_n N_156 N_284_i size_dma_0_1__un1_n N_289 N_285_i size_dma_0_1__un0_n N_354 N_286_0 \ +# size_dma_0_0__un3_n N_205 N_88_0 size_dma_0_0__un1_n un1_SM_AMIGA_5 pos_clk_un3_as_030_d0_i_n size_dma_0_0__un0_n DS_000_ENABLE_1_sqmuxa N_156_i sm_amiga_srsts_i_0_m2_1__un3_n \ +# N_349 N_349_i sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_un3_as_030_d0_n N_194_i sm_amiga_srsts_i_0_m2_1__un0_n N_286 un1_SM_AMIGA_5_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n pos_clk_un6_bgack_000_n \ +# UDS_000_c_i un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_358 LDS_000_c_i un1_amiga_bus_enable_dma_high_i_m2_0__un0_n pos_clk_size_dma_6_1__n N_205_i sm_amiga_srsts_i_0_m2_5__un3_n N_299 N_206_0 \ +# sm_amiga_srsts_i_0_m2_5__un1_n pos_clk_size_dma_6_0__n N_207_0 sm_amiga_srsts_i_0_m2_5__un0_n N_298 N_354_i cpu_est_0_1__un3_n N_281 N_208_0 cpu_est_0_1__un1_n \ +# .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ CLK_OSZI.BLIF FPU_SENSE.BLIF DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF \ A_8_.BLIF A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ - FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_184.BLIF N_184_0.BLIF rw_000_dma_0_un1_n.BLIF N_180.BLIF N_185_0.BLIF rw_000_dma_0_un0_n.BLIF N_179.BLIF UDS_000_c_i.BLIF \ - a0_dma_0_un3_n.BLIF pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.BLIF LDS_000_c_i.BLIF a0_dma_0_un1_n.BLIF N_312.BLIF N_173_i.BLIF a0_dma_0_un0_n.BLIF N_270.BLIF N_358_0.BLIF \ - amiga_bus_enable_dma_low_0_un3_n.BLIF inst_BGACK_030_INTreg.BLIF N_357.BLIF N_239_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF inst_CLK_OUT_INTreg.BLIF N_354.BLIF pos_clk_size_dma_6_0_1__n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF \ - vcc_n_n.BLIF N_227.BLIF N_238_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF un5_e.BLIF N_378.BLIF pos_clk_size_dma_6_0_0__n.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF inst_VMA_INTreg.BLIF \ - N_29.BLIF N_237_i.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF gnd_n_n.BLIF N_28.BLIF N_236_i.BLIF cpu_est_0_2__un3_n.BLIF un1_amiga_bus_enable_low.BLIF N_3.BLIF \ - AMIGA_BUS_DATA_DIR_c_0.BLIF cpu_est_0_2__un1_n.BLIF un3_size.BLIF N_5.BLIF N_331_i.BLIF cpu_est_0_2__un0_n.BLIF un4_size.BLIF N_7.BLIF pos_clk_un6_bgack_000_0_n.BLIF \ - cpu_est_0_3__un3_n.BLIF un4_uds_000.BLIF N_190_i.BLIF N_356_0.BLIF cpu_est_0_3__un1_n.BLIF un4_lds_000.BLIF un1_amiga_bus_enable_low_i.BLIF N_352_0.BLIF cpu_est_0_3__un0_n.BLIF \ - un5_ciin.BLIF un21_fpu_cs_i.BLIF N_8_i.BLIF ipl_030_0_0__un3_n.BLIF un4_as_000.BLIF CLK_OUT_EXP_INT_i.BLIF N_46_0.BLIF ipl_030_0_0__un1_n.BLIF un1_SM_AMIGA_5.BLIF \ - AS_000_i.BLIF N_10_i.BLIF ipl_030_0_0__un0_n.BLIF un21_fpu_cs.BLIF DS_000_DMA_i.BLIF N_44_0.BLIF rw_000_int_0_un3_n.BLIF un22_berr.BLIF sm_amiga_i_5__n.BLIF \ - N_19_i.BLIF rw_000_int_0_un1_n.BLIF un6_ds_030.BLIF sm_amiga_i_6__n.BLIF N_41_0.BLIF rw_000_int_0_un0_n.BLIF cpu_est_0_.BLIF sm_amiga_i_0__n.BLIF N_20_i.BLIF \ - uds_000_int_0_un3_n.BLIF cpu_est_1_.BLIF CLK_000_NE_i.BLIF N_40_0.BLIF uds_000_int_0_un1_n.BLIF cpu_est_2_.BLIF sm_amiga_i_4__n.BLIF N_24_i.BLIF uds_000_int_0_un0_n.BLIF \ - cpu_est_3_.BLIF RW_000_i.BLIF N_36_0.BLIF vma_int_0_un3_n.BLIF inst_AS_000_INT.BLIF sm_amiga_i_2__n.BLIF N_25_i.BLIF vma_int_0_un1_n.BLIF SM_AMIGA_5_.BLIF \ - CLK_000_D0_i.BLIF N_35_0.BLIF vma_int_0_un0_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF BERR_i.BLIF bg_000_0_un3_n.BLIF inst_AS_030_D0.BLIF sm_amiga_i_1__n.BLIF N_198_i.BLIF \ - bg_000_0_un1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF CLK_000_PE_i.BLIF N_243_2_i.BLIF bg_000_0_un0_n.BLIF inst_AS_030_000_SYNC.BLIF N_410_i_0.BLIF N_196_i.BLIF cpu_est_0_1__un3_n.BLIF \ - inst_BGACK_030_INT_D.BLIF sm_amiga_i_i_7__n.BLIF N_195_i.BLIF cpu_est_0_1__un1_n.BLIF inst_AS_000_DMA.BLIF AS_030_i.BLIF cpu_est_0_1__un0_n.BLIF inst_DS_000_DMA.BLIF FPU_SENSE_i.BLIF \ - N_201_i.BLIF dsack1_int_0_un3_n.BLIF CYCLE_DMA_0_.BLIF nEXP_SPACE_D0_i.BLIF N_200_i.BLIF dsack1_int_0_un1_n.BLIF CYCLE_DMA_1_.BLIF BGACK_030_INT_i.BLIF N_199_i.BLIF \ - dsack1_int_0_un0_n.BLIF SIZE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF N_182_0.BLIF ds_000_enable_0_un3_n.BLIF SIZE_DMA_1_.BLIF A1_i.BLIF N_158_i.BLIF ds_000_enable_0_un1_n.BLIF \ - inst_VPA_D.BLIF CLK_030_H_i.BLIF N_148_i.BLIF ds_000_enable_0_un0_n.BLIF inst_UDS_000_INT.BLIF a_i_16__n.BLIF N_307_i.BLIF lds_000_int_0_un3_n.BLIF inst_LDS_000_INT.BLIF \ - a_i_18__n.BLIF N_143_0.BLIF lds_000_int_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_19__n.BLIF N_217_i.BLIF lds_000_int_0_un0_n.BLIF inst_DTACK_D0.BLIF N_114_i.BLIF \ - N_235_i.BLIF a_15__n.BLIF inst_RESET_OUT.BLIF N_113_i.BLIF inst_CLK_OUT_PRE_50.BLIF AS_000_INT_i.BLIF N_210_i.BLIF a_14__n.BLIF inst_CLK_OUT_PRE_25.BLIF \ - AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF inst_CLK_000_D1.BLIF rst_dly_i_2__n.BLIF N_207_i.BLIF a_13__n.BLIF inst_CLK_000_D0.BLIF rst_dly_i_0__n.BLIF N_208_i.BLIF inst_CLK_000_PE.BLIF \ - rst_dly_i_1__n.BLIF N_206_i.BLIF a_12__n.BLIF inst_CLK_OUT_EXP_INT.BLIF RESET_OUT_i.BLIF CLK_000_P_SYNC_9_.BLIF size_dma_i_1__n.BLIF N_313_i.BLIF a_11__n.BLIF \ - inst_CLK_000_NE.BLIF size_dma_i_0__n.BLIF N_211_i.BLIF CLK_000_N_SYNC_11_.BLIF AS_030_D0_i.BLIF N_212_i.BLIF a_10__n.BLIF IPL_D0_0_.BLIF a_i_24__n.BLIF \ - N_183_0.BLIF IPL_D0_1_.BLIF sm_amiga_i_3__n.BLIF N_181_0.BLIF a_9__n.BLIF IPL_D0_2_.BLIF cpu_est_i_3__n.BLIF N_178_0.BLIF inst_CLK_000_NE_D0.BLIF \ - cpu_est_i_0__n.BLIF N_69_0.BLIF a_8__n.BLIF SM_AMIGA_0_.BLIF VPA_D_i.BLIF N_329_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF cpu_est_i_1__n.BLIF N_176_i.BLIF \ - a_7__n.BLIF inst_DSACK1_INTreg.BLIF CLK_030_i.BLIF N_175_0.BLIF pos_clk_ipl_n.BLIF CLK_000_D1_i.BLIF N_174_0.BLIF a_6__n.BLIF SM_AMIGA_4_.BLIF \ - cpu_est_i_2__n.BLIF N_171_0.BLIF inst_DS_000_ENABLE.BLIF DTACK_D0_i.BLIF un1_SM_AMIGA_5_i.BLIF a_5__n.BLIF RST_DLY_0_.BLIF RW_i.BLIF N_324_i.BLIF \ - RST_DLY_1_.BLIF a_i_31__n.BLIF N_326_i.BLIF a_4__n.BLIF RST_DLY_2_.BLIF a_i_29__n.BLIF N_168_i.BLIF pos_clk_un8_bg_030_n.BLIF a_i_30__n.BLIF \ - VMA_INT_i.BLIF a_3__n.BLIF CLK_000_P_SYNC_0_.BLIF a_i_27__n.BLIF N_165_i.BLIF CLK_000_P_SYNC_1_.BLIF a_i_28__n.BLIF N_164_i.BLIF a_2__n.BLIF \ - CLK_000_P_SYNC_2_.BLIF a_i_25__n.BLIF N_162_i.BLIF CLK_000_P_SYNC_3_.BLIF a_i_26__n.BLIF clk_000_n_sync_i_10__n.BLIF CLK_000_P_SYNC_4_.BLIF N_213_i.BLIF N_321_i.BLIF \ - CLK_000_P_SYNC_5_.BLIF N_214_i.BLIF N_159_0.BLIF CLK_000_P_SYNC_6_.BLIF N_215_i.BLIF N_318_i.BLIF CLK_000_P_SYNC_7_.BLIF N_156_i.BLIF CLK_000_P_SYNC_8_.BLIF \ - DS_000_ENABLE_1_sqmuxa_i.BLIF N_155_i.BLIF CLK_000_N_SYNC_0_.BLIF N_98_i.BLIF N_154_i.BLIF CLK_000_N_SYNC_1_.BLIF un6_ds_030_i.BLIF CLK_OUT_PRE_D_i.BLIF CLK_000_N_SYNC_2_.BLIF \ - un4_as_000_i.BLIF N_152_0.BLIF CLK_000_N_SYNC_3_.BLIF un4_lds_000_i.BLIF N_150_i.BLIF CLK_000_N_SYNC_4_.BLIF un4_uds_000_i.BLIF AS_030_000_SYNC_i.BLIF CLK_000_N_SYNC_5_.BLIF \ - LDS_000_INT_i.BLIF N_147_i.BLIF CLK_000_N_SYNC_6_.BLIF UDS_000_INT_i.BLIF N_145_i.BLIF CLK_000_N_SYNC_7_.BLIF AS_030_c.BLIF N_281_i.BLIF CLK_000_N_SYNC_8_.BLIF \ - N_302_i.BLIF CLK_000_N_SYNC_9_.BLIF AS_000_c.BLIF CLK_000_N_SYNC_10_.BLIF N_279_i.BLIF inst_RW_000_INT.BLIF RW_000_c.BLIF N_280_i.BLIF inst_RW_000_DMA.BLIF \ - un5_e_0.BLIF pos_clk_un7_clk_000_pe_n.BLIF N_278_i.BLIF inst_A0_DMA.BLIF UDS_000_c.BLIF cpu_est_2_0_3__n.BLIF SM_AMIGA_6_.BLIF N_277_i.BLIF DS_000_ENABLE_1_sqmuxa.BLIF \ - LDS_000_c.BLIF N_348_i.BLIF inst_CLK_030_H.BLIF cpu_est_2_0_2__n.BLIF SM_AMIGA_1_.BLIF size_c_0__n.BLIF N_128_i.BLIF SM_AMIGA_3_.BLIF N_193_i.BLIF \ - SM_AMIGA_2_.BLIF size_c_1__n.BLIF N_241_i.BLIF pos_clk_un3_as_030_d0_n.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_240_i.BLIF N_4.BLIF N_124_0.BLIF N_6.BLIF \ - N_269_0.BLIF un5_ciin_i.BLIF N_61_0.BLIF un1_as_030_i.BLIF N_17.BLIF N_228_i.BLIF N_18.BLIF N_355_0.BLIF N_21.BLIF \ - N_226_i.BLIF N_22.BLIF N_26.BLIF N_224_i.BLIF N_27.BLIF N_225_i.BLIF CLK_OUT_PRE_25_0.BLIF N_282_0.BLIF N_221_i.BLIF \ - N_222_i.BLIF N_219_i.BLIF N_220_i.BLIF N_283_0.BLIF N_216_i.BLIF N_218_i.BLIF cpu_est_2_0_1__n.BLIF N_373_i.BLIF N_375_i.BLIF \ - pos_clk_un7_clk_000_pe_0_n.BLIF N_188_i.BLIF a_c_16__n.BLIF N_205_i.BLIF a_c_17__n.BLIF pos_clk_un8_sm_amiga_i_n.BLIF A0_c_i.BLIF a_c_18__n.BLIF size_c_i_1__n.BLIF \ - N_27_i.BLIF a_c_19__n.BLIF N_31_0.BLIF ipl_c_i_0__n.BLIF a_c_20__n.BLIF N_52_0.BLIF N_4_i.BLIF a_c_21__n.BLIF N_49_0.BLIF \ - N_17_i.BLIF SM_AMIGA_i_7_.BLIF a_c_22__n.BLIF N_43_0.BLIF N_124.BLIF N_18_i.BLIF cpu_est_2_1__n.BLIF a_c_23__n.BLIF N_42_0.BLIF \ - cpu_est_2_2__n.BLIF N_21_i.BLIF cpu_est_2_3__n.BLIF a_c_24__n.BLIF N_39_0.BLIF G_134.BLIF N_22_i.BLIF G_135.BLIF a_c_25__n.BLIF \ - N_38_0.BLIF G_136.BLIF N_26_i.BLIF N_269.BLIF a_c_26__n.BLIF N_34_0.BLIF N_61.BLIF BG_030_c_i.BLIF a_c_27__n.BLIF \ - pos_clk_un8_bg_030_0_n.BLIF N_98.BLIF N_161_i_1.BLIF a_c_28__n.BLIF N_161_i_2.BLIF pos_clk_un8_sm_amiga_i_1_n.BLIF N_355.BLIF a_c_29__n.BLIF N_324_1.BLIF \ - N_324_2.BLIF N_128.BLIF a_c_30__n.BLIF N_150_i_1.BLIF N_137.BLIF un1_SM_AMIGA_5_i_1.BLIF N_145.BLIF a_c_31__n.BLIF un1_SM_AMIGA_5_i_2.BLIF \ - N_148.BLIF N_138_i_1.BLIF N_150.BLIF A0_c.BLIF N_138_i_2.BLIF N_152.BLIF N_146_i_1.BLIF N_154.BLIF A1_c.BLIF \ - N_146_i_2.BLIF N_156.BLIF N_146_i_3.BLIF N_159.BLIF nEXP_SPACE_c.BLIF N_220_1.BLIF N_161.BLIF N_220_2.BLIF N_165.BLIF \ - BERR_c.BLIF N_375_1.BLIF N_168.BLIF N_375_2.BLIF N_171.BLIF BG_030_c.BLIF N_373_1.BLIF N_174.BLIF N_373_2.BLIF \ - N_175.BLIF BG_000DFFreg.BLIF N_210_1.BLIF N_178.BLIF N_210_2.BLIF N_181.BLIF N_210_3.BLIF N_183.BLIF BGACK_000_c.BLIF \ - un5_ciin_1.BLIF N_188.BLIF un5_ciin_2.BLIF N_190.BLIF CLK_030_c.BLIF un5_ciin_3.BLIF N_193.BLIF un5_ciin_4.BLIF N_195.BLIF \ - un5_ciin_5.BLIF N_200.BLIF un5_ciin_6.BLIF N_205.BLIF CLK_OSZI_c.BLIF un5_ciin_7.BLIF N_206.BLIF un5_ciin_8.BLIF N_207.BLIF \ - un5_ciin_9.BLIF N_208.BLIF un5_ciin_10.BLIF N_210.BLIF un5_ciin_11.BLIF N_211.BLIF FPU_SENSE_c.BLIF N_302_1.BLIF N_212.BLIF \ - N_244_i_1.BLIF N_373.BLIF IPL_030DFF_0_reg.BLIF N_244_i_2.BLIF N_375.BLIF N_243_i_1.BLIF N_216.BLIF IPL_030DFF_1_reg.BLIF N_410_1.BLIF \ - N_218.BLIF N_410_2.BLIF N_219.BLIF IPL_030DFF_2_reg.BLIF N_410_3.BLIF N_220.BLIF N_410_4.BLIF N_221.BLIF ipl_c_0__n.BLIF \ - N_237_1.BLIF N_222.BLIF N_237_2.BLIF N_224.BLIF ipl_c_1__n.BLIF un21_fpu_cs_1.BLIF N_225.BLIF un22_berr_1_0.BLIF N_226.BLIF \ - ipl_c_2__n.BLIF N_233_1.BLIF N_228.BLIF N_233_2.BLIF N_230.BLIF N_245_i_1.BLIF N_231.BLIF DTACK_c.BLIF N_128_i_1.BLIF \ - N_240.BLIF N_134_i_1.BLIF N_241.BLIF N_124_0_1.BLIF N_277.BLIF N_267_i_1.BLIF N_278.BLIF VPA_c.BLIF N_268_i_1.BLIF \ - N_279.BLIF N_355_0_1.BLIF N_280.BLIF N_353_i_1.BLIF N_281.BLIF RST_c.BLIF N_140_i_1.BLIF N_302.BLIF N_142_i_1.BLIF \ - N_313.BLIF N_280_1.BLIF N_318.BLIF RW_c.BLIF N_225_1.BLIF N_321.BLIF N_224_1.BLIF N_324.BLIF fc_c_0__n.BLIF \ - N_219_1.BLIF N_326.BLIF N_218_1.BLIF N_329.BLIF fc_c_1__n.BLIF N_212_1.BLIF N_332.BLIF N_208_1.BLIF N_348.BLIF \ - N_207_1.BLIF cpu_est_0_0_x2_0_x2_0_.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_200_1.BLIF pos_clk_CYCLE_DMA_5_0_i_0_x2.BLIF N_195_1.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF pos_clk_ipl_1_n.BLIF N_235.BLIF \ - ipl_030_0_1__un3_n.BLIF N_196.BLIF ipl_030_0_1__un1_n.BLIF N_143.BLIF N_7_i.BLIF ipl_030_0_1__un0_n.BLIF N_158.BLIF N_47_0.BLIF as_030_000_sync_0_un3_n.BLIF \ - N_198.BLIF N_5_i.BLIF as_030_000_sync_0_un1_n.BLIF N_199.BLIF N_48_0.BLIF as_030_000_sync_0_un0_n.BLIF N_307.BLIF N_3_i.BLIF as_000_int_0_un3_n.BLIF \ - N_201.BLIF N_50_0.BLIF as_000_int_0_un1_n.BLIF N_182.BLIF nEXP_SPACE_c_i.BLIF as_000_int_0_un0_n.BLIF N_243_2.BLIF N_55_0.BLIF ds_000_dma_0_un3_n.BLIF \ - N_8.BLIF VPA_c_i.BLIF ds_000_dma_0_un1_n.BLIF N_356.BLIF N_56_0.BLIF ds_000_dma_0_un0_n.BLIF N_10.BLIF DTACK_c_i.BLIF ipl_030_0_2__un3_n.BLIF \ - pos_clk_un6_bgack_000_n.BLIF N_57_0.BLIF ipl_030_0_2__un1_n.BLIF N_19.BLIF ipl_c_i_1__n.BLIF ipl_030_0_2__un0_n.BLIF N_352.BLIF N_53_0.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n.BLIF \ - N_327.BLIF ipl_c_i_2__n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n.BLIF N_20.BLIF N_54_0.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n.BLIF pos_clk_a0_dma_3_n.BLIF N_28_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n.BLIF \ - N_24.BLIF N_32_0.BLIF sm_amiga_srsts_i_0_0_m3_1__un1_n.BLIF N_113.BLIF N_29_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un0_n.BLIF N_25.BLIF N_33_0.BLIF sm_amiga_srsts_i_0_0_m3_5__un3_n.BLIF \ - N_114.BLIF N_378_i.BLIF sm_amiga_srsts_i_0_0_m3_5__un1_n.BLIF pos_clk_size_dma_6_0__n.BLIF sm_amiga_srsts_i_0_0_m3_5__un0_n.BLIF N_232.BLIF size_dma_0_0__un3_n.BLIF pos_clk_size_dma_6_1__n.BLIF N_227_i.BLIF \ - size_dma_0_0__un1_n.BLIF N_410.BLIF N_354_0.BLIF size_dma_0_0__un0_n.BLIF N_185.BLIF N_233_i.BLIF size_dma_0_1__un3_n.BLIF N_236.BLIF N_357_0.BLIF \ - size_dma_0_1__un1_n.BLIF N_238.BLIF N_270_0.BLIF size_dma_0_1__un0_n.BLIF N_173.BLIF AS_000_DMA_i.BLIF as_000_dma_0_un3_n.BLIF N_239.BLIF N_137_0.BLIF \ - as_000_dma_0_un1_n.BLIF N_331.BLIF N_312_i.BLIF as_000_dma_0_un0_n.BLIF N_237.BLIF pos_clk_un3_as_030_d0_i_n.BLIF bgack_030_int_0_un3_n.BLIF un22_berr_1.BLIF N_161_i.BLIF \ - bgack_030_int_0_un1_n.BLIF N_233.BLIF N_179_0.BLIF bgack_030_int_0_un0_n.BLIF N_209.BLIF N_180_0.BLIF rw_000_dma_0_un3_n.BLIF AS_030.PIN AS_000.PIN \ - RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN + FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_280.BLIF N_210_0.BLIF cpu_est_0_1__un0_n.BLIF N_279.BLIF N_289_0.BLIF cpu_est_0_2__un3_n.BLIF N_271.BLIF N_218_0.BLIF \ + cpu_est_0_2__un1_n.BLIF N_272.BLIF cpu_est_0_2__un0_n.BLIF N_276.BLIF N_242_i.BLIF cpu_est_0_3__un3_n.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_246_i.BLIF cpu_est_0_3__un1_n.BLIF \ + inst_BGACK_030_INTreg.BLIF N_4.BLIF N_240_i.BLIF cpu_est_0_3__un0_n.BLIF vcc_n_n.BLIF N_5.BLIF N_241_i.BLIF ipl_030_0_0__un3_n.BLIF inst_VMA_INTreg.BLIF \ + N_7.BLIF ipl_030_0_0__un1_n.BLIF gnd_n_n.BLIF N_10.BLIF N_266_i.BLIF ipl_030_0_0__un0_n.BLIF un1_amiga_bus_enable_low.BLIF N_18.BLIF N_267_i.BLIF \ + ipl_030_0_1__un3_n.BLIF un3_size.BLIF N_24.BLIF N_254_i.BLIF ipl_030_0_1__un1_n.BLIF un4_size.BLIF N_6.BLIF N_317_i.BLIF ipl_030_0_1__un0_n.BLIF \ + un4_uds_000.BLIF un1_amiga_bus_enable_low_i.BLIF ipl_030_0_2__un3_n.BLIF un4_lds_000.BLIF un21_fpu_cs_i.BLIF N_313_i.BLIF ipl_030_0_2__un1_n.BLIF un5_ciin.BLIF BGACK_030_INT_i.BLIF \ + N_316_i.BLIF ipl_030_0_2__un0_n.BLIF un4_as_000.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_312_i.BLIF a0_dma_0_un3_n.BLIF un21_fpu_cs.BLIF UDS_000_INT_i.BLIF a0_dma_0_un1_n.BLIF \ + un22_berr.BLIF LDS_000_INT_i.BLIF N_347_i.BLIF a0_dma_0_un0_n.BLIF un6_ds_030.BLIF N_236_i.BLIF N_323_i.BLIF uds_000_int_0_un3_n.BLIF cpu_est_2_.BLIF \ + sm_amiga_i_5__n.BLIF N_324_i.BLIF uds_000_int_0_un1_n.BLIF cpu_est_3_.BLIF DS_000_ENABLE_1_sqmuxa_i.BLIF N_222_i.BLIF uds_000_int_0_un0_n.BLIF cpu_est_0_.BLIF N_276_i.BLIF \ + AS_000_DMA_i.BLIF vma_int_0_un3_n.BLIF cpu_est_1_.BLIF RST_i.BLIF N_221_0.BLIF vma_int_0_un1_n.BLIF inst_AS_000_INT.BLIF a_i_19__n.BLIF N_220_0.BLIF \ + vma_int_0_un0_n.BLIF SM_AMIGA_5_.BLIF a_i_18__n.BLIF N_219_0.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF size_dma_i_1__n.BLIF N_216_0.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ + inst_AS_030_D0.BLIF size_dma_i_0__n.BLIF N_290_0.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF RW_i.BLIF N_212_0.BLIF bg_000_0_un3_n.BLIF inst_AS_030_000_SYNC.BLIF \ + CLK_000_PE_i.BLIF N_211_0.BLIF bg_000_0_un1_n.BLIF inst_BGACK_030_INT_D.BLIF a_i_16__n.BLIF N_204_0.BLIF bg_000_0_un0_n.BLIF inst_AS_000_DMA.BLIF RESET_OUT_i.BLIF \ + N_351_i.BLIF ds_000_dma_0_un3_n.BLIF inst_DS_000_DMA.BLIF BERR_i.BLIF N_353_i.BLIF ds_000_dma_0_un1_n.BLIF CYCLE_DMA_0_.BLIF sm_amiga_i_i_7__n.BLIF N_201_i.BLIF \ + ds_000_dma_0_un0_n.BLIF CYCLE_DMA_1_.BLIF nEXP_SPACE_D0_i.BLIF VMA_INT_i.BLIF as_000_dma_0_un3_n.BLIF SIZE_DMA_0_.BLIF sm_amiga_i_4__n.BLIF N_197_i.BLIF as_000_dma_0_un1_n.BLIF \ + SIZE_DMA_1_.BLIF FPU_SENSE_i.BLIF N_196_i.BLIF as_000_dma_0_un0_n.BLIF inst_VPA_D.BLIF AS_030_i.BLIF N_193_i.BLIF lds_000_int_0_un3_n.BLIF inst_UDS_000_INT.BLIF \ + AS_030_D0_i.BLIF N_192_0.BLIF lds_000_int_0_un1_n.BLIF inst_LDS_000_INT.BLIF a_i_24__n.BLIF clk_000_n_sync_i_10__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_D.BLIF sm_amiga_i_3__n.BLIF \ + N_350_i.BLIF rw_000_dma_0_un3_n.BLIF inst_DTACK_D0.BLIF cpu_est_i_0__n.BLIF N_188_0.BLIF rw_000_dma_0_un1_n.BLIF inst_RESET_OUT.BLIF cpu_est_i_3__n.BLIF N_187_i.BLIF \ + rw_000_dma_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF cpu_est_i_2__n.BLIF N_185_i.BLIF a_15__n.BLIF inst_CLK_000_D1.BLIF cpu_est_i_1__n.BLIF N_182_i.BLIF inst_CLK_000_D0.BLIF \ + VPA_D_i.BLIF N_181_i.BLIF a_14__n.BLIF inst_CLK_000_PE.BLIF CLK_000_NE_i.BLIF CLK_OUT_PRE_D_i.BLIF CLK_000_P_SYNC_9_.BLIF sm_amiga_i_1__n.BLIF N_175_0.BLIF \ + a_13__n.BLIF inst_CLK_000_NE.BLIF rst_dly_i_2__n.BLIF N_168_i.BLIF CLK_000_N_SYNC_11_.BLIF CLK_030_i.BLIF AS_030_000_SYNC_i.BLIF a_12__n.BLIF IPL_D0_0_.BLIF \ + rst_dly_i_0__n.BLIF N_158_i.BLIF IPL_D0_1_.BLIF rst_dly_i_1__n.BLIF CLK_000_D0_i.BLIF a_11__n.BLIF IPL_D0_2_.BLIF CLK_000_D1_i.BLIF N_148_i.BLIF \ + inst_CLK_000_NE_D0.BLIF DTACK_D0_i.BLIF N_345_i.BLIF a_10__n.BLIF pos_clk_un6_bg_030_n.BLIF RW_000_i.BLIF N_344_i.BLIF SM_AMIGA_0_.BLIF CLK_030_H_i.BLIF \ + N_144_0.BLIF a_9__n.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF sm_amiga_i_6__n.BLIF N_138_0.BLIF inst_DSACK1_INTreg.BLIF sm_amiga_i_2__n.BLIF a_8__n.BLIF AS_000_i.BLIF \ + N_342_i.BLIF pos_clk_ipl_n.BLIF sm_amiga_i_0__n.BLIF N_343_i.BLIF a_7__n.BLIF SM_AMIGA_4_.BLIF A1_i.BLIF N_124_0.BLIF inst_DS_000_ENABLE.BLIF \ + a_i_31__n.BLIF N_341_i.BLIF a_6__n.BLIF RST_DLY_0_.BLIF a_i_29__n.BLIF N_119_0.BLIF RST_DLY_1_.BLIF a_i_30__n.BLIF N_340_i.BLIF \ + a_5__n.BLIF RST_DLY_2_.BLIF a_i_27__n.BLIF N_361_i.BLIF pos_clk_un8_bg_030_n.BLIF a_i_28__n.BLIF cpu_est_2_0_2__n.BLIF a_4__n.BLIF CLK_000_P_SYNC_0_.BLIF \ + a_i_25__n.BLIF N_338_i.BLIF CLK_000_P_SYNC_1_.BLIF a_i_26__n.BLIF N_339_i.BLIF a_3__n.BLIF CLK_000_P_SYNC_2_.BLIF N_213_i.BLIF cpu_est_2_0_1__n.BLIF \ + CLK_000_P_SYNC_3_.BLIF N_214_i.BLIF N_332_i.BLIF a_2__n.BLIF CLK_000_P_SYNC_4_.BLIF N_215_i.BLIF N_336_i.BLIF CLK_000_P_SYNC_5_.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ + CLK_000_P_SYNC_6_.BLIF N_275_i.BLIF N_99_0.BLIF CLK_000_P_SYNC_7_.BLIF un6_ds_030_i.BLIF N_331_i.BLIF CLK_000_P_SYNC_8_.BLIF DS_000_DMA_i.BLIF N_96_0.BLIF \ + CLK_000_N_SYNC_0_.BLIF un4_as_000_i.BLIF N_330_i.BLIF CLK_000_N_SYNC_1_.BLIF AS_000_INT_i.BLIF N_90_0.BLIF CLK_000_N_SYNC_2_.BLIF un4_lds_000_i.BLIF N_328_i.BLIF \ + CLK_000_N_SYNC_3_.BLIF un4_uds_000_i.BLIF CLK_000_N_SYNC_4_.BLIF AS_030_c.BLIF N_80_0.BLIF CLK_000_N_SYNC_5_.BLIF N_325_i.BLIF CLK_000_N_SYNC_6_.BLIF AS_000_c.BLIF \ + N_326_i.BLIF CLK_000_N_SYNC_7_.BLIF N_258_0.BLIF CLK_000_N_SYNC_8_.BLIF RW_000_c.BLIF N_217_i.BLIF CLK_000_N_SYNC_9_.BLIF N_321_i.BLIF CLK_000_N_SYNC_10_.BLIF \ + N_322_i.BLIF inst_RW_000_INT.BLIF UDS_000_c.BLIF inst_RW_000_DMA.BLIF N_320_i.BLIF pos_clk_un7_clk_000_pe_n.BLIF LDS_000_c.BLIF inst_A0_DMA.BLIF un5_ciin_i.BLIF \ + pos_clk_a0_dma_3_n.BLIF size_c_0__n.BLIF N_61_0.BLIF SM_AMIGA_6_.BLIF N_310_i.BLIF inst_CLK_030_H.BLIF size_c_1__n.BLIF SM_AMIGA_1_.BLIF N_305_i.BLIF \ + SM_AMIGA_3_.BLIF N_307_i.BLIF SM_AMIGA_2_.BLIF N_3.BLIF N_303_i.BLIF N_8.BLIF N_304_i.BLIF N_283_0.BLIF N_301_i.BLIF \ + N_300_i.BLIF N_123_0.BLIF N_17.BLIF N_278_i.BLIF N_19.BLIF N_297_i.BLIF N_20.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_21.BLIF \ + N_277_i.BLIF N_22.BLIF N_25.BLIF N_26.BLIF pos_clk_un8_sm_amiga_i_n.BLIF N_27.BLIF A0_c_i.BLIF N_28.BLIF size_c_i_1__n.BLIF \ + N_29.BLIF N_29_i.BLIF N_32_0.BLIF N_28_i.BLIF N_31_0.BLIF N_27_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF N_53_0.BLIF \ + ipl_c_i_1__n.BLIF N_52_0.BLIF a_c_16__n.BLIF ipl_c_i_0__n.BLIF N_51_0.BLIF a_c_17__n.BLIF DTACK_c_i.BLIF N_56_0.BLIF a_c_18__n.BLIF \ + VPA_c_i.BLIF N_55_0.BLIF a_c_19__n.BLIF nEXP_SPACE_c_i.BLIF N_54_0.BLIF a_c_20__n.BLIF N_3_i.BLIF N_49_0.BLIF a_c_21__n.BLIF \ + N_8_i.BLIF N_45_0.BLIF a_c_22__n.BLIF N_17_i.BLIF N_42_0.BLIF a_c_23__n.BLIF N_19_i.BLIF N_40_0.BLIF SM_AMIGA_i_7_.BLIF \ + a_c_24__n.BLIF N_20_i.BLIF N_123.BLIF N_39_0.BLIF cpu_est_2_1__n.BLIF a_c_25__n.BLIF N_21_i.BLIF cpu_est_2_2__n.BLIF N_38_0.BLIF \ + N_209.BLIF a_c_26__n.BLIF N_22_i.BLIF G_134.BLIF N_37_0.BLIF G_135.BLIF a_c_27__n.BLIF N_25_i.BLIF G_136.BLIF \ + N_34_0.BLIF N_217.BLIF a_c_28__n.BLIF N_26_i.BLIF N_33_0.BLIF N_61.BLIF a_c_29__n.BLIF BG_030_c_i.BLIF N_127.BLIF \ + pos_clk_un6_bg_030_i_n.BLIF a_c_30__n.BLIF pos_clk_un8_bg_030_0_n.BLIF N_80.BLIF N_289_0_1.BLIF a_c_31__n.BLIF un1_SM_AMIGA_5_i_1.BLIF N_90.BLIF un1_SM_AMIGA_5_i_2.BLIF \ + N_96.BLIF A0_c.BLIF pos_clk_un8_sm_amiga_i_1_n.BLIF N_99.BLIF N_351_1.BLIF N_119.BLIF A1_c.BLIF N_351_2.BLIF N_124.BLIF \ + N_168_i_1.BLIF N_138.BLIF nEXP_SPACE_c.BLIF N_192_0_1.BLIF N_144.BLIF N_192_0_2.BLIF N_158.BLIF BERR_c.BLIF N_137_i_1.BLIF \ + N_168.BLIF N_137_i_2.BLIF N_175.BLIF BG_030_c.BLIF N_145_i_1.BLIF N_182.BLIF N_145_i_2.BLIF N_185.BLIF BG_000DFFreg.BLIF \ + N_145_i_3.BLIF N_187.BLIF N_260_i_1.BLIF N_188.BLIF N_260_i_2.BLIF N_192.BLIF BGACK_000_c.BLIF N_259_i_1.BLIF N_193.BLIF \ + N_259_i_2.BLIF N_197.BLIF CLK_030_c.BLIF N_336_1.BLIF N_201.BLIF N_336_2.BLIF N_204.BLIF N_332_1.BLIF N_206.BLIF \ + N_332_2.BLIF N_207.BLIF CLK_OSZI_c.BLIF N_332_3.BLIF N_317_1.BLIF N_211.BLIF N_317_2.BLIF N_212.BLIF CLK_OUT_INTreg.BLIF \ + N_317_3.BLIF N_290.BLIF N_304_1.BLIF N_216.BLIF N_304_2.BLIF N_219.BLIF FPU_SENSE_c.BLIF un5_ciin_1.BLIF N_220.BLIF \ + un5_ciin_2.BLIF N_221.BLIF IPL_030DFF_0_reg.BLIF un5_ciin_3.BLIF N_227.BLIF un5_ciin_4.BLIF N_229.BLIF IPL_030DFF_1_reg.BLIF un5_ciin_5.BLIF \ + N_230.BLIF un5_ciin_6.BLIF N_236.BLIF IPL_030DFF_2_reg.BLIF un5_ciin_7.BLIF N_240.BLIF un5_ciin_8.BLIF N_241.BLIF ipl_c_0__n.BLIF \ + un5_ciin_9.BLIF N_242.BLIF un5_ciin_10.BLIF N_246.BLIF ipl_c_1__n.BLIF un5_ciin_11.BLIF N_254.BLIF un22_berr_1_0.BLIF N_266.BLIF \ + ipl_c_2__n.BLIF un21_fpu_cs_1.BLIF N_267.BLIF N_375_1.BLIF N_275.BLIF N_375_2.BLIF N_277.BLIF DTACK_c.BLIF N_375_3.BLIF \ + N_278.BLIF N_375_4.BLIF N_297.BLIF N_134_i_1.BLIF N_300.BLIF N_96_0_1.BLIF N_301.BLIF VPA_c.BLIF N_83_i_1.BLIF \ + N_303.BLIF N_261_i_1.BLIF N_304.BLIF N_141_i_1.BLIF N_305.BLIF RST_c.BLIF N_139_i_1.BLIF N_307.BLIF N_133_i_1.BLIF \ + N_310.BLIF N_123_0_1.BLIF N_312.BLIF RW_c.BLIF N_282_i_1.BLIF N_313.BLIF N_343_1.BLIF N_316.BLIF fc_c_0__n.BLIF \ + N_339_1.BLIF N_317.BLIF pos_clk_un6_bg_030_1_n.BLIF N_320.BLIF fc_c_1__n.BLIF N_326_1.BLIF N_321.BLIF N_325_1.BLIF N_322.BLIF \ + N_324_1.BLIF N_323.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_316_1.BLIF N_324.BLIF N_313_1.BLIF N_325.BLIF N_303_1.BLIF N_326.BLIF \ + N_297_1.BLIF N_328.BLIF N_266_1.BLIF N_330.BLIF N_24_i.BLIF N_240_1.BLIF N_331.BLIF N_35_0.BLIF pos_clk_ipl_1_n.BLIF \ + N_332.BLIF N_18_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF N_336.BLIF N_41_0.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF N_338.BLIF N_10_i.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF \ + N_339.BLIF N_43_0.BLIF rw_000_int_0_un3_n.BLIF N_340.BLIF N_7_i.BLIF rw_000_int_0_un1_n.BLIF N_341.BLIF N_46_0.BLIF rw_000_int_0_un0_n.BLIF \ + N_342.BLIF N_5_i.BLIF bgack_030_int_0_un3_n.BLIF N_343.BLIF N_47_0.BLIF bgack_030_int_0_un1_n.BLIF N_344.BLIF N_4_i.BLIF bgack_030_int_0_un0_n.BLIF \ + N_345.BLIF N_48_0.BLIF as_030_000_sync_0_un3_n.BLIF N_347.BLIF N_272_i.BLIF as_030_000_sync_0_un1_n.BLIF N_350.BLIF N_271_i.BLIF as_030_000_sync_0_un0_n.BLIF \ + N_351.BLIF N_279_0.BLIF ds_000_enable_0_un3_n.BLIF N_353.BLIF N_280_0.BLIF ds_000_enable_0_un1_n.BLIF N_361.BLIF N_281_0.BLIF ds_000_enable_0_un0_n.BLIF \ + pos_clk_un24_bgack_030_int_i_i_a4_i_x2.BLIF N_298_i.BLIF as_000_int_0_un3_n.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF pos_clk_size_dma_6_0_0__n.BLIF as_000_int_0_un1_n.BLIF cpu_est_0_0_x2_0_.BLIF N_299_i.BLIF as_000_int_0_un0_n.BLIF \ + pos_clk_CYCLE_DMA_5_1_i_x2.BLIF pos_clk_size_dma_6_0_1__n.BLIF dsack1_int_0_un3_n.BLIF un22_berr_1.BLIF un1_as_000_i.BLIF dsack1_int_0_un1_n.BLIF N_375.BLIF N_358_i.BLIF dsack1_int_0_un0_n.BLIF \ + N_218.BLIF pos_clk_un6_bgack_000_0_n.BLIF size_dma_0_1__un3_n.BLIF N_156.BLIF N_284_i.BLIF size_dma_0_1__un1_n.BLIF N_289.BLIF N_285_i.BLIF size_dma_0_1__un0_n.BLIF \ + N_354.BLIF N_286_0.BLIF size_dma_0_0__un3_n.BLIF N_205.BLIF N_88_0.BLIF size_dma_0_0__un1_n.BLIF un1_SM_AMIGA_5.BLIF pos_clk_un3_as_030_d0_i_n.BLIF size_dma_0_0__un0_n.BLIF \ + DS_000_ENABLE_1_sqmuxa.BLIF N_156_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF N_349.BLIF N_349_i.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF pos_clk_un3_as_030_d0_n.BLIF N_194_i.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ + N_286.BLIF un1_SM_AMIGA_5_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF pos_clk_un6_bgack_000_n.BLIF UDS_000_c_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_358.BLIF LDS_000_c_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF \ + pos_clk_size_dma_6_1__n.BLIF N_205_i.BLIF sm_amiga_srsts_i_0_m2_5__un3_n.BLIF N_299.BLIF N_206_0.BLIF sm_amiga_srsts_i_0_m2_5__un1_n.BLIF pos_clk_size_dma_6_0__n.BLIF N_207_0.BLIF sm_amiga_srsts_i_0_m2_5__un0_n.BLIF \ + N_298.BLIF N_354_i.BLIF cpu_est_0_1__un3_n.BLIF N_281.BLIF N_208_0.BLIF cpu_est_0_1__un1_n.BLIF AS_030.PIN AS_000.PIN RW_000.PIN \ + UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E VMA \ - RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D \ - SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ - SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ - IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ - CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D \ - CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C \ - CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ - CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ - CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D \ - RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ - inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_DS_000_DMA.D \ - inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C \ - inst_RESET_OUT.D inst_RESET_OUT.C inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D \ - inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ - inst_CLK_OUT_INTreg.D inst_CLK_OUT_INTreg.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C inst_CLK_OUT_EXP_INT.D inst_CLK_OUT_EXP_INT.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D \ - inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C G_136.X1 G_136.X2 G_135.X1 G_135.X2 pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.X1 pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.X2 pos_clk_CYCLE_DMA_5_1_i_0_x2.X1 pos_clk_CYCLE_DMA_5_1_i_0_x2.X2 \ - pos_clk_CYCLE_DMA_5_0_i_0_x2.X1 pos_clk_CYCLE_DMA_5_0_i_0_x2.X2 cpu_est_0_0_x2_0_x2_0_.X1 cpu_est_0_0_x2_0_x2_0_.X2 CLK_OUT_PRE_25_0.X1 CLK_OUT_PRE_25_0.X2 G_134.X1 G_134.X2 SIZE_1_ AS_030 AS_000 RW_000 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_184 N_184_0 rw_000_dma_0_un1_n \ - N_180 N_185_0 rw_000_dma_0_un0_n N_179 UDS_000_c_i a0_dma_0_un3_n LDS_000_c_i a0_dma_0_un1_n N_312 N_173_i a0_dma_0_un0_n \ - N_270 N_358_0 amiga_bus_enable_dma_low_0_un3_n N_357 N_239_i amiga_bus_enable_dma_low_0_un1_n N_354 pos_clk_size_dma_6_0_1__n amiga_bus_enable_dma_low_0_un0_n vcc_n_n N_227 \ - N_238_i amiga_bus_enable_dma_high_0_un3_n un5_e N_378 pos_clk_size_dma_6_0_0__n amiga_bus_enable_dma_high_0_un1_n N_29 N_237_i amiga_bus_enable_dma_high_0_un0_n gnd_n_n N_28 \ - N_236_i cpu_est_0_2__un3_n un1_amiga_bus_enable_low N_3 AMIGA_BUS_DATA_DIR_c_0 cpu_est_0_2__un1_n un3_size N_5 N_331_i cpu_est_0_2__un0_n un4_size \ - N_7 pos_clk_un6_bgack_000_0_n cpu_est_0_3__un3_n un4_uds_000 N_190_i N_356_0 cpu_est_0_3__un1_n un4_lds_000 un1_amiga_bus_enable_low_i N_352_0 cpu_est_0_3__un0_n \ - un5_ciin un21_fpu_cs_i N_8_i ipl_030_0_0__un3_n un4_as_000 CLK_OUT_EXP_INT_i N_46_0 ipl_030_0_0__un1_n un1_SM_AMIGA_5 AS_000_i N_10_i \ - ipl_030_0_0__un0_n un21_fpu_cs DS_000_DMA_i N_44_0 rw_000_int_0_un3_n un22_berr sm_amiga_i_5__n N_19_i rw_000_int_0_un1_n un6_ds_030 sm_amiga_i_6__n \ - N_41_0 rw_000_int_0_un0_n sm_amiga_i_0__n N_20_i uds_000_int_0_un3_n CLK_000_NE_i N_40_0 uds_000_int_0_un1_n sm_amiga_i_4__n N_24_i uds_000_int_0_un0_n \ - RW_000_i N_36_0 vma_int_0_un3_n sm_amiga_i_2__n N_25_i vma_int_0_un1_n CLK_000_D0_i N_35_0 vma_int_0_un0_n BERR_i bg_000_0_un3_n \ - sm_amiga_i_1__n N_198_i bg_000_0_un1_n CLK_000_PE_i N_243_2_i bg_000_0_un0_n N_410_i_0 N_196_i cpu_est_0_1__un3_n sm_amiga_i_i_7__n N_195_i \ - cpu_est_0_1__un1_n AS_030_i cpu_est_0_1__un0_n FPU_SENSE_i N_201_i dsack1_int_0_un3_n nEXP_SPACE_D0_i N_200_i dsack1_int_0_un1_n BGACK_030_INT_i N_199_i \ - dsack1_int_0_un0_n AMIGA_BUS_ENABLE_DMA_HIGH_i N_182_0 ds_000_enable_0_un3_n A1_i N_158_i ds_000_enable_0_un1_n CLK_030_H_i N_148_i ds_000_enable_0_un0_n a_i_16__n \ - N_307_i lds_000_int_0_un3_n a_i_18__n N_143_0 lds_000_int_0_un1_n a_i_19__n N_217_i lds_000_int_0_un0_n N_114_i N_235_i a_15__n \ - N_113_i AS_000_INT_i N_210_i a_14__n AMIGA_BUS_ENABLE_DMA_LOW_i rst_dly_i_2__n N_207_i a_13__n rst_dly_i_0__n N_208_i rst_dly_i_1__n \ - N_206_i a_12__n RESET_OUT_i size_dma_i_1__n N_313_i a_11__n size_dma_i_0__n N_211_i AS_030_D0_i N_212_i a_10__n \ - a_i_24__n N_183_0 sm_amiga_i_3__n N_181_0 a_9__n cpu_est_i_3__n N_178_0 cpu_est_i_0__n N_69_0 a_8__n VPA_D_i \ - N_329_i cpu_est_i_1__n N_176_i a_7__n CLK_030_i N_175_0 pos_clk_ipl_n CLK_000_D1_i N_174_0 a_6__n cpu_est_i_2__n \ - N_171_0 DTACK_D0_i un1_SM_AMIGA_5_i a_5__n RW_i N_324_i a_i_31__n N_326_i a_4__n a_i_29__n N_168_i \ - pos_clk_un8_bg_030_n a_i_30__n VMA_INT_i a_3__n a_i_27__n N_165_i a_i_28__n N_164_i a_2__n a_i_25__n N_162_i \ - a_i_26__n clk_000_n_sync_i_10__n N_213_i N_321_i N_214_i N_159_0 N_215_i N_318_i N_156_i DS_000_ENABLE_1_sqmuxa_i N_155_i \ - N_98_i N_154_i un6_ds_030_i CLK_OUT_PRE_D_i un4_as_000_i N_152_0 un4_lds_000_i N_150_i un4_uds_000_i AS_030_000_SYNC_i LDS_000_INT_i \ - N_147_i UDS_000_INT_i N_145_i AS_030_c N_281_i N_302_i AS_000_c N_279_i RW_000_c N_280_i un5_e_0 \ - pos_clk_un7_clk_000_pe_n N_278_i UDS_000_c cpu_est_2_0_3__n N_277_i DS_000_ENABLE_1_sqmuxa LDS_000_c N_348_i cpu_est_2_0_2__n size_c_0__n N_128_i \ - N_193_i size_c_1__n N_241_i pos_clk_un3_as_030_d0_n DS_000_ENABLE_1_sqmuxa_1 N_240_i N_4 N_124_0 N_6 N_269_0 un5_ciin_i \ - N_61_0 un1_as_030_i N_17 N_228_i N_18 N_355_0 N_21 N_226_i N_22 N_26 N_224_i \ - N_27 N_225_i N_282_0 N_221_i N_222_i N_219_i N_220_i N_283_0 N_216_i N_218_i cpu_est_2_0_1__n \ - N_373_i N_375_i pos_clk_un7_clk_000_pe_0_n N_188_i a_c_16__n N_205_i a_c_17__n pos_clk_un8_sm_amiga_i_n A0_c_i a_c_18__n size_c_i_1__n \ - N_27_i a_c_19__n N_31_0 ipl_c_i_0__n a_c_20__n N_52_0 N_4_i a_c_21__n N_49_0 N_17_i a_c_22__n \ - N_43_0 N_124 N_18_i cpu_est_2_1__n a_c_23__n N_42_0 cpu_est_2_2__n N_21_i cpu_est_2_3__n a_c_24__n N_39_0 \ - N_22_i a_c_25__n N_38_0 N_26_i N_269 a_c_26__n N_34_0 N_61 BG_030_c_i a_c_27__n pos_clk_un8_bg_030_0_n \ - N_98 N_161_i_1 a_c_28__n N_161_i_2 pos_clk_un8_sm_amiga_i_1_n N_355 a_c_29__n N_324_1 N_324_2 N_128 a_c_30__n \ - N_150_i_1 N_137 un1_SM_AMIGA_5_i_1 N_145 a_c_31__n un1_SM_AMIGA_5_i_2 N_148 N_138_i_1 N_150 A0_c N_138_i_2 \ - N_152 N_146_i_1 N_154 A1_c N_146_i_2 N_156 N_146_i_3 N_159 nEXP_SPACE_c N_220_1 N_161 \ - N_220_2 N_165 BERR_c N_375_1 N_168 N_375_2 N_171 BG_030_c N_373_1 N_174 N_373_2 \ - N_175 N_210_1 N_178 N_210_2 N_181 N_210_3 N_183 BGACK_000_c un5_ciin_1 N_188 un5_ciin_2 \ - N_190 CLK_030_c un5_ciin_3 N_193 un5_ciin_4 N_195 un5_ciin_5 N_200 un5_ciin_6 N_205 CLK_OSZI_c \ - un5_ciin_7 N_206 un5_ciin_8 N_207 un5_ciin_9 N_208 un5_ciin_10 N_210 un5_ciin_11 N_211 FPU_SENSE_c \ - N_302_1 N_212 N_244_i_1 N_373 N_244_i_2 N_375 N_243_i_1 N_216 N_410_1 N_218 N_410_2 \ - N_219 N_410_3 N_220 N_410_4 N_221 ipl_c_0__n N_237_1 N_222 N_237_2 N_224 ipl_c_1__n \ - un21_fpu_cs_1 N_225 un22_berr_1_0 N_226 ipl_c_2__n N_233_1 N_228 N_233_2 N_230 N_245_i_1 N_231 \ - DTACK_c N_128_i_1 N_240 N_134_i_1 N_241 N_124_0_1 N_277 N_267_i_1 N_278 VPA_c N_268_i_1 \ - N_279 N_355_0_1 N_280 N_353_i_1 N_281 RST_c N_140_i_1 N_302 N_142_i_1 N_313 N_280_1 \ - N_318 RW_c N_225_1 N_321 N_224_1 N_324 fc_c_0__n N_219_1 N_326 N_218_1 N_329 \ - fc_c_1__n N_212_1 N_332 N_208_1 N_348 N_207_1 AMIGA_BUS_DATA_DIR_c N_200_1 N_195_1 pos_clk_ipl_1_n N_235 \ - ipl_030_0_1__un3_n N_196 ipl_030_0_1__un1_n N_143 N_7_i ipl_030_0_1__un0_n N_158 N_47_0 as_030_000_sync_0_un3_n N_198 N_5_i \ - as_030_000_sync_0_un1_n N_199 N_48_0 as_030_000_sync_0_un0_n N_307 N_3_i as_000_int_0_un3_n N_201 N_50_0 as_000_int_0_un1_n N_182 \ - nEXP_SPACE_c_i as_000_int_0_un0_n N_243_2 N_55_0 ds_000_dma_0_un3_n N_8 VPA_c_i ds_000_dma_0_un1_n N_356 N_56_0 ds_000_dma_0_un0_n \ - N_10 DTACK_c_i ipl_030_0_2__un3_n pos_clk_un6_bgack_000_n N_57_0 ipl_030_0_2__un1_n N_19 ipl_c_i_1__n ipl_030_0_2__un0_n N_352 N_53_0 \ - un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n N_327 ipl_c_i_2__n un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n N_20 N_54_0 un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n pos_clk_a0_dma_3_n N_28_i sm_amiga_srsts_i_0_0_m3_1__un3_n N_24 \ - N_32_0 sm_amiga_srsts_i_0_0_m3_1__un1_n N_113 N_29_i sm_amiga_srsts_i_0_0_m3_1__un0_n N_25 N_33_0 sm_amiga_srsts_i_0_0_m3_5__un3_n N_114 N_378_i sm_amiga_srsts_i_0_0_m3_5__un1_n \ - pos_clk_size_dma_6_0__n sm_amiga_srsts_i_0_0_m3_5__un0_n N_232 size_dma_0_0__un3_n pos_clk_size_dma_6_1__n N_227_i size_dma_0_0__un1_n N_410 N_354_0 size_dma_0_0__un0_n N_185 \ - N_233_i size_dma_0_1__un3_n N_236 N_357_0 size_dma_0_1__un1_n N_238 N_270_0 size_dma_0_1__un0_n N_173 AS_000_DMA_i as_000_dma_0_un3_n \ - N_239 N_137_0 as_000_dma_0_un1_n N_331 N_312_i as_000_dma_0_un0_n N_237 pos_clk_un3_as_030_d0_i_n bgack_030_int_0_un3_n un22_berr_1 N_161_i \ - bgack_030_int_0_un1_n N_233 N_179_0 bgack_030_int_0_un0_n N_209 N_180_0 rw_000_dma_0_un3_n AS_030.OE AS_000.OE \ - RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE \ - DSACK1.OE RESET.OE CIIN.OE -.names N_137.BLIF AS_030 + RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D \ + SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ + IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D \ + SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ + CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D \ + cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ + CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D \ + CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C RST_DLY_1_.D RST_DLY_1_.C \ + RST_DLY_2_.D RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D \ + CLK_000_P_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ + inst_DS_000_DMA.D inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D \ + inst_CLK_030_H.C inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ + inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D \ + inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C \ + inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C pos_clk_CYCLE_DMA_5_0_i_x2.X1 \ + pos_clk_CYCLE_DMA_5_0_i_x2.X2 cpu_est_0_0_x2_0_.X1 cpu_est_0_0_x2_0_.X2 pos_clk_CYCLE_DMA_5_1_i_x2.X1 pos_clk_CYCLE_DMA_5_1_i_x2.X2 pos_clk_un24_bgack_030_int_i_i_a4_i_x2.X1 pos_clk_un24_bgack_030_int_i_i_a4_i_x2.X2 G_134.X1 G_134.X2 G_135.X1 G_135.X2 \ + G_136.X1 G_136.X2 SIZE_1_ AS_030 AS_000 RW_000 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_280 N_210_0 cpu_est_0_1__un0_n N_279 N_289_0 cpu_est_0_2__un3_n N_271 N_218_0 cpu_est_0_2__un1_n \ + N_272 cpu_est_0_2__un0_n N_276 N_242_i cpu_est_0_3__un3_n DS_000_ENABLE_1_sqmuxa_1 N_246_i cpu_est_0_3__un1_n N_4 N_240_i cpu_est_0_3__un0_n \ + vcc_n_n N_5 N_241_i ipl_030_0_0__un3_n N_7 ipl_030_0_0__un1_n gnd_n_n N_10 N_266_i ipl_030_0_0__un0_n un1_amiga_bus_enable_low \ + N_18 N_267_i ipl_030_0_1__un3_n un3_size N_24 N_254_i ipl_030_0_1__un1_n un4_size N_6 N_317_i ipl_030_0_1__un0_n \ + un4_uds_000 un1_amiga_bus_enable_low_i ipl_030_0_2__un3_n un4_lds_000 un21_fpu_cs_i N_313_i ipl_030_0_2__un1_n un5_ciin BGACK_030_INT_i N_316_i ipl_030_0_2__un0_n \ + un4_as_000 AMIGA_BUS_ENABLE_DMA_LOW_i N_312_i a0_dma_0_un3_n un21_fpu_cs UDS_000_INT_i a0_dma_0_un1_n un22_berr LDS_000_INT_i N_347_i a0_dma_0_un0_n \ + un6_ds_030 N_236_i N_323_i uds_000_int_0_un3_n sm_amiga_i_5__n N_324_i uds_000_int_0_un1_n DS_000_ENABLE_1_sqmuxa_i N_222_i uds_000_int_0_un0_n N_276_i \ + AS_000_DMA_i vma_int_0_un3_n RST_i N_221_0 vma_int_0_un1_n a_i_19__n N_220_0 vma_int_0_un0_n a_i_18__n N_219_0 amiga_bus_enable_dma_high_0_un3_n \ + size_dma_i_1__n N_216_0 amiga_bus_enable_dma_high_0_un1_n size_dma_i_0__n N_290_0 amiga_bus_enable_dma_high_0_un0_n RW_i N_212_0 bg_000_0_un3_n CLK_000_PE_i N_211_0 \ + bg_000_0_un1_n a_i_16__n N_204_0 bg_000_0_un0_n RESET_OUT_i N_351_i ds_000_dma_0_un3_n BERR_i N_353_i ds_000_dma_0_un1_n sm_amiga_i_i_7__n \ + N_201_i ds_000_dma_0_un0_n nEXP_SPACE_D0_i VMA_INT_i as_000_dma_0_un3_n sm_amiga_i_4__n N_197_i as_000_dma_0_un1_n FPU_SENSE_i N_196_i as_000_dma_0_un0_n \ + AS_030_i N_193_i lds_000_int_0_un3_n AS_030_D0_i N_192_0 lds_000_int_0_un1_n a_i_24__n clk_000_n_sync_i_10__n lds_000_int_0_un0_n sm_amiga_i_3__n N_350_i \ + rw_000_dma_0_un3_n cpu_est_i_0__n N_188_0 rw_000_dma_0_un1_n cpu_est_i_3__n N_187_i rw_000_dma_0_un0_n cpu_est_i_2__n N_185_i a_15__n cpu_est_i_1__n \ + N_182_i VPA_D_i N_181_i a_14__n CLK_000_NE_i CLK_OUT_PRE_D_i sm_amiga_i_1__n N_175_0 a_13__n rst_dly_i_2__n N_168_i \ + CLK_030_i AS_030_000_SYNC_i a_12__n rst_dly_i_0__n N_158_i rst_dly_i_1__n CLK_000_D0_i a_11__n CLK_000_D1_i N_148_i DTACK_D0_i \ + N_345_i a_10__n pos_clk_un6_bg_030_n RW_000_i N_344_i CLK_030_H_i N_144_0 a_9__n sm_amiga_i_6__n N_138_0 sm_amiga_i_2__n \ + a_8__n AS_000_i N_342_i pos_clk_ipl_n sm_amiga_i_0__n N_343_i a_7__n A1_i N_124_0 a_i_31__n N_341_i \ + a_6__n a_i_29__n N_119_0 a_i_30__n N_340_i a_5__n a_i_27__n N_361_i pos_clk_un8_bg_030_n a_i_28__n cpu_est_2_0_2__n \ + a_4__n a_i_25__n N_338_i a_i_26__n N_339_i a_3__n N_213_i cpu_est_2_0_1__n N_214_i N_332_i a_2__n \ + N_215_i N_336_i pos_clk_un7_clk_000_pe_0_n N_275_i N_99_0 un6_ds_030_i N_331_i DS_000_DMA_i N_96_0 un4_as_000_i N_330_i \ + AS_000_INT_i N_90_0 un4_lds_000_i N_328_i un4_uds_000_i AS_030_c N_80_0 N_325_i AS_000_c N_326_i N_258_0 \ + RW_000_c N_217_i N_321_i N_322_i UDS_000_c N_320_i pos_clk_un7_clk_000_pe_n LDS_000_c un5_ciin_i pos_clk_a0_dma_3_n size_c_0__n \ + N_61_0 N_310_i size_c_1__n N_305_i N_307_i N_3 N_303_i N_8 N_304_i N_283_0 N_301_i \ + N_300_i N_123_0 N_17 N_278_i N_19 N_297_i N_20 AMIGA_BUS_DATA_DIR_c_0 N_21 N_277_i N_22 \ + N_25 N_26 pos_clk_un8_sm_amiga_i_n N_27 A0_c_i N_28 size_c_i_1__n N_29 N_29_i N_32_0 N_28_i \ + N_31_0 N_27_i N_30_0 ipl_c_i_2__n N_53_0 ipl_c_i_1__n N_52_0 a_c_16__n ipl_c_i_0__n N_51_0 a_c_17__n \ + DTACK_c_i N_56_0 a_c_18__n VPA_c_i N_55_0 a_c_19__n nEXP_SPACE_c_i N_54_0 a_c_20__n N_3_i N_49_0 \ + a_c_21__n N_8_i N_45_0 a_c_22__n N_17_i N_42_0 a_c_23__n N_19_i N_40_0 a_c_24__n N_20_i \ + N_123 N_39_0 cpu_est_2_1__n a_c_25__n N_21_i cpu_est_2_2__n N_38_0 N_209 a_c_26__n N_22_i N_37_0 \ + a_c_27__n N_25_i N_34_0 N_217 a_c_28__n N_26_i N_33_0 N_61 a_c_29__n BG_030_c_i N_127 \ + pos_clk_un6_bg_030_i_n a_c_30__n pos_clk_un8_bg_030_0_n N_80 N_289_0_1 a_c_31__n un1_SM_AMIGA_5_i_1 N_90 un1_SM_AMIGA_5_i_2 N_96 A0_c \ + pos_clk_un8_sm_amiga_i_1_n N_99 N_351_1 N_119 A1_c N_351_2 N_124 N_168_i_1 N_138 nEXP_SPACE_c N_192_0_1 \ + N_144 N_192_0_2 N_158 BERR_c N_137_i_1 N_168 N_137_i_2 N_175 BG_030_c N_145_i_1 N_182 \ + N_145_i_2 N_185 N_145_i_3 N_187 N_260_i_1 N_188 N_260_i_2 N_192 BGACK_000_c N_259_i_1 N_193 \ + N_259_i_2 N_197 CLK_030_c N_336_1 N_201 N_336_2 N_204 N_332_1 N_206 N_332_2 N_207 \ + CLK_OSZI_c N_332_3 N_317_1 N_211 N_317_2 N_212 N_317_3 N_290 N_304_1 N_216 N_304_2 \ + N_219 FPU_SENSE_c un5_ciin_1 N_220 un5_ciin_2 N_221 un5_ciin_3 N_227 un5_ciin_4 N_229 un5_ciin_5 \ + N_230 un5_ciin_6 N_236 un5_ciin_7 N_240 un5_ciin_8 N_241 ipl_c_0__n un5_ciin_9 N_242 un5_ciin_10 \ + N_246 ipl_c_1__n un5_ciin_11 N_254 un22_berr_1_0 N_266 ipl_c_2__n un21_fpu_cs_1 N_267 N_375_1 N_275 \ + N_375_2 N_277 DTACK_c N_375_3 N_278 N_375_4 N_297 N_134_i_1 N_300 N_96_0_1 N_301 \ + VPA_c N_83_i_1 N_303 N_261_i_1 N_304 N_141_i_1 N_305 RST_c N_139_i_1 N_307 N_133_i_1 \ + N_310 N_123_0_1 N_312 RW_c N_282_i_1 N_313 N_343_1 N_316 fc_c_0__n N_339_1 N_317 \ + pos_clk_un6_bg_030_1_n N_320 fc_c_1__n N_326_1 N_321 N_325_1 N_322 N_324_1 N_323 AMIGA_BUS_DATA_DIR_c N_316_1 \ + N_324 N_313_1 N_325 N_303_1 N_326 N_297_1 N_328 N_266_1 N_330 N_24_i N_240_1 \ + N_331 N_35_0 pos_clk_ipl_1_n N_332 N_18_i amiga_bus_enable_dma_low_0_un3_n N_336 N_41_0 amiga_bus_enable_dma_low_0_un1_n N_338 N_10_i \ + amiga_bus_enable_dma_low_0_un0_n N_339 N_43_0 rw_000_int_0_un3_n N_340 N_7_i rw_000_int_0_un1_n N_341 N_46_0 rw_000_int_0_un0_n N_342 \ + N_5_i bgack_030_int_0_un3_n N_343 N_47_0 bgack_030_int_0_un1_n N_344 N_4_i bgack_030_int_0_un0_n N_345 N_48_0 as_030_000_sync_0_un3_n \ + N_347 N_272_i as_030_000_sync_0_un1_n N_350 N_271_i as_030_000_sync_0_un0_n N_351 N_279_0 ds_000_enable_0_un3_n N_353 N_280_0 \ + ds_000_enable_0_un1_n N_361 N_281_0 ds_000_enable_0_un0_n N_298_i as_000_int_0_un3_n pos_clk_size_dma_6_0_0__n as_000_int_0_un1_n N_299_i as_000_int_0_un0_n pos_clk_size_dma_6_0_1__n \ + dsack1_int_0_un3_n un22_berr_1 un1_as_000_i dsack1_int_0_un1_n N_375 N_358_i dsack1_int_0_un0_n N_218 pos_clk_un6_bgack_000_0_n size_dma_0_1__un3_n N_156 \ + N_284_i size_dma_0_1__un1_n N_289 N_285_i size_dma_0_1__un0_n N_354 N_286_0 size_dma_0_0__un3_n N_205 N_88_0 size_dma_0_0__un1_n \ + un1_SM_AMIGA_5 pos_clk_un3_as_030_d0_i_n size_dma_0_0__un0_n DS_000_ENABLE_1_sqmuxa N_156_i sm_amiga_srsts_i_0_m2_1__un3_n N_349 N_349_i sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_un3_as_030_d0_n N_194_i \ + sm_amiga_srsts_i_0_m2_1__un0_n N_286 un1_SM_AMIGA_5_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n pos_clk_un6_bgack_000_n UDS_000_c_i un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_358 LDS_000_c_i un1_amiga_bus_enable_dma_high_i_m2_0__un0_n pos_clk_size_dma_6_1__n \ + N_205_i sm_amiga_srsts_i_0_m2_5__un3_n N_299 N_206_0 sm_amiga_srsts_i_0_m2_5__un1_n pos_clk_size_dma_6_0__n N_207_0 sm_amiga_srsts_i_0_m2_5__un0_n N_298 N_354_i cpu_est_0_1__un3_n \ + N_281 N_208_0 cpu_est_0_1__un1_n AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ + SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE +.names N_138.BLIF AS_030 1 1 .names AS_030.PIN AS_030_c 1 1 -.names N_231.BLIF AS_030.OE +.names N_285_i.BLIF AS_030.OE 1 1 .names un4_as_000_i.BLIF AS_000 1 1 .names AS_000.PIN AS_000_c 1 1 -.names N_230.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 .names inst_RW_000_INT.BLIF RW_000 1 1 .names RW_000.PIN RW_000_c 1 1 -.names N_230.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 .names un4_uds_000_i.BLIF UDS_000 1 1 .names UDS_000.PIN UDS_000_c 1 1 -.names N_230.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 .names un4_lds_000_i.BLIF LDS_000 1 1 .names LDS_000.PIN LDS_000_c 1 1 -.names N_230.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 .names un4_size.BLIF SIZE_0_ 1 1 .names SIZE_0_.PIN size_c_0__n 1 1 -.names un1_as_030_i.BLIF SIZE_0_.OE +.names N_222_i.BLIF SIZE_0_.OE 1 1 .names un3_size.BLIF SIZE_1_ 1 1 .names SIZE_1_.PIN size_c_1__n 1 1 -.names un1_as_030_i.BLIF SIZE_1_.OE +.names N_222_i.BLIF SIZE_1_.OE 1 1 .names inst_A0_DMA.BLIF A0 1 1 .names A0.PIN A0_c 1 1 -.names N_231.BLIF A0.OE +.names N_285_i.BLIF A0.OE 1 1 .names gnd_n_n.BLIF BERR 1 1 @@ -286,11 +285,11 @@ 1 1 .names RW.PIN RW_c 1 1 -.names N_332.BLIF RW.OE +.names N_284_i.BLIF RW.OE 1 1 .names un6_ds_030_i.BLIF DS_030 1 1 -.names N_231.BLIF DS_030.OE +.names N_285_i.BLIF DS_030.OE 1 1 .names inst_DSACK1_INTreg.BLIF DSACK1 1 1 @@ -304,1578 +303,1570 @@ 1 1 .names N_61.BLIF CIIN.OE 1 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +.names N_304_1.BLIF N_304_2.BLIF N_304 11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names cpu_est_2_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_1_.C -1 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_222 -11 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names N_184.BLIF sm_amiga_i_0__n.BLIF N_378 -11 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 .names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un4_uds_000 11 1 -.names CLK_OSZI_c.BLIF RST_DLY_2_.C +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C 1 1 -.names CLK_000_NE_i.BLIF rst_dly_i_0__n.BLIF N_302_1 +.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 11 1 -.names N_180.BLIF sm_amiga_i_6__n.BLIF N_205 -11 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i 0 1 -.names N_302_1.BLIF RST_c.BLIF N_302 -11 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un4_lds_000 -11 1 -.names N_199_i.BLIF N_200_i.BLIF N_244_i_1 -11 1 -.names BG_030_c_i.BLIF N_128.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names N_201_i.BLIF RST_c.BLIF N_244_i_2 -11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_209 -11 1 .names un4_uds_000.BLIF un4_uds_000_i 0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -.names N_244_i_1.BLIF N_244_i_2.BLIF RST_DLY_1_.D +.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +.names SIZE_DMA_1_.BLIF size_dma_i_1__n 0 1 .names un4_lds_000.BLIF un4_lds_000_i 0 1 -.names N_243_2_i.BLIF N_195_i.BLIF N_243_i_1 +.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size 11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +1 1 +.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 +11 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 .names un4_as_000.BLIF un4_as_000_i 0 1 -.names N_243_i_1.BLIF N_196_i.BLIF RST_DLY_2_.D +.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 11 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +.names N_286.BLIF size_dma_0_1__un3_n +0 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +11 1 +.names pos_clk_size_dma_6_1__n.BLIF N_286.BLIF size_dma_0_1__un1_n +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 +11 1 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 .names un6_ds_030.BLIF un6_ds_030_i 0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_410_1 +.names CLK_OSZI_c.BLIF RST_DLY_0_.C +1 1 +.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 +.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 -.names N_98.BLIF N_98_i +.names N_96.BLIF ds_000_dma_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_410_2 +.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +.names N_286.BLIF size_dma_0_0__un3_n 0 1 -.names N_269.BLIF dsack1_int_0_un3_n -0 1 -.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_375_2 +.names N_90.BLIF N_96.BLIF ds_000_dma_0_un1_n 11 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_179_0 +.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 11 1 -.names N_98_i.BLIF N_269.BLIF dsack1_int_0_un1_n +.names pos_clk_size_dma_6_0__n.BLIF N_286.BLIF size_dma_0_0__un1_n 11 1 -.names N_375_1.BLIF N_375_2.BLIF N_375 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n 11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +.names N_266_i.BLIF N_267_i.BLIF N_260_i_2 11 1 -.names inst_CLK_000_PE.BLIF N_155_i.BLIF N_373_1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n 11 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n -11 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_4 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C 1 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_373_2 +.names N_260_i_1.BLIF N_260_i_2.BLIF RST_DLY_1_.D 11 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names N_373_1.BLIF N_373_2.BLIF N_373 -11 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF N_137_0 -11 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un1_n -11 1 -.names N_150.BLIF N_162_i.BLIF N_210_1 -11 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_270_0 -11 1 -.names un1_SM_AMIGA_5_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names N_164_i.BLIF N_165_i.BLIF N_210_2 -11 1 -.names N_233_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_357_0 -11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_6 +.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -.names N_210_1.BLIF N_210_2.BLIF N_210_3 +.names N_99.BLIF as_000_dma_0_un3_n +0 1 +.names N_240_i.BLIF N_241_i.BLIF N_259_i_1 11 1 -.names N_161_i.BLIF N_227_i.BLIF N_354_0 +.names N_4_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names N_192.BLIF N_99.BLIF as_000_dma_0_un1_n +11 1 +.names N_242_i.BLIF N_246_i.BLIF N_259_i_2 +11 1 +.names N_5_i.BLIF RST_c.BLIF N_47_0 +11 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names N_259_i_1.BLIF N_259_i_2.BLIF RST_DLY_2_.D +11 1 +.names N_7_i.BLIF RST_c.BLIF N_46_0 +11 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +.names inst_CLK_000_NE.BLIF N_361.BLIF N_336_1 +11 1 +.names N_10_i.BLIF RST_c.BLIF N_43_0 11 1 .names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n 0 1 -.names N_210_3.BLIF sm_amiga_i_3__n.BLIF N_210 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_336_2 +11 1 +.names N_18_i.BLIF RST_c.BLIF N_41_0 11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 .names pos_clk_un8_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n 11 1 -.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D +.names N_336_1.BLIF N_336_2.BLIF N_336 11 1 +.names RST_c.BLIF RST_i +0 1 .names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n 11 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 +.names inst_CLK_000_PE.BLIF cpu_est_i_0__n.BLIF N_332_1 11 1 -.names N_176_i.BLIF N_378_i.BLIF SM_AMIGA_0_.D +.names N_24_i.BLIF RST_c.BLIF N_35_0 11 1 .names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_17 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_312 -11 1 -.names vcc_n_n -1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names gnd_n_n -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names N_179.BLIF sm_amiga_i_2__n.BLIF N_241 -11 1 -.names A_15_.BLIF a_15__n -1 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n -0 1 -.names A_14_.BLIF a_14__n -1 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -.names N_150_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_150_i -11 1 -.names SM_AMIGA_i_7_.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n -11 1 -.names A_13_.BLIF a_13__n -1 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_162_i.BLIF un1_SM_AMIGA_5_i_1 -11 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n -11 1 -.names A_12_.BLIF a_12__n -1 1 -.names N_318_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_5_i_2 -11 1 -.names un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n.BLIF N_190 -1- 1 --1 1 -.names A_11_.BLIF a_11__n -1 1 -.names un1_SM_AMIGA_5_i_1.BLIF un1_SM_AMIGA_5_i_2.BLIF un1_SM_AMIGA_5_i -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names A_10_.BLIF a_10__n -1 1 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -.names N_211_i.BLIF N_212_i.BLIF N_138_i_1 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names A_9_.BLIF a_9__n -1 1 -.names N_313_i.BLIF RST_c.BLIF N_138_i_2 -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names A_8_.BLIF a_8__n -1 1 -.names N_138_i_1.BLIF N_138_i_2.BLIF SM_AMIGA_3_.D -11 1 -.names BGACK_000_c.BLIF N_410.BLIF un22_berr_1 -11 1 -.names A_7_.BLIF a_7__n -1 1 -.names N_176_i.BLIF N_206_i.BLIF N_146_i_1 -11 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names A_6_.BLIF a_6__n -1 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names N_207_i.BLIF N_208_i.BLIF N_146_i_2 -11 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names A_5_.BLIF a_5__n -1 1 -.names N_146_i_1.BLIF N_146_i_2.BLIF N_146_i_3 -11 1 -.names N_410.BLIF N_410_i_0 -0 1 -.names A_4_.BLIF a_4__n -1 1 -.names N_146_i_3.BLIF N_210_i.BLIF SM_AMIGA_i_7_.D -11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n -0 1 -.names A_3_.BLIF a_3__n -1 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_220_1 -11 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_0_m3_1__un1_n -11 1 -.names A_2_.BLIF a_2__n -1 1 .names CLK_OSZI_c.BLIF inst_AS_000_INT.C 1 1 -.names RST_c.BLIF SM_AMIGA_3_.BLIF N_220_2 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_332_2 11 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n.BLIF sm_amiga_srsts_i_0_0_m3_1__un0_n +.names N_6.BLIF RST_c.BLIF inst_DS_000_ENABLE.D 11 1 -.names N_220_1.BLIF N_220_2.BLIF N_220 -11 1 -.names sm_amiga_srsts_i_0_0_m3_1__un1_n.BLIF sm_amiga_srsts_i_0_0_m3_1__un0_n.BLIF N_193 -1- 1 --1 1 -.names inst_CLK_000_NE.BLIF N_348.BLIF N_375_1 -11 1 -.names N_22.BLIF N_22_i +.names N_193.BLIF rw_000_dma_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C -1 1 -.names N_38_0.BLIF inst_VMA_INTreg.D +.names N_332_1.BLIF N_332_2.BLIF N_332_3 +11 1 +.names N_276.BLIF N_276_i 0 1 +.names N_80.BLIF N_193.BLIF rw_000_dma_0_un1_n +11 1 +.names N_332_3.BLIF cpu_est_i_3__n.BLIF N_332 +11 1 +.names N_156.BLIF SM_AMIGA_0_.BLIF N_354 +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_168.BLIF N_194_i.BLIF N_317_1 +11 1 .names inst_CLK_000_PE.BLIF CLK_000_PE_i 0 1 -.names N_26.BLIF N_26_i -0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_0_m3_5__un3_n -0 1 -.names N_34_0.BLIF BG_000DFFreg.D -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_0_m3_5__un1_n -11 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_0_m3_5__un3_n.BLIF sm_amiga_srsts_i_0_0_m3_5__un0_n -11 1 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names sm_amiga_srsts_i_0_0_m3_5__un1_n.BLIF sm_amiga_srsts_i_0_0_m3_5__un0_n.BLIF N_188 -1- 1 --1 1 -.names AS_000_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.BLIF N_161_i_1 -11 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_184_0 -11 1 -.names BGACK_030_INT_i.BLIF N_312_i.BLIF N_161_i_2 -11 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_180_0 -11 1 -.names N_161_i_1.BLIF N_161_i_2.BLIF N_161_i -11 1 -.names A1_c.BLIF A1_i -0 1 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un8_sm_amiga_i_1_n -11 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_114 -11 1 -.names pos_clk_un8_sm_amiga_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un8_sm_amiga_i_n -11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_113 -11 1 -.names N_154_i.BLIF N_155_i.BLIF N_324_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_327 -11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_324_2 -11 1 -.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_331 -11 1 -.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C -1 1 -.names N_324_1.BLIF N_324_2.BLIF N_324 -11 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_352_0 -11 1 -.names AS_030_000_SYNC_i.BLIF N_147_i.BLIF N_150_i_1 -11 1 -.names CLK_030_i.BLIF N_161_i.BLIF N_356_0 -11 1 -.names N_205.BLIF N_205_i -0 1 -.names BGACK_000_c.BLIF N_331_i.BLIF pos_clk_un6_bgack_000_0_n -11 1 -.names A0_c.BLIF A0_c_i -0 1 -.names N_236_i.BLIF N_237_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names N_238_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names N_27.BLIF N_27_i -0 1 -.names N_239_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names N_31_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_358_0 -11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_173_i -11 1 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names AS_000_DMA_i.BLIF CLK_030_i.BLIF N_185_0 -11 1 -.names N_4.BLIF N_4_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i -0 1 -.names N_49_0.BLIF inst_DSACK1_INTreg.D -0 1 -.names N_19_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names N_17.BLIF N_17_i -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -.names N_43_0.BLIF inst_LDS_000_INT.D -0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names N_18.BLIF N_18_i -0 1 -.names N_232.BLIF size_dma_0_0__un3_n -0 1 -.names N_42_0.BLIF inst_RW_000_INT.D -0 1 -.names SIZE_DMA_0_.BLIF N_232.BLIF size_dma_0_0__un1_n -11 1 -.names N_21.BLIF N_21_i -0 1 -.names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names CLK_OSZI_c.BLIF inst_RESET_OUT.C -1 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D -1- 1 --1 1 -.names N_224.BLIF N_224_i -0 1 -.names N_232.BLIF size_dma_0_1__un3_n -0 1 -.names N_225.BLIF N_225_i -0 1 -.names SIZE_DMA_1_.BLIF N_232.BLIF size_dma_0_1__un1_n -11 1 -.names N_282_0.BLIF SM_AMIGA_6_.D -0 1 -.names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C -1 1 -.names N_221.BLIF N_221_i -0 1 -.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D -1- 1 --1 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -.names N_222.BLIF N_222_i -0 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names N_219.BLIF N_219_i -0 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_220.BLIF N_220_i -0 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C -1 1 -.names N_283_0.BLIF SM_AMIGA_2_.D -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -.names N_216.BLIF N_216_i -0 1 -.names CLK_030_H_i.BLIF N_185.BLIF N_226 -11 1 -.names N_218.BLIF N_218_i -0 1 -.names N_327.BLIF RST_c.BLIF N_232 -11 1 -.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_236 -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -.names N_373.BLIF N_373_i -0 1 -.names BGACK_030_INT_i.BLIF N_173.BLIF N_238 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names N_375.BLIF N_375_i -0 1 -.names BGACK_030_INT_i.BLIF N_173_i.BLIF N_239 -11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_188.BLIF N_188_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -.names cpu_est_2_0_3__n.BLIF cpu_est_2_3__n -0 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -.names N_277.BLIF N_277_i -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_348.BLIF N_348_i -0 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 -.names N_356.BLIF as_000_dma_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names N_128_i.BLIF N_128 -0 1 -.names N_161.BLIF N_356.BLIF as_000_dma_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -.names N_193.BLIF N_193_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names N_241.BLIF N_241_i -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names N_240.BLIF N_240_i -0 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -.names N_124_0.BLIF N_124 -0 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -.names N_269_0.BLIF N_269 -0 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names N_61_0.BLIF N_61 -0 1 -.names N_327.BLIF rw_000_dma_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -.names N_228.BLIF N_228_i -0 1 -.names inst_RW_000_DMA.BLIF N_327.BLIF rw_000_dma_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -.names N_355_0.BLIF N_355 -0 1 -.names N_352.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_226.BLIF N_226_i -0 1 .names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 1- 1 -1 1 -.names N_159_0.BLIF N_159 -0 1 -.names N_327.BLIF a0_dma_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C +.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C 1 1 -.names N_318.BLIF N_318_i -0 1 -.names inst_A0_DMA.BLIF N_327.BLIF a0_dma_0_un1_n +.names N_196_i.BLIF N_197_i.BLIF N_317_2 11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -.names N_156_i.BLIF N_156 -0 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +.names CLK_000_PE_i.BLIF SM_AMIGA_4_.BLIF N_349 11 1 -.names N_154_i.BLIF N_154 -0 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names N_113.BLIF N_113_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -.names N_152_0.BLIF N_152 -0 1 -.names N_327.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -.names N_150_i.BLIF N_150 -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_327.BLIF amiga_bus_enable_dma_low_0_un1_n +.names vcc_n_n +1 +.names N_317_1.BLIF N_317_2.BLIF N_317_3 11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names N_113_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +.names N_218.BLIF sm_amiga_i_5__n.BLIF N_305 11 1 -.names N_145_i.BLIF N_145 -0 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -.names N_281.BLIF N_281_i -0 1 -.names N_114.BLIF N_114_i -0 1 -.names CLK_OSZI_c.BLIF cpu_est_3_.C -1 1 -.names N_302.BLIF N_302_i -0 1 -.names N_327.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names N_279.BLIF N_279_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_327.BLIF amiga_bus_enable_dma_high_0_un1_n +.names gnd_n_n +.names VPA_D_i.BLIF cpu_est_i_1__n.BLIF N_351_2 11 1 -.names inst_CLK_OUT_PRE_D.BLIF inst_CLK_OUT_INTreg.D -1 1 -.names N_280.BLIF N_280_i -0 1 -.names N_114_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_300 11 1 -.names un5_e_0.BLIF un5_e -0 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C +.names A_15_.BLIF a_15__n 1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_INTreg.C +.names N_351_1.BLIF N_351_2.BLIF N_351 +11 1 +.names BGACK_030_INT_i.BLIF N_205_i.BLIF N_299 +11 1 +.names A_14_.BLIF a_14__n 1 1 -.names N_278.BLIF N_278_i -0 1 -.names N_25_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names N_181_0.BLIF N_181 -0 1 -.names N_24_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names N_178_0.BLIF N_178 -0 1 -.names N_20_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D +.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C 1 1 -.names N_69_0.BLIF inst_BGACK_030_INT_D.D -0 1 -.names N_148_i.BLIF N_307.BLIF N_199 +.names AS_030_000_SYNC_i.BLIF N_148_i.BLIF N_168_i_1 11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C -1 1 -.names N_329.BLIF N_329_i -0 1 -.names CLK_000_NE_i.BLIF rst_dly_i_1__n.BLIF N_201 +.names BGACK_030_INT_i.BLIF N_205.BLIF N_298 11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C +.names A_13_.BLIF a_13__n 1 1 -.names N_175_0.BLIF N_175 +.names N_168_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_168_i +11 1 +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_276 +11 1 +.names A_12_.BLIF a_12__n +1 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_192_0_1 +11 1 +.names pos_clk_un3_as_030_d0_i_n.BLIF un1_SM_AMIGA_5.BLIF DS_000_ENABLE_1_sqmuxa_1 +11 1 +.names A_11_.BLIF a_11__n +1 1 +.names pos_clk_un24_bgack_030_int_i_i_a4_i_x2.BLIF N_345_i.BLIF N_192_0_2 +11 1 +.names N_375.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_272 +11 1 +.names A_10_.BLIF a_10__n +1 1 +.names CLK_OSZI_c.BLIF inst_AS_030_D0.C +1 1 +.names N_192_0_1.BLIF N_192_0_2.BLIF N_192_0 +11 1 +.names N_289.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_271 +11 1 +.names A_9_.BLIF a_9__n +1 1 +.names N_323_i.BLIF N_324_i.BLIF N_137_i_1 +11 1 +.names RW_c.BLIF RW_i +0 1 +.names A_8_.BLIF a_8__n +1 1 +.names N_347_i.BLIF RST_c.BLIF N_137_i_2 +11 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa +11 1 +.names A_7_.BLIF a_7__n +1 1 +.names N_137_i_1.BLIF N_137_i_2.BLIF SM_AMIGA_3_.D +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names A_6_.BLIF a_6__n +1 1 +.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C +1 1 +.names N_208_0.BLIF N_312_i.BLIF N_145_i_1 +11 1 +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +11 1 +.names A_5_.BLIF a_5__n +1 1 +.names N_313_i.BLIF N_316_i.BLIF N_145_i_2 +11 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +11 1 +.names A_4_.BLIF a_4__n +1 1 +.names N_145_i_1.BLIF N_145_i_2.BLIF N_145_i_3 +11 1 +.names AS_030_i.BLIF RST_c.BLIF N_88_0 +11 1 +.names A_3_.BLIF a_3__n +1 1 +.names N_145_i_3.BLIF N_317_i.BLIF SM_AMIGA_i_7_.D +11 1 +.names N_193_i.BLIF RST_c.BLIF N_286_0 +11 1 +.names A_2_.BLIF a_2__n +1 1 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +.names N_242_i.BLIF N_254_i.BLIF N_260_i_1 +11 1 +.names N_222_i.BLIF inst_RESET_OUT.BLIF N_285_i +11 1 +.names N_25.BLIF N_25_i +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_284_i +11 1 +.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D +0 1 +.names BGACK_000_c.BLIF N_358_i.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names N_26.BLIF N_26_i 0 1 .names inst_RESET_OUT.BLIF RESET_OUT_i 0 1 -.names N_174_0.BLIF N_174 +.names CLK_OSZI_c.BLIF inst_DTACK_D0.C +1 1 +.names N_33_0.BLIF BG_000DFFreg.D 0 1 -.names N_182.BLIF RESET_OUT_i.BLIF N_235 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i 11 1 -.names N_171_0.BLIF N_171 +.names BG_030_c.BLIF BG_030_c_i 0 1 -.names N_143.BLIF RST_DLY_0_.BLIF N_281 +.names N_299_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n 11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D -1 1 -.names un1_SM_AMIGA_5_i.BLIF un1_SM_AMIGA_5 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names inst_CLK_000_NE.BLIF N_158.BLIF N_307 +.names N_298_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n 11 1 -.names N_324.BLIF N_324_i +.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n 0 1 -.names N_158.BLIF RST_c.BLIF N_217_i +.names N_236_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_281_0 11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C 1 1 -.names N_326.BLIF N_326_i -0 1 -.names N_307_i.BLIF RST_c.BLIF N_143_0 +.names N_193_i.BLIF sm_amiga_i_i_7__n.BLIF N_289_0_1 11 1 -.names N_168_i.BLIF N_168 -0 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n -0 1 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_EXP_INT.D -1 1 -.names N_165_i.BLIF N_165 -0 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_148_i +.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_280_0 11 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_EXP_INT.C -1 1 -.names N_321.BLIF N_321_i -0 1 -.names N_148_i.BLIF RST_DLY_2_.BLIF N_158_i +.names N_289_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_289_0 11 1 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -.names N_199.BLIF N_199_i -0 1 -.names inst_CLK_000_NE.BLIF N_158_i.BLIF N_182_0 +.names N_271_i.BLIF N_272_i.BLIF N_279_0 11 1 -.names N_182_0.BLIF N_182 -0 1 -.names N_198_i.BLIF RST_c.BLIF N_243_2_i +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_349_i.BLIF un1_SM_AMIGA_5_i_1 11 1 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_D.D -1 1 -.names N_158_i.BLIF N_158 +.names a_c_16__n.BLIF a_i_16__n 0 1 -.names cpu_est_3_.BLIF cpu_est_i_3__n -0 1 -.names N_148_i.BLIF N_148 -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -.names N_307.BLIF N_307_i -0 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_143_0.BLIF N_143 -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names N_235.BLIF N_235_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names N_210.BLIF N_210_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -.names N_207.BLIF N_207_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +.names N_194_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_5_i_2 11 1 -.names N_208.BLIF N_208_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_206.BLIF N_206_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size +.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_358 11 1 -.names N_313.BLIF N_313_i -0 1 -.names N_235_i.BLIF RST_c.BLIF inst_RESET_OUT.D +.names CLK_OSZI_c.BLIF inst_RESET_OUT.C +1 1 +.names un1_SM_AMIGA_5_i_1.BLIF un1_SM_AMIGA_5_i_2.BLIF un1_SM_AMIGA_5_i 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C -1 1 -.names N_211.BLIF N_211_i -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_332 +.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 11 1 -.names A_16_.BLIF a_c_16__n -1 1 -.names N_212.BLIF N_212_i -0 1 -.names N_332.BLIF nEXP_SPACE_D0_i.BLIF N_231 +.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un8_sm_amiga_i_1_n 11 1 -.names A_17_.BLIF a_c_17__n -1 1 -.names N_183_0.BLIF N_183 +.names FPU_SENSE_c.BLIF FPU_SENSE_i 0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_230 +.names pos_clk_un8_sm_amiga_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un8_sm_amiga_i_n 11 1 -.names IPL_D0_2_.BLIF G_136.X1 -1 1 -.names A_18_.BLIF a_c_18__n -1 1 -.names N_44_0.BLIF inst_BGACK_030_INTreg.D +.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n 0 1 -.names N_158_i.BLIF N_243_2.BLIF N_196 +.names N_182_i.BLIF VMA_INT_i.BLIF N_351_1 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C +.names BERR_c.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n +11 1 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C 1 1 -.names A_19_.BLIF a_c_19__n +.names N_54_0.BLIF inst_nEXP_SPACE_D0reg.D +0 1 +.names inst_CLK_000_PE.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n +11 1 +.names N_3.BLIF N_3_i +0 1 +.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_229 +1- 1 +-1 1 +.names N_49_0.BLIF inst_DS_000_DMA.D +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_8.BLIF N_8_i +0 1 +.names N_156_i.BLIF SM_AMIGA_4_.BLIF N_218_0 +11 1 +.names CLK_OSZI_c.BLIF BG_000DFFreg.C 1 1 +.names N_45_0.BLIF inst_AS_000_DMA.D +0 1 +.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i +0 1 +.names N_17.BLIF N_17_i +0 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_210_0 +11 1 +.names N_42_0.BLIF inst_LDS_000_INT.D +0 1 +.names N_354_i.BLIF RST_c.BLIF N_208_0 +11 1 .names N_19.BLIF N_19_i 0 1 -.names CLK_000_NE_i.BLIF rst_dly_i_2__n.BLIF N_198 +.names N_156.BLIF sm_amiga_i_3__n.BLIF N_207_0 11 1 -.names ipl_c_2__n.BLIF G_136.X2 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C 1 1 -.names A_20_.BLIF a_c_20__n -1 1 -.names N_41_0.BLIF inst_RW_000_DMA.D +.names N_40_0.BLIF inst_RW_000_DMA.D 0 1 -.names clk_000_n_sync_i_10__n.BLIF N_321_i.BLIF N_159_0 +.names N_156.BLIF SM_AMIGA_i_7_.BLIF N_206_0 11 1 -.names A_21_.BLIF a_c_21__n -1 1 .names N_20.BLIF N_20_i 0 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_162_i +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_205_i 11 1 -.names A_22_.BLIF a_c_22__n -1 1 -.names N_40_0.BLIF inst_A0_DMA.D +.names N_39_0.BLIF inst_A0_DMA.D 0 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_164_i +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names N_21.BLIF N_21_i +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_194_i 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C 1 1 -.names IPL_D0_1_.BLIF G_135.X1 -1 1 -.names A_23_.BLIF a_c_23__n -1 1 -.names N_24.BLIF N_24_i +.names N_38_0.BLIF inst_UDS_000_INT.D 0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_165_i +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_156_i 11 1 -.names A_24_.BLIF a_c_24__n -1 1 -.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D +.names N_22.BLIF N_22_i 0 1 -.names N_324_i.BLIF N_326_i.BLIF N_168_i +.names BERR_c.BLIF BERR_i +0 1 +.names N_37_0.BLIF inst_VMA_INTreg.D +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF N_222_i 11 1 -.names ipl_c_1__n.BLIF G_135.X2 -1 1 -.names A_25_.BLIF a_c_25__n -1 1 -.names N_25.BLIF N_25_i +.names N_28.BLIF N_28_i 0 1 -.names N_164_i.BLIF sm_amiga_i_6__n.BLIF N_171_0 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n +0 1 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +.names N_31_0.BLIF IPL_030DFF_1_reg.D +0 1 +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n 11 1 -.names A_26_.BLIF a_c_26__n -1 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D +.names N_27.BLIF N_27_i 0 1 -.names N_145.BLIF SM_AMIGA_i_7_.BLIF N_174_0 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -.names A_27_.BLIF a_c_27__n -1 1 -.names N_198.BLIF N_198_i +.names N_30_0.BLIF IPL_030DFF_0_reg.D 0 1 -.names N_145.BLIF sm_amiga_i_3__n.BLIF N_175_0 +.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_227 +1- 1 +-1 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +.names N_53_0.BLIF IPL_D0_2_.D +0 1 +.names SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_m2_5__un3_n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names BERR_c.BLIF SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_m2_5__un1_n 11 1 -.names CYCLE_DMA_0_.BLIF pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.X1 -1 1 -.names A_28_.BLIF a_c_28__n -1 1 -.names N_243_2_i.BLIF N_243_2 +.names N_52_0.BLIF IPL_D0_1_.D 0 1 -.names N_329_i.BLIF RST_c.BLIF N_176_i +.names inst_CLK_000_PE.BLIF sm_amiga_srsts_i_0_m2_5__un3_n.BLIF sm_amiga_srsts_i_0_m2_5__un0_n 11 1 -.names A_29_.BLIF a_c_29__n -1 1 -.names N_196.BLIF N_196_i +.names ipl_c_0__n.BLIF ipl_c_i_0__n 0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_69_0 -11 1 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +.names sm_amiga_srsts_i_0_m2_5__un1_n.BLIF sm_amiga_srsts_i_0_m2_5__un0_n.BLIF N_230 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 -.names CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.X2 -1 1 -.names A_30_.BLIF a_c_30__n -1 1 -.names N_195.BLIF N_195_i +.names N_51_0.BLIF IPL_D0_0_.D 0 1 -.names N_145_i.BLIF SM_AMIGA_4_.BLIF N_178_0 -11 1 -.names A_31_.BLIF a_c_31__n +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C 1 1 -.names N_201.BLIF N_201_i +.names DTACK_c.BLIF DTACK_c_i 0 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_181_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -.names N_200.BLIF N_200_i -0 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_4_.BLIF N_183_0 -11 1 -.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.X1 -1 1 -.names A1.BLIF A1_c -1 1 -.names N_358_0.BLIF inst_AS_030_D0.D -0 1 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -.names N_239.BLIF N_239_i +.names N_56_0.BLIF inst_DTACK_D0.D 0 1 .names inst_VPA_D.BLIF VPA_D_i 0 1 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -.names N_209.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.X2 -1 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +.names VPA_c.BLIF VPA_c_i 0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i -11 1 -.names BG_030.BLIF BG_030_c -1 1 -.names N_238.BLIF N_238_i +.names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF N_61_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C 1 1 -.names BG_000DFFreg.BLIF BG_000 -1 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names N_98_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_269_0 -11 1 -.names inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_0_x2.X1 -1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -.names N_237.BLIF N_237_i -0 1 -.names N_277_i.BLIF N_348_i.BLIF cpu_est_2_0_2__n -11 1 -.names BGACK_000.BLIF BGACK_000_c -1 1 -.names N_236.BLIF N_236_i -0 1 -.names N_154.BLIF N_278_i.BLIF cpu_est_2_0_3__n -11 1 -.names CYCLE_DMA_0_.BLIF pos_clk_CYCLE_DMA_5_0_i_0_x2.X2 -1 1 -.names CLK_030.BLIF CLK_030_c -1 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names N_279_i.BLIF N_280_i.BLIF un5_e_0 -11 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -.names N_331.BLIF N_331_i -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_145_i -11 1 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_x2_0_.X1 -1 1 -.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT -1 1 -.names N_356_0.BLIF N_356 -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_147_i -11 1 -.names CLK_OUT_EXP_INT_i.BLIF CLK_EXP -1 1 -.names N_352_0.BLIF N_352 -0 1 -.names CLK_030_c.BLIF CLK_030_i -0 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C -1 1 -.names cpu_est_0_.BLIF cpu_est_0_0_x2_0_x2_0_.X2 -1 1 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -.names N_8.BLIF N_8_i -0 1 -.names CLK_030_c.BLIF CLK_OUT_PRE_D_i.BLIF N_152_0 -11 1 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -.names N_46_0.BLIF inst_AS_000_DMA.D -0 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_154_i -11 1 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -.names N_10.BLIF N_10_i -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_155_i -11 1 -.names inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0.X1 -1 1 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -.names N_233.BLIF N_233_i +.names N_55_0.BLIF inst_VPA_D.D 0 1 .names cpu_est_1_.BLIF cpu_est_i_1__n 0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_307.BLIF N_307_i +0 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names N_303.BLIF N_303_i +0 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +.names N_304.BLIF N_304_i +0 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +.names N_283_0.BLIF SM_AMIGA_2_.D +0 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names N_301.BLIF N_301_i +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_300.BLIF N_300_i +0 1 +.names clk_000_n_sync_i_10__n.BLIF N_350_i.BLIF N_188_0 +11 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +.names N_123_0.BLIF N_123 +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_193_i +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +.names N_278.BLIF N_278_i +0 1 +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_196_i +11 1 +.names N_297.BLIF N_297_i +0 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_197_i +11 1 +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +0 1 +.names N_351_i.BLIF N_353_i.BLIF N_201_i +11 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +.names N_277.BLIF N_277_i +0 1 +.names N_196_i.BLIF sm_amiga_i_6__n.BLIF N_204_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C +1 1 +.names A0_c.BLIF A0_c_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_211_0 +11 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_212_0 +11 1 +.names N_29.BLIF N_29_i +0 1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_290_0 +11 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C +1 1 +.names N_32_0.BLIF IPL_030DFF_2_reg.D +0 1 +.names inst_CLK_000_NE.BLIF N_187_i.BLIF N_216_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C +1 1 +.names N_330.BLIF N_330_i +0 1 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names N_90_0.BLIF N_90 +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names N_328.BLIF N_328_i +0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_219_0 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C +1 1 +.names N_80_0.BLIF N_80 +0 1 +.names inst_CLK_000_PE.BLIF SM_AMIGA_4_.BLIF N_220_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C +1 1 +.names N_325.BLIF N_325_i +0 1 +.names AS_000_DMA_i.BLIF CLK_030_i.BLIF N_221_0 +11 1 +.names N_326.BLIF N_326_i +0 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF N_138_0 +11 1 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D +1 1 +.names N_258_0.BLIF SM_AMIGA_6_.D +0 1 +.names N_217_i.BLIF N_217 +0 1 +.names N_344_i.BLIF RST_c.BLIF N_144_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C +1 1 +.names N_321.BLIF N_321_i +0 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names N_322.BLIF N_322_i +0 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_148_i +11 1 +.names N_320.BLIF N_320_i +0 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 +.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D +1 1 +.names un5_ciin.BLIF un5_ciin_i +0 1 +.names RST_DLY_1_.BLIF rst_dly_i_1__n +0 1 +.names CLK_OSZI_c.BLIF IPL_D0_0_.C +1 1 +.names N_61_0.BLIF N_61 +0 1 +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_158_i +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C +1 1 +.names N_310.BLIF N_310_i +0 1 +.names CLK_030_c.BLIF CLK_030_i +0 1 +.names N_305.BLIF N_305_i +0 1 +.names CLK_030_c.BLIF CLK_OUT_PRE_D_i.BLIF N_175_0 +11 1 +.names N_124_0.BLIF N_124 +0 1 +.names cpu_est_3_.BLIF cpu_est_i_2__n.BLIF N_181_i +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_1_.C +1 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D +1 1 +.names N_341.BLIF N_341_i +0 1 +.names N_181_i.BLIF cpu_est_i_0__n.BLIF N_182_i +11 1 +.names N_119_0.BLIF N_119 +0 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_185_i +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C +1 1 +.names N_340.BLIF N_340_i +0 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names N_361.BLIF N_361_i +0 1 +.names N_158_i.BLIF RST_DLY_2_.BLIF N_187_i +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_2_.C +1 1 +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n +0 1 +.names N_208_0.BLIF N_277_i.BLIF SM_AMIGA_0_.D +11 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D +1 1 +.names N_338.BLIF N_338_i +0 1 +.names N_278_i.BLIF N_297_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names N_339.BLIF N_339_i +0 1 +.names N_303_i.BLIF N_304_i.BLIF N_283_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C +1 1 +.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n +0 1 +.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF N_61_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +1 1 +.names N_332.BLIF N_332_i +0 1 +.names N_320_i.BLIF RST_c.BLIF inst_RESET_OUT.D +11 1 +.names N_336.BLIF N_336_i +0 1 +.names N_187.BLIF RST_c.BLIF N_217_i +11 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 +.names N_325_i.BLIF N_326_i.BLIF N_258_0 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C +1 1 +.names N_99_0.BLIF N_99 +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_80_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +.names N_331.BLIF N_331_i +0 1 +.names N_192_0.BLIF N_330_i.BLIF N_90_0 +11 1 +.names N_96_0.BLIF N_96 +0 1 +.names CLK_030_i.BLIF N_192_0.BLIF N_99_0 +11 1 +.names N_187_i.BLIF N_187 +0 1 +.names N_332_i.BLIF N_336_i.BLIF pos_clk_un7_clk_000_pe_0_n +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C +1 1 +.names N_185_i.BLIF N_185 +0 1 +.names N_338_i.BLIF N_339_i.BLIF cpu_est_2_0_1__n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C +1 1 +.names N_182_i.BLIF N_182 +0 1 +.names N_340_i.BLIF N_361_i.BLIF cpu_est_2_0_2__n +11 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names N_182.BLIF N_341_i.BLIF N_119_0 +11 1 +.names N_175_0.BLIF N_175 +0 1 +.names N_342_i.BLIF N_343_i.BLIF N_124_0 +11 1 +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C +1 1 +.names N_168_i.BLIF N_168 +0 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_330 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C +1 1 +.names N_158_i.BLIF N_158 +0 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_331 +11 1 +.names inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X1 +1 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_338 +11 1 +.names N_345.BLIF N_345_i +0 1 +.names N_185.BLIF cpu_est_2_.BLIF N_340 +11 1 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +1 1 +.names CYCLE_DMA_0_.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X2 +1 1 +.names N_344.BLIF N_344_i +0 1 +.names N_185_i.BLIF cpu_est_2_.BLIF N_341 +11 1 +.names N_144_0.BLIF N_144 +0 1 +.names N_181_i.BLIF cpu_est_i_1__n.BLIF N_342 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +1 1 +.names N_138_0.BLIF N_138 +0 1 +.names inst_CLK_000_NE.BLIF N_217_i.BLIF N_344 +11 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_.X1 +1 1 +.names N_342.BLIF N_342_i +0 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_345 +11 1 +.names A_16_.BLIF a_c_16__n +1 1 +.names N_343.BLIF N_343_i +0 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_347 +11 1 +.names cpu_est_0_.BLIF cpu_est_0_0_x2_0_.X2 +1 1 +.names A_17_.BLIF a_c_17__n +1 1 +.names N_216_0.BLIF N_216 +0 1 +.names CLK_000_N_SYNC_9_.BLIF N_175.BLIF N_350 +11 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C +1 1 +.names A_18_.BLIF a_c_18__n +1 1 +.names N_290_0.BLIF N_290 +0 1 +.names CLK_000_NE_i.BLIF RST_c.BLIF N_127 +11 1 +.names A_19_.BLIF a_c_19__n +1 1 +.names N_212_0.BLIF N_212 +0 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X1 +1 1 +.names A_20_.BLIF a_c_20__n +1 1 +.names N_211_0.BLIF N_211 +0 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_353 +11 1 +.names A_21_.BLIF a_c_21__n +1 1 +.names N_204_0.BLIF N_204 +0 1 +.names N_185_i.BLIF cpu_est_i_2__n.BLIF N_361 +11 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C +1 1 +.names N_209.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X2 +1 1 +.names A_22_.BLIF a_c_22__n +1 1 +.names N_351.BLIF N_351_i +0 1 +.names N_219.BLIF sm_amiga_i_0__n.BLIF N_277 +11 1 +.names A_23_.BLIF a_c_23__n +1 1 +.names N_353.BLIF N_353_i +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_278 +11 1 +.names A_24_.BLIF a_c_24__n +1 1 +.names N_201_i.BLIF N_201 +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names CYCLE_DMA_0_.BLIF pos_clk_un24_bgack_030_int_i_i_a4_i_x2.X1 +1 1 +.names A_25_.BLIF a_c_25__n +1 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 .names CLK_OSZI_c.BLIF SIZE_DMA_0_.C 1 1 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ +.names A_26_.BLIF a_c_26__n 1 1 -.names N_357_0.BLIF N_357 +.names N_197_i.BLIF N_197 0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_156_i +.names N_212.BLIF sm_amiga_i_2__n.BLIF N_301 11 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_25_0.X2 +.names CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_i_a4_i_x2.X2 1 1 -.names IPL_0_.BLIF ipl_c_0__n +.names A_27_.BLIF a_c_27__n 1 1 -.names N_270_0.BLIF N_270 +.names N_193_i.BLIF N_193 0 1 -.names N_156.BLIF cpu_est_2_.BLIF N_277 +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_307 11 1 -.names IPL_1_.BLIF ipl_c_1__n +.names A_28_.BLIF a_c_28__n 1 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names N_192_0.BLIF N_192 0 1 -.names N_156_i.BLIF cpu_est_2_.BLIF N_278 -11 1 -.names IPL_2_.BLIF ipl_c_2__n +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names A_29_.BLIF a_c_29__n 1 1 -.names N_137_0.BLIF N_137 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n 0 1 -.names N_155_i.BLIF cpu_est_3_.BLIF N_279 +.names N_211.BLIF sm_amiga_i_6__n.BLIF N_310 11 1 .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 .names IPL_D0_0_.BLIF G_134.X1 1 1 -.names N_312.BLIF N_312_i -0 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_313 -11 1 -.names DTACK.BLIF DTACK_c +.names A_30_.BLIF a_c_30__n 1 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +.names N_350.BLIF N_350_i 0 1 -.names CLK_000_PE_i.BLIF SM_AMIGA_4_.BLIF N_318 +.names N_290.BLIF N_347.BLIF N_312 +11 1 +.names A_31_.BLIF a_c_31__n +1 1 +.names N_188_0.BLIF N_188 +0 1 +.names N_216.BLIF RESET_OUT_i.BLIF N_320 11 1 .names ipl_c_0__n.BLIF G_134.X2 1 1 -.names vcc_n_n.BLIF AVEC -1 1 -.names N_161_i.BLIF N_161 +.names N_241.BLIF N_241_i 0 1 -.names CLK_000_N_SYNC_9_.BLIF N_152.BLIF N_321 +.names N_144.BLIF RST_DLY_0_.BLIF N_321 11 1 -.names un5_e.BLIF E +.names A1.BLIF A1_c 1 1 -.names N_179_0.BLIF N_179 -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i +.names N_266.BLIF N_266_i 0 1 +.names N_127.BLIF rst_dly_i_0__n.BLIF N_322 +11 1 .names CLK_OSZI_c.BLIF cpu_est_0_.C 1 1 -.names VPA.BLIF VPA_c +.names nEXP_SPACE.BLIF nEXP_SPACE_c 1 1 -.names N_180_0.BLIF N_180 +.names N_267.BLIF N_267_i 0 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_326 +.names N_220.BLIF sm_amiga_i_3__n.BLIF N_323 11 1 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +.names IPL_D0_1_.BLIF G_135.X1 1 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names N_184_0.BLIF N_184 +.names N_254.BLIF N_254_i 0 1 -.names N_145.BLIF SM_AMIGA_0_.BLIF N_329 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names BG_030.BLIF BG_030_c +1 1 +.names N_317.BLIF N_317_i +0 1 +.names CLK_030_H_i.BLIF N_221.BLIF N_328 11 1 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D +.names ipl_c_1__n.BLIF G_135.X2 1 1 -.names RST.BLIF RST_c +.names BG_000DFFreg.BLIF BG_000 1 1 -.names N_185_0.BLIF N_185 -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -.names UDS_000_c.BLIF UDS_000_c_i -0 1 -.names N_156_i.BLIF cpu_est_i_2__n.BLIF N_348 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -.names LDS_000_c.BLIF LDS_000_c_i -0 1 -.names N_373_i.BLIF N_375_i.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names N_173_i.BLIF N_173 -0 1 -.names N_216_i.BLIF N_218_i.BLIF cpu_est_2_0_1__n -11 1 -.names cpu_est_0_0_x2_0_x2_0_.BLIF cpu_est_0_.D -1 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names N_219_i.BLIF N_220_i.BLIF N_283_0 -11 1 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names N_224_i.BLIF N_225_i.BLIF N_282_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -.names N_190_i.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -.names N_165.BLIF BERR_i.BLIF N_208_1 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n +.names N_313.BLIF N_313_i 0 1 .names a_c_31__n.BLIF a_i_31__n 0 1 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +.names N_316.BLIF N_316_i +0 1 +.names BGACK_000.BLIF BGACK_000_c +1 1 +.names N_312.BLIF N_312_i +0 1 +.names IPL_D0_2_.BLIF G_136.X1 +1 1 +.names CLK_030.BLIF CLK_030_c +1 1 +.names N_347.BLIF N_347_i +0 1 +.names CLK_000.BLIF inst_CLK_000_D0.D +1 1 +.names N_323.BLIF N_323_i +0 1 +.names N_188.BLIF SM_AMIGA_1_.BLIF N_236 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +.names ipl_c_2__n.BLIF G_136.X2 +1 1 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +.names N_324.BLIF N_324_i +0 1 +.names N_144.BLIF N_187_i.BLIF N_241 +11 1 +.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names N_217.BLIF RST_i.BLIF N_242 +11 1 +.names CLK_OUT_INTreg.BLIF CLK_EXP +1 1 +.names N_221_0.BLIF N_221 +0 1 +.names N_127.BLIF rst_dly_i_2__n.BLIF N_246 +11 1 +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D +1 1 +.names un21_fpu_cs_i.BLIF FPU_CS +1 1 +.names N_220_0.BLIF N_220 +0 1 +.names N_144.BLIF N_158_i.BLIF N_254 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_3_.C +1 1 +.names cpu_est_0_0_x2_0_.BLIF cpu_est_0_.D +1 1 +.names FPU_SENSE.BLIF FPU_SENSE_c +1 1 +.names N_219_0.BLIF N_219 +0 1 +.names N_127.BLIF rst_dly_i_1__n.BLIF N_267 +11 1 +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +1 1 +.names IPL_030DFF_0_reg.BLIF IPL_030_0_ +1 1 +.names N_156_i.BLIF N_156 +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D +11 1 +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +1 1 +.names IPL_030DFF_1_reg.BLIF IPL_030_1_ +1 1 +.names N_349.BLIF N_349_i +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 .names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D 1 1 -.names N_208_1.BLIF CLK_000_NE_i.BLIF N_208 -11 1 -.names N_54_0.BLIF IPL_D0_2_.D -0 1 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D +.names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 -.names N_171.BLIF BERR_i.BLIF N_207_1 -11 1 -.names N_28.BLIF N_28_i +.names un1_SM_AMIGA_5_i.BLIF un1_SM_AMIGA_5 0 1 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -.names N_207_1.BLIF CLK_000_PE_i.BLIF N_207 -11 1 -.names N_32_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names N_181.BLIF N_313.BLIF N_206 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -.names N_217_i.BLIF rst_dly_i_0__n.BLIF N_200_1 -11 1 -.names N_29.BLIF N_29_i -0 1 -.names N_183.BLIF sm_amiga_i_3__n.BLIF N_211 -11 1 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -.names N_200_1.BLIF rst_dly_i_1__n.BLIF N_200 -11 1 -.names N_33_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_216 -11 1 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -.names N_148.BLIF N_217_i.BLIF N_195_1 -11 1 -.names N_378.BLIF N_378_i -0 1 -.names N_178.BLIF sm_amiga_i_5__n.BLIF N_221 -11 1 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -.names N_195_1.BLIF rst_dly_i_2__n.BLIF N_195 -11 1 -.names N_227.BLIF N_227_i -0 1 -.names RW_c.BLIF RW_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -.names N_215_i.BLIF N_213_i.BLIF pos_clk_ipl_1_n -11 1 -.names N_354_0.BLIF N_354 -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa -11 1 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -.names pos_clk_ipl_1_n.BLIF N_214_i.BLIF pos_clk_ipl_n -11 1 -.names N_7.BLIF N_7_i -0 1 -.names N_159.BLIF SM_AMIGA_1_.BLIF N_98 -11 1 -.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D -1 1 -.names N_140_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names N_47_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF un1_SM_AMIGA_5.BLIF DS_000_ENABLE_1_sqmuxa_1 -11 1 -.names N_188_i.BLIF N_205_i.BLIF N_142_i_1 -11 1 -.names N_5.BLIF N_5_i -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D +.names A1_c.BLIF A1_i 0 1 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C 1 1 -.names N_142_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D +.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D +1 1 +.names IPL_0_.BLIF ipl_c_0__n +1 1 +.names UDS_000_c.BLIF UDS_000_c_i +0 1 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_275 11 1 -.names N_48_0.BLIF inst_AS_000_INT.D +.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D +1 1 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D +1 1 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +.names N_205_i.BLIF N_205 +0 1 +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_209 +11 1 +.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D +1 1 +.names N_206_0.BLIF N_206 0 1 .names G_134.BLIF N_213_i 0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_280_1 -11 1 -.names N_3.BLIF N_3_i +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +1 1 +.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D +1 1 +.names DTACK.BLIF DTACK_c +1 1 +.names N_207_0.BLIF N_207 0 1 .names G_135.BLIF N_214_i 0 1 -.names N_280_1.BLIF cpu_est_i_3__n.BLIF N_280 -11 1 -.names N_50_0.BLIF inst_DS_000_DMA.D +.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D +1 1 +.names vcc_n_n.BLIF AVEC +1 1 +.names N_354.BLIF N_354_i 0 1 .names G_136.BLIF N_215_i 0 1 -.names N_150_i.BLIF RST_c.BLIF N_225_1 -11 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i +.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +1 1 +.names N_124.BLIF E +1 1 +.names N_210_0.BLIF inst_BGACK_030_INT_D.D 0 1 .names a_c_25__n.BLIF a_i_25__n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D 1 1 -.names N_225_1.BLIF sm_amiga_i_i_7__n.BLIF N_225 -11 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D +.names VPA.BLIF VPA_c +1 1 +.names N_289_0.BLIF N_289 0 1 .names a_c_26__n.BLIF a_i_26__n 0 1 -.names N_174.BLIF RST_c.BLIF N_224_1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +1 1 +.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D +1 1 +.names inst_VMA_INTreg.BLIF VMA +1 1 +.names N_218_0.BLIF N_218 +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D +1 1 +.names RST.BLIF RST_c +1 1 +.names N_242.BLIF N_242_i +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D +1 1 +.names N_246.BLIF N_246_i +0 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D +1 1 +.names N_240.BLIF N_240_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C +1 1 +.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D +1 1 +.names FC_0_.BLIF fc_c_0__n +1 1 +.names N_48_0.BLIF inst_DSACK1_INTreg.D +0 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D +0 1 +.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D +1 1 +.names FC_1_.BLIF fc_c_1__n +1 1 +.names N_272.BLIF N_272_i +0 1 +.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_54_0 11 1 -.names AS_000_c.BLIF AS_000_i +.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D +1 1 +.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE +1 1 +.names N_271.BLIF N_271_i +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_55_0 +11 1 +.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D +1 1 +.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR +1 1 +.names N_279_0.BLIF N_279 +0 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C +1 1 +.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +.names N_280_0.BLIF N_280 +0 1 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_51_0 +11 1 +.names N_227.BLIF AMIGA_BUS_ENABLE_HIGH +1 1 +.names N_281_0.BLIF N_281 +0 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_52_0 +11 1 +.names N_298.BLIF N_298_i +0 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names N_148_i.BLIF CLK_000_N_SYNC_0_.D +1 1 +.names N_303_1.BLIF SM_AMIGA_2_.BLIF N_303 +11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names N_27_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names AS_000_i.BLIF N_222_i.BLIF N_297_1 +11 1 +.names N_299.BLIF N_299_i +0 1 +.names N_28_i.BLIF RST_c.BLIF N_31_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C +1 1 +.names N_297_1.BLIF RW_000_c.BLIF N_297 +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names N_29_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names N_217_i.BLIF rst_dly_i_0__n.BLIF N_266_1 +11 1 +.names N_358.BLIF N_358_i +0 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +0 1 +.names N_266_1.BLIF rst_dly_i_1__n.BLIF N_266 +11 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names cpu_est_2_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n +11 1 +.names N_158.BLIF N_217_i.BLIF N_240_1 +11 1 +.names N_286_0.BLIF N_286 +0 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C +1 1 +.names N_240_1.BLIF rst_dly_i_2__n.BLIF N_240 +11 1 +.names N_88_0.BLIF inst_AS_030_D0.D +0 1 +.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 +.names N_215_i.BLIF N_213_i.BLIF pos_clk_ipl_1_n +11 1 +.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n 0 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n 0 1 -.names N_224_1.BLIF SM_AMIGA_6_.BLIF N_224 +.names pos_clk_ipl_1_n.BLIF N_214_i.BLIF pos_clk_ipl_n 11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i +.names N_24.BLIF N_24_i 0 1 .names cpu_est_2_2__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n 11 1 -.names N_175.BLIF RST_c.BLIF N_219_1 -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_339_1 11 1 +.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D +0 1 .names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C 1 1 -.names N_219_1.BLIF SM_AMIGA_2_.BLIF N_219 +.names N_339_1.BLIF cpu_est_i_3__n.BLIF N_339 11 1 -.names N_190.BLIF N_190_i +.names N_18.BLIF N_18_i 0 1 .names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_218_1 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +.names N_41_0.BLIF inst_RW_000_INT.D 0 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n 0 1 -.names N_218_1.BLIF cpu_est_i_3__n.BLIF N_218 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n 11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i +.names N_10.BLIF N_10_i 0 1 -.names cpu_est_2_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +.names N_119.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n 11 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_212_1 +.names N_168_i.BLIF RST_c.BLIF N_326_1 11 1 -.names inst_CLK_OUT_EXP_INT.BLIF CLK_OUT_EXP_INT_i +.names N_43_0.BLIF inst_BGACK_030_INTreg.D 0 1 .names cpu_est_3_.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C 1 1 -.names N_212_1.BLIF sm_amiga_i_4__n.BLIF N_212 +.names N_326_1.BLIF sm_amiga_i_i_7__n.BLIF N_326 11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +.names N_7.BLIF N_7_i 0 1 .names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D 1- 1 -1 1 -.names inst_AS_030_D0.BLIF inst_CLK_000_D0.BLIF N_128_i_1 -11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +.names N_206.BLIF RST_c.BLIF N_325_1 11 1 +.names N_46_0.BLIF inst_AS_030_000_SYNC.D +0 1 .names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n 0 1 -.names N_128_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_128_i -11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +.names N_325_1.BLIF SM_AMIGA_6_.BLIF N_325 11 1 +.names N_5.BLIF N_5_i +0 1 .names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n 11 1 -.names N_193_i.BLIF N_241_i.BLIF N_134_i_1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_324_1 11 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 -1- 1 --1 1 +.names N_47_0.BLIF inst_AS_000_INT.D +0 1 .names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C 1 1 -.names N_134_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D -11 1 -.names N_29_i.BLIF RST_c.BLIF N_33_0 +.names N_324_1.BLIF sm_amiga_i_4__n.BLIF N_324 11 1 +.names N_4.BLIF N_4_i +0 1 .names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 1- 1 -1 1 -.names N_240_i.BLIF sm_amiga_i_5__n.BLIF N_124_0_1 +.names N_197.BLIF BERR_i.BLIF N_316_1 11 1 -.names N_28_i.BLIF RST_c.BLIF N_32_0 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names N_316_1.BLIF CLK_000_NE_i.BLIF N_316 +11 1 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low +11 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names N_204.BLIF BERR_i.BLIF N_313_1 +11 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C +1 1 +.names N_313_1.BLIF CLK_000_PE_i.BLIF N_313 +11 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 +1- 1 +-1 1 +.names N_207.BLIF RST_c.BLIF N_303_1 +11 1 +.names N_193.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names N_83_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D +11 1 +.names N_276_i.BLIF N_193.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names N_242_i.BLIF N_321_i.BLIF N_261_i_1 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C +1 1 +.names N_261_i_1.BLIF N_322_i.BLIF RST_DLY_0_.D +11 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 +1- 1 +-1 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 +1- 1 +-1 1 +.names N_230.BLIF N_310_i.BLIF N_141_i_1 11 1 .names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i 0 1 -.names N_124_0_1.BLIF SM_AMIGA_i_7_.BLIF N_124_0 -11 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names N_124.BLIF rw_000_int_0_un3_n +.names N_193.BLIF a0_dma_0_un3_n 0 1 -.names N_147_i.BLIF CLK_000_N_SYNC_0_.D +.names N_141_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D +11 1 +.names N_123.BLIF rw_000_int_0_un3_n +0 1 +.names pos_clk_a0_dma_3_n.BLIF N_193.BLIF a0_dma_0_un1_n +11 1 +.names N_305_i.BLIF N_307_i.BLIF N_139_i_1 +11 1 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_123.BLIF rw_000_int_0_un1_n +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C 1 1 -.names pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF AS_000_i.BLIF N_267_i_1 -11 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_124.BLIF rw_000_int_0_un1_n -11 1 -.names N_267_i_1.BLIF N_69_0.BLIF CYCLE_DMA_1_.D -11 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 +.names N_139_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D 11 1 .names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -.names pos_clk_CYCLE_DMA_5_0_i_0_x2.BLIF AS_000_i.BLIF N_268_i_1 -11 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names N_229.BLIF N_301_i.BLIF N_133_i_1 11 1 .names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 1- 1 -1 1 -.names N_268_i_1.BLIF N_69_0.BLIF CYCLE_DMA_0_.D -11 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 -11 1 .names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n 0 1 -.names N_161_i.BLIF N_228_i.BLIF N_355_0_1 -11 1 -.names N_3_i.BLIF RST_c.BLIF N_50_0 +.names N_133_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D 11 1 +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 .names A0_c.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n 11 1 -.names N_355_0_1.BLIF RW_000_i.BLIF N_355_0 +.names N_300_i.BLIF sm_amiga_i_5__n.BLIF N_123_0_1 11 1 -.names N_5_i.BLIF RST_c.BLIF N_48_0 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n 11 1 .names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C 1 1 -.names N_161_i.BLIF N_226_i.BLIF N_353_i_1 +.names N_123_0_1.BLIF SM_AMIGA_i_7_.BLIF N_123_0 11 1 -.names N_7_i.BLIF RST_c.BLIF N_47_0 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n 11 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 1- 1 -1 1 -.names N_353_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D +.names pos_clk_CYCLE_DMA_5_0_i_x2.BLIF AS_000_i.BLIF N_282_i_1 11 1 -.names N_357.BLIF as_030_000_sync_0_un3_n -0 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 +1- 1 +-1 1 .names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 -.names N_221_i.BLIF N_222_i.BLIF N_140_i_1 -11 1 -.names pos_clk_un3_as_030_d0_n.BLIF N_357.BLIF as_030_000_sync_0_un1_n +.names N_282_i_1.BLIF N_210_0.BLIF CYCLE_DMA_0_.D 11 1 +.names N_279.BLIF as_030_000_sync_0_un3_n +0 1 .names cpu_est_i_1__n.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n 11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_410_3 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_343_1 11 1 -.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +.names inst_AS_030_000_SYNC.BLIF N_279.BLIF as_030_000_sync_0_un1_n 11 1 .names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C +.names CLK_OSZI_c.BLIF RST_DLY_1_.C 1 1 -.names N_410_1.BLIF N_410_2.BLIF N_410_4 +.names N_343_1.BLIF cpu_est_i_3__n.BLIF N_343 +11 1 +.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +11 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin 11 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 1- 1 -1 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_22 +.names N_275.BLIF N_275_i +0 1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +11 1 +.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n +0 1 +.names N_193.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names un22_berr_1_0.BLIF N_375.BLIF un22_berr +11 1 +.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un1_n +11 1 +.names N_275_i.BLIF N_193.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_2_.C +1 1 +.names FPU_SENSE_i.BLIF N_375.BLIF un21_fpu_cs_1 +11 1 +.names un1_SM_AMIGA_5_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +11 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_6 1- 1 -1 1 -.names N_410_4.BLIF N_410_3.BLIF N_410 +.names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 +1- 1 +-1 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_375_1 11 1 .names SM_AMIGA_5_.BLIF sm_amiga_i_5__n 0 1 .names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n 0 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_237_1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_375_2 11 1 -.names N_270.BLIF as_000_int_0_un3_n +.names N_280.BLIF as_000_int_0_un3_n 0 1 .names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n 11 1 -.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_237_2 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +1 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_375_3 11 1 -.names sm_amiga_i_5__n.BLIF N_270.BLIF as_000_int_0_un1_n +.names sm_amiga_i_5__n.BLIF N_280.BLIF as_000_int_0_un1_n 11 1 .names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -.names N_237_1.BLIF N_237_2.BLIF N_237 +.names N_375_1.BLIF N_375_2.BLIF N_375_4 11 1 .names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n 11 1 .names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 1- 1 -1 1 -.names AS_030_i.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 +.names N_375_4.BLIF N_375_3.BLIF N_375 11 1 .names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 1- 1 -1 1 -.names N_6.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +.names N_26_i.BLIF RST_c.BLIF N_33_0 11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +.names AS_000_i.BLIF N_210_0.BLIF N_134_i_1 11 1 -.names N_355.BLIF ds_000_dma_0_un3_n +.names N_236.BLIF N_236_i 0 1 -.names N_26_i.BLIF RST_c.BLIF N_34_0 +.names N_25_i.BLIF RST_c.BLIF N_34_0 11 1 -.names un22_berr_1.BLIF AS_030_i.BLIF un22_berr_1_0 -11 1 -.names N_354.BLIF N_355.BLIF ds_000_dma_0_un1_n -11 1 -.names N_22_i.BLIF RST_c.BLIF N_38_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C 1 1 -.names un22_berr_1_0.BLIF FPU_SENSE_c.BLIF un22_berr +.names N_134_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF CYCLE_DMA_1_.D 11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +.names N_281.BLIF dsack1_int_0_un3_n +0 1 +.names N_22_i.BLIF RST_c.BLIF N_37_0 11 1 -.names N_21_i.BLIF RST_c.BLIF N_39_0 +.names N_192_0.BLIF N_331_i.BLIF N_96_0_1 11 1 -.names N_327.BLIF N_410_i_0.BLIF N_233_1 +.names N_236_i.BLIF N_281.BLIF dsack1_int_0_un1_n 11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +.names N_21_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names N_96_0_1.BLIF RW_000_i.BLIF N_96_0 +11 1 +.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_20_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names N_192_0.BLIF N_328_i.BLIF N_83_i_1 +11 1 +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_4 1- 1 -1 1 -.names N_18_i.BLIF RST_c.BLIF N_42_0 +.names N_19_i.BLIF RST_c.BLIF N_40_0 11 1 -.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_233_2 -11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_240 -11 1 -.names N_17_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names N_233_1.BLIF N_233_2.BLIF N_233 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_228 -11 1 -.names N_4_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C 1 1 -.names N_281_i.BLIF N_302_i.BLIF N_245_i_1 +.names N_317_3.BLIF sm_amiga_i_3__n.BLIF N_317 11 1 -.names RW_000_c.BLIF RW_000_i +.names inst_LDS_000_INT.BLIF LDS_000_INT_i 0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 +.names N_17_i.BLIF RST_c.BLIF N_42_0 11 1 -.names N_245_i_1.BLIF RST_c.BLIF RST_DLY_0_.D +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_304_1 11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_227 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un4_lds_000 11 1 -.names N_27_i.BLIF RST_c.BLIF N_31_0 +.names N_8_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names RST_c.BLIF SM_AMIGA_3_.BLIF N_304_2 +11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 11 1 .end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index b63d398..b9a6b2f 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,118 +1,116 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Sun Jan 24 16:20:54 2016 +#$ DATE Mon Jan 25 07:24:19 2016 #$ MODULE bus68030 -#$ PINS 75 A_9_ A_8_ SIZE_1_ A_7_ A_6_ A_31_ A_5_ A_4_ IPL_030_2_ A_3_ A_2_ IPL_2_ \ -# IPL_030_1_ IPL_030_0_ FC_1_ IPL_1_ AS_030 IPL_0_ AS_000 FC_0_ RW_000 DS_030 UDS_000 \ -# LDS_000 A0 A1 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI \ -# CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E VPA VMA RST RESET RW \ -# AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR SIZE_0_ AMIGA_BUS_ENABLE_LOW A_30_ \ -# AMIGA_BUS_ENABLE_HIGH A_29_ CIIN A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ \ -# A_19_ A_18_ A_17_ A_16_ A_15_ A_14_ A_13_ A_12_ A_11_ A_10_ -#$ NODES 681 N_184 N_184_0 rw_000_dma_0_un1_n N_180 N_185_0 rw_000_dma_0_un0_n N_179 \ -# UDS_000_c_i a0_dma_0_un3_n pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 LDS_000_c_i \ -# a0_dma_0_un1_n N_312 N_173_i a0_dma_0_un0_n N_270 N_358_0 \ -# amiga_bus_enable_dma_low_0_un3_n inst_BGACK_030_INTreg N_357 N_239_i \ -# amiga_bus_enable_dma_low_0_un1_n inst_CLK_OUT_INTreg N_354 \ -# pos_clk_size_dma_6_0_1__n amiga_bus_enable_dma_low_0_un0_n vcc_n_n N_227 N_238_i \ -# amiga_bus_enable_dma_high_0_un3_n un5_e N_378 pos_clk_size_dma_6_0_0__n \ -# amiga_bus_enable_dma_high_0_un1_n inst_VMA_INTreg N_29 N_237_i \ -# amiga_bus_enable_dma_high_0_un0_n gnd_n_n N_28 N_236_i cpu_est_0_2__un3_n \ -# un1_amiga_bus_enable_low N_3 AMIGA_BUS_DATA_DIR_c_0 cpu_est_0_2__un1_n un3_size N_5 \ -# N_331_i cpu_est_0_2__un0_n un4_size N_7 pos_clk_un6_bgack_000_0_n \ -# cpu_est_0_3__un3_n un4_uds_000 N_190_i N_356_0 cpu_est_0_3__un1_n un4_lds_000 \ -# un1_amiga_bus_enable_low_i N_352_0 cpu_est_0_3__un0_n un5_ciin un21_fpu_cs_i N_8_i \ -# ipl_030_0_0__un3_n un4_as_000 CLK_OUT_EXP_INT_i N_46_0 ipl_030_0_0__un1_n \ -# un1_SM_AMIGA_5 AS_000_i N_10_i ipl_030_0_0__un0_n un21_fpu_cs DS_000_DMA_i N_44_0 \ -# rw_000_int_0_un3_n un22_berr sm_amiga_i_5__n N_19_i rw_000_int_0_un1_n un6_ds_030 \ -# sm_amiga_i_6__n N_41_0 rw_000_int_0_un0_n cpu_est_0_ sm_amiga_i_0__n N_20_i \ -# uds_000_int_0_un3_n cpu_est_1_ CLK_000_NE_i N_40_0 uds_000_int_0_un1_n cpu_est_2_ \ -# sm_amiga_i_4__n N_24_i uds_000_int_0_un0_n cpu_est_3_ RW_000_i N_36_0 \ -# vma_int_0_un3_n inst_AS_000_INT sm_amiga_i_2__n N_25_i vma_int_0_un1_n SM_AMIGA_5_ \ -# CLK_000_D0_i N_35_0 vma_int_0_un0_n inst_AMIGA_BUS_ENABLE_DMA_LOW BERR_i \ -# bg_000_0_un3_n inst_AS_030_D0 sm_amiga_i_1__n N_198_i bg_000_0_un1_n \ -# inst_nEXP_SPACE_D0reg CLK_000_PE_i N_243_2_i bg_000_0_un0_n inst_AS_030_000_SYNC \ -# N_410_i_0 N_196_i cpu_est_0_1__un3_n inst_BGACK_030_INT_D sm_amiga_i_i_7__n N_195_i \ -# cpu_est_0_1__un1_n inst_AS_000_DMA AS_030_i cpu_est_0_1__un0_n inst_DS_000_DMA \ -# FPU_SENSE_i N_201_i dsack1_int_0_un3_n CYCLE_DMA_0_ nEXP_SPACE_D0_i N_200_i \ -# dsack1_int_0_un1_n CYCLE_DMA_1_ BGACK_030_INT_i N_199_i dsack1_int_0_un0_n \ -# SIZE_DMA_0_ AMIGA_BUS_ENABLE_DMA_HIGH_i N_182_0 ds_000_enable_0_un3_n SIZE_DMA_1_ \ -# A1_i N_158_i ds_000_enable_0_un1_n inst_VPA_D CLK_030_H_i N_148_i \ -# ds_000_enable_0_un0_n inst_UDS_000_INT a_i_16__n N_307_i lds_000_int_0_un3_n \ -# inst_LDS_000_INT a_i_18__n N_143_0 lds_000_int_0_un1_n inst_CLK_OUT_PRE_D a_i_19__n \ -# N_217_i lds_000_int_0_un0_n inst_DTACK_D0 N_114_i N_235_i a_15__n inst_RESET_OUT \ -# N_113_i inst_CLK_OUT_PRE_50 AS_000_INT_i N_210_i a_14__n inst_CLK_OUT_PRE_25 \ -# AMIGA_BUS_ENABLE_DMA_LOW_i inst_CLK_000_D1 rst_dly_i_2__n N_207_i a_13__n \ -# inst_CLK_000_D0 rst_dly_i_0__n N_208_i inst_CLK_000_PE rst_dly_i_1__n N_206_i \ -# a_12__n inst_CLK_OUT_EXP_INT RESET_OUT_i CLK_000_P_SYNC_9_ size_dma_i_1__n N_313_i \ -# a_11__n inst_CLK_000_NE size_dma_i_0__n N_211_i CLK_000_N_SYNC_11_ AS_030_D0_i \ -# N_212_i a_10__n IPL_D0_0_ a_i_24__n N_183_0 IPL_D0_1_ sm_amiga_i_3__n N_181_0 a_9__n \ -# IPL_D0_2_ cpu_est_i_3__n N_178_0 inst_CLK_000_NE_D0 cpu_est_i_0__n N_69_0 a_8__n \ -# SM_AMIGA_0_ VPA_D_i N_329_i inst_AMIGA_BUS_ENABLE_DMA_HIGH cpu_est_i_1__n N_176_i \ -# a_7__n inst_DSACK1_INTreg CLK_030_i N_175_0 pos_clk_ipl_n CLK_000_D1_i N_174_0 a_6__n \ -# SM_AMIGA_4_ cpu_est_i_2__n N_171_0 inst_DS_000_ENABLE DTACK_D0_i un1_SM_AMIGA_5_i \ -# a_5__n RST_DLY_0_ RW_i N_324_i RST_DLY_1_ a_i_31__n N_326_i a_4__n RST_DLY_2_ a_i_29__n \ -# N_168_i pos_clk_un8_bg_030_n a_i_30__n VMA_INT_i a_3__n CLK_000_P_SYNC_0_ a_i_27__n \ -# N_165_i CLK_000_P_SYNC_1_ a_i_28__n N_164_i a_2__n CLK_000_P_SYNC_2_ a_i_25__n \ -# N_162_i CLK_000_P_SYNC_3_ a_i_26__n clk_000_n_sync_i_10__n CLK_000_P_SYNC_4_ \ -# N_213_i N_321_i CLK_000_P_SYNC_5_ N_214_i N_159_0 CLK_000_P_SYNC_6_ N_215_i N_318_i \ -# CLK_000_P_SYNC_7_ N_156_i CLK_000_P_SYNC_8_ DS_000_ENABLE_1_sqmuxa_i N_155_i \ -# CLK_000_N_SYNC_0_ N_98_i N_154_i CLK_000_N_SYNC_1_ un6_ds_030_i CLK_OUT_PRE_D_i \ -# CLK_000_N_SYNC_2_ un4_as_000_i N_152_0 CLK_000_N_SYNC_3_ un4_lds_000_i N_150_i \ -# CLK_000_N_SYNC_4_ un4_uds_000_i AS_030_000_SYNC_i CLK_000_N_SYNC_5_ LDS_000_INT_i \ -# N_147_i CLK_000_N_SYNC_6_ UDS_000_INT_i N_145_i CLK_000_N_SYNC_7_ AS_030_c N_281_i \ -# CLK_000_N_SYNC_8_ N_302_i CLK_000_N_SYNC_9_ AS_000_c CLK_000_N_SYNC_10_ N_279_i \ -# inst_RW_000_INT RW_000_c N_280_i inst_RW_000_DMA un5_e_0 pos_clk_un7_clk_000_pe_n \ -# N_278_i inst_A0_DMA UDS_000_c cpu_est_2_0_3__n SM_AMIGA_6_ N_277_i \ -# DS_000_ENABLE_1_sqmuxa LDS_000_c N_348_i inst_CLK_030_H cpu_est_2_0_2__n \ -# SM_AMIGA_1_ size_c_0__n N_128_i SM_AMIGA_3_ N_193_i SM_AMIGA_2_ size_c_1__n N_241_i \ -# pos_clk_un3_as_030_d0_n DS_000_ENABLE_1_sqmuxa_1 N_240_i N_4 N_124_0 N_6 N_269_0 \ -# un5_ciin_i N_61_0 un1_as_030_i N_17 N_228_i N_18 N_355_0 N_21 N_226_i N_22 N_26 N_224_i \ -# N_27 N_225_i CLK_OUT_PRE_25_0 N_282_0 N_221_i N_222_i N_219_i N_220_i N_283_0 N_216_i \ -# N_218_i cpu_est_2_0_1__n N_373_i N_375_i pos_clk_un7_clk_000_pe_0_n N_188_i \ -# a_c_16__n N_205_i a_c_17__n pos_clk_un8_sm_amiga_i_n A0_c_i a_c_18__n size_c_i_1__n \ -# N_27_i a_c_19__n N_31_0 ipl_c_i_0__n a_c_20__n N_52_0 N_4_i a_c_21__n N_49_0 N_17_i \ -# SM_AMIGA_i_7_ a_c_22__n N_43_0 N_124 N_18_i cpu_est_2_1__n a_c_23__n N_42_0 \ -# cpu_est_2_2__n N_21_i cpu_est_2_3__n a_c_24__n N_39_0 G_134 N_22_i G_135 a_c_25__n \ -# N_38_0 G_136 N_26_i N_269 a_c_26__n N_34_0 N_61 BG_030_c_i a_c_27__n \ -# pos_clk_un8_bg_030_0_n N_98 N_161_i_1 a_c_28__n N_161_i_2 \ -# pos_clk_un8_sm_amiga_i_1_n N_355 a_c_29__n N_324_1 N_324_2 N_128 a_c_30__n N_150_i_1 \ -# N_137 un1_SM_AMIGA_5_i_1 N_145 a_c_31__n un1_SM_AMIGA_5_i_2 N_148 N_138_i_1 N_150 A0_c \ -# N_138_i_2 N_152 N_146_i_1 N_154 A1_c N_146_i_2 N_156 N_146_i_3 N_159 nEXP_SPACE_c \ -# N_220_1 N_161 N_220_2 N_165 BERR_c N_375_1 N_168 N_375_2 N_171 BG_030_c N_373_1 N_174 \ -# N_373_2 N_175 BG_000DFFreg N_210_1 N_178 N_210_2 N_181 N_210_3 N_183 BGACK_000_c \ -# un5_ciin_1 N_188 un5_ciin_2 N_190 CLK_030_c un5_ciin_3 N_193 un5_ciin_4 N_195 \ -# un5_ciin_5 N_200 un5_ciin_6 N_205 CLK_OSZI_c un5_ciin_7 N_206 un5_ciin_8 N_207 \ -# un5_ciin_9 N_208 un5_ciin_10 N_210 un5_ciin_11 N_211 FPU_SENSE_c N_302_1 N_212 \ -# N_244_i_1 N_373 IPL_030DFF_0_reg N_244_i_2 N_375 N_243_i_1 N_216 IPL_030DFF_1_reg \ -# N_410_1 N_218 N_410_2 N_219 IPL_030DFF_2_reg N_410_3 N_220 N_410_4 N_221 ipl_c_0__n \ -# N_237_1 N_222 N_237_2 N_224 ipl_c_1__n un21_fpu_cs_1 N_225 un22_berr_1_0 N_226 \ -# ipl_c_2__n N_233_1 N_228 N_233_2 N_230 N_245_i_1 N_231 DTACK_c N_128_i_1 N_240 N_134_i_1 \ -# N_241 N_124_0_1 N_277 N_267_i_1 N_278 VPA_c N_268_i_1 N_279 N_355_0_1 N_280 N_353_i_1 \ -# N_281 RST_c N_140_i_1 N_302 N_142_i_1 N_313 N_280_1 N_318 RW_c N_225_1 N_321 N_224_1 N_324 \ -# fc_c_0__n N_219_1 N_326 N_218_1 N_329 fc_c_1__n N_212_1 N_332 N_208_1 N_348 N_207_1 \ -# cpu_est_0_0_x2_0_x2_0_ AMIGA_BUS_DATA_DIR_c N_200_1 pos_clk_CYCLE_DMA_5_0_i_0_x2 \ -# N_195_1 pos_clk_CYCLE_DMA_5_1_i_0_x2 pos_clk_ipl_1_n N_235 ipl_030_0_1__un3_n N_196 \ -# ipl_030_0_1__un1_n N_143 N_7_i ipl_030_0_1__un0_n N_158 N_47_0 \ -# as_030_000_sync_0_un3_n N_198 N_5_i as_030_000_sync_0_un1_n N_199 N_48_0 \ -# as_030_000_sync_0_un0_n N_307 N_3_i as_000_int_0_un3_n N_201 N_50_0 \ -# as_000_int_0_un1_n N_182 nEXP_SPACE_c_i as_000_int_0_un0_n N_243_2 N_55_0 \ -# ds_000_dma_0_un3_n N_8 VPA_c_i ds_000_dma_0_un1_n N_356 N_56_0 ds_000_dma_0_un0_n \ -# N_10 DTACK_c_i ipl_030_0_2__un3_n pos_clk_un6_bgack_000_n N_57_0 ipl_030_0_2__un1_n \ -# N_19 ipl_c_i_1__n ipl_030_0_2__un0_n N_352 N_53_0 \ -# un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n N_327 ipl_c_i_2__n \ -# un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n N_20 N_54_0 \ -# un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n pos_clk_a0_dma_3_n N_28_i \ -# sm_amiga_srsts_i_0_0_m3_1__un3_n N_24 N_32_0 sm_amiga_srsts_i_0_0_m3_1__un1_n \ -# N_113 N_29_i sm_amiga_srsts_i_0_0_m3_1__un0_n N_25 N_33_0 \ -# sm_amiga_srsts_i_0_0_m3_5__un3_n N_114 N_378_i sm_amiga_srsts_i_0_0_m3_5__un1_n \ -# pos_clk_size_dma_6_0__n sm_amiga_srsts_i_0_0_m3_5__un0_n N_232 \ -# size_dma_0_0__un3_n pos_clk_size_dma_6_1__n N_227_i size_dma_0_0__un1_n N_410 \ -# N_354_0 size_dma_0_0__un0_n N_185 N_233_i size_dma_0_1__un3_n N_236 N_357_0 \ -# size_dma_0_1__un1_n N_238 N_270_0 size_dma_0_1__un0_n N_173 AS_000_DMA_i \ -# as_000_dma_0_un3_n N_239 N_137_0 as_000_dma_0_un1_n N_331 N_312_i as_000_dma_0_un0_n \ -# N_237 pos_clk_un3_as_030_d0_i_n bgack_030_int_0_un3_n un22_berr_1 N_161_i \ -# bgack_030_int_0_un1_n N_233 N_179_0 bgack_030_int_0_un0_n N_209 N_180_0 \ -# rw_000_dma_0_un3_n +#$ PINS 75 A_8_ A_7_ SIZE_1_ A_6_ A_5_ A_31_ A_4_ A_3_ IPL_030_2_ A_2_ IPL_030_1_ IPL_2_ \ +# IPL_030_0_ IPL_1_ FC_1_ IPL_0_ AS_030 FC_0_ AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 A1 \ +# nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT \ +# CLK_EXP FPU_CS FPU_SENSE DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE SIZE_0_ \ +# AMIGA_BUS_DATA_DIR A_30_ AMIGA_BUS_ENABLE_LOW A_29_ AMIGA_BUS_ENABLE_HIGH A_28_ CIIN \ +# A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_15_ A_14_ A_13_ \ +# A_12_ A_11_ A_10_ A_9_ +#$ NODES 680 N_280 N_210_0 cpu_est_0_1__un0_n N_279 N_289_0 cpu_est_0_2__un3_n N_271 \ +# N_218_0 cpu_est_0_2__un1_n N_272 cpu_est_0_2__un0_n N_276 N_242_i cpu_est_0_3__un3_n \ +# DS_000_ENABLE_1_sqmuxa_1 N_246_i cpu_est_0_3__un1_n inst_BGACK_030_INTreg N_4 \ +# N_240_i cpu_est_0_3__un0_n vcc_n_n N_5 N_241_i ipl_030_0_0__un3_n inst_VMA_INTreg N_7 \ +# ipl_030_0_0__un1_n gnd_n_n N_10 N_266_i ipl_030_0_0__un0_n un1_amiga_bus_enable_low \ +# N_18 N_267_i ipl_030_0_1__un3_n un3_size N_24 N_254_i ipl_030_0_1__un1_n un4_size N_6 \ +# N_317_i ipl_030_0_1__un0_n un4_uds_000 un1_amiga_bus_enable_low_i \ +# ipl_030_0_2__un3_n un4_lds_000 un21_fpu_cs_i N_313_i ipl_030_0_2__un1_n un5_ciin \ +# BGACK_030_INT_i N_316_i ipl_030_0_2__un0_n un4_as_000 AMIGA_BUS_ENABLE_DMA_LOW_i \ +# N_312_i a0_dma_0_un3_n un21_fpu_cs UDS_000_INT_i a0_dma_0_un1_n un22_berr \ +# LDS_000_INT_i N_347_i a0_dma_0_un0_n un6_ds_030 N_236_i N_323_i uds_000_int_0_un3_n \ +# cpu_est_2_ sm_amiga_i_5__n N_324_i uds_000_int_0_un1_n cpu_est_3_ \ +# DS_000_ENABLE_1_sqmuxa_i N_222_i uds_000_int_0_un0_n cpu_est_0_ N_276_i \ +# AS_000_DMA_i vma_int_0_un3_n cpu_est_1_ RST_i N_221_0 vma_int_0_un1_n \ +# inst_AS_000_INT a_i_19__n N_220_0 vma_int_0_un0_n SM_AMIGA_5_ a_i_18__n N_219_0 \ +# amiga_bus_enable_dma_high_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW size_dma_i_1__n \ +# N_216_0 amiga_bus_enable_dma_high_0_un1_n inst_AS_030_D0 size_dma_i_0__n N_290_0 \ +# amiga_bus_enable_dma_high_0_un0_n inst_nEXP_SPACE_D0reg RW_i N_212_0 \ +# bg_000_0_un3_n inst_AS_030_000_SYNC CLK_000_PE_i N_211_0 bg_000_0_un1_n \ +# inst_BGACK_030_INT_D a_i_16__n N_204_0 bg_000_0_un0_n inst_AS_000_DMA RESET_OUT_i \ +# N_351_i ds_000_dma_0_un3_n inst_DS_000_DMA BERR_i N_353_i ds_000_dma_0_un1_n \ +# CYCLE_DMA_0_ sm_amiga_i_i_7__n N_201_i ds_000_dma_0_un0_n CYCLE_DMA_1_ \ +# nEXP_SPACE_D0_i VMA_INT_i as_000_dma_0_un3_n SIZE_DMA_0_ sm_amiga_i_4__n N_197_i \ +# as_000_dma_0_un1_n SIZE_DMA_1_ FPU_SENSE_i N_196_i as_000_dma_0_un0_n inst_VPA_D \ +# AS_030_i N_193_i lds_000_int_0_un3_n inst_UDS_000_INT AS_030_D0_i N_192_0 \ +# lds_000_int_0_un1_n inst_LDS_000_INT a_i_24__n clk_000_n_sync_i_10__n \ +# lds_000_int_0_un0_n inst_CLK_OUT_PRE_D sm_amiga_i_3__n N_350_i rw_000_dma_0_un3_n \ +# inst_DTACK_D0 cpu_est_i_0__n N_188_0 rw_000_dma_0_un1_n inst_RESET_OUT \ +# cpu_est_i_3__n N_187_i rw_000_dma_0_un0_n inst_CLK_OUT_PRE_50 cpu_est_i_2__n \ +# N_185_i a_15__n inst_CLK_000_D1 cpu_est_i_1__n N_182_i inst_CLK_000_D0 VPA_D_i \ +# N_181_i a_14__n inst_CLK_000_PE CLK_000_NE_i CLK_OUT_PRE_D_i CLK_000_P_SYNC_9_ \ +# sm_amiga_i_1__n N_175_0 a_13__n inst_CLK_000_NE rst_dly_i_2__n N_168_i \ +# CLK_000_N_SYNC_11_ CLK_030_i AS_030_000_SYNC_i a_12__n IPL_D0_0_ rst_dly_i_0__n \ +# N_158_i IPL_D0_1_ rst_dly_i_1__n CLK_000_D0_i a_11__n IPL_D0_2_ CLK_000_D1_i N_148_i \ +# inst_CLK_000_NE_D0 DTACK_D0_i N_345_i a_10__n pos_clk_un6_bg_030_n RW_000_i N_344_i \ +# SM_AMIGA_0_ CLK_030_H_i N_144_0 a_9__n inst_AMIGA_BUS_ENABLE_DMA_HIGH \ +# sm_amiga_i_6__n N_138_0 inst_DSACK1_INTreg sm_amiga_i_2__n a_8__n AS_000_i N_342_i \ +# pos_clk_ipl_n sm_amiga_i_0__n N_343_i a_7__n SM_AMIGA_4_ A1_i N_124_0 \ +# inst_DS_000_ENABLE a_i_31__n N_341_i a_6__n RST_DLY_0_ a_i_29__n N_119_0 RST_DLY_1_ \ +# a_i_30__n N_340_i a_5__n RST_DLY_2_ a_i_27__n N_361_i pos_clk_un8_bg_030_n a_i_28__n \ +# cpu_est_2_0_2__n a_4__n CLK_000_P_SYNC_0_ a_i_25__n N_338_i CLK_000_P_SYNC_1_ \ +# a_i_26__n N_339_i a_3__n CLK_000_P_SYNC_2_ N_213_i cpu_est_2_0_1__n \ +# CLK_000_P_SYNC_3_ N_214_i N_332_i a_2__n CLK_000_P_SYNC_4_ N_215_i N_336_i \ +# CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_pe_0_n CLK_000_P_SYNC_6_ N_275_i N_99_0 \ +# CLK_000_P_SYNC_7_ un6_ds_030_i N_331_i CLK_000_P_SYNC_8_ DS_000_DMA_i N_96_0 \ +# CLK_000_N_SYNC_0_ un4_as_000_i N_330_i CLK_000_N_SYNC_1_ AS_000_INT_i N_90_0 \ +# CLK_000_N_SYNC_2_ un4_lds_000_i N_328_i CLK_000_N_SYNC_3_ un4_uds_000_i \ +# CLK_000_N_SYNC_4_ AS_030_c N_80_0 CLK_000_N_SYNC_5_ N_325_i CLK_000_N_SYNC_6_ \ +# AS_000_c N_326_i CLK_000_N_SYNC_7_ N_258_0 CLK_000_N_SYNC_8_ RW_000_c N_217_i \ +# CLK_000_N_SYNC_9_ N_321_i CLK_000_N_SYNC_10_ N_322_i inst_RW_000_INT UDS_000_c \ +# inst_RW_000_DMA N_320_i pos_clk_un7_clk_000_pe_n LDS_000_c inst_A0_DMA un5_ciin_i \ +# pos_clk_a0_dma_3_n size_c_0__n N_61_0 SM_AMIGA_6_ N_310_i inst_CLK_030_H size_c_1__n \ +# SM_AMIGA_1_ N_305_i SM_AMIGA_3_ N_307_i SM_AMIGA_2_ N_3 N_303_i N_8 N_304_i N_283_0 \ +# N_301_i N_300_i N_123_0 N_17 N_278_i N_19 N_297_i N_20 AMIGA_BUS_DATA_DIR_c_0 N_21 \ +# N_277_i N_22 N_25 N_26 pos_clk_un8_sm_amiga_i_n N_27 A0_c_i N_28 size_c_i_1__n N_29 \ +# N_29_i N_32_0 N_28_i N_31_0 N_27_i N_30_0 ipl_c_i_2__n N_53_0 ipl_c_i_1__n N_52_0 \ +# a_c_16__n ipl_c_i_0__n N_51_0 a_c_17__n DTACK_c_i N_56_0 a_c_18__n VPA_c_i N_55_0 \ +# a_c_19__n nEXP_SPACE_c_i N_54_0 a_c_20__n N_3_i N_49_0 a_c_21__n N_8_i N_45_0 a_c_22__n \ +# N_17_i N_42_0 a_c_23__n N_19_i N_40_0 SM_AMIGA_i_7_ a_c_24__n N_20_i N_123 N_39_0 \ +# cpu_est_2_1__n a_c_25__n N_21_i cpu_est_2_2__n N_38_0 N_209 a_c_26__n N_22_i G_134 \ +# N_37_0 G_135 a_c_27__n N_25_i G_136 N_34_0 N_217 a_c_28__n N_26_i N_33_0 N_61 a_c_29__n \ +# BG_030_c_i N_127 pos_clk_un6_bg_030_i_n a_c_30__n pos_clk_un8_bg_030_0_n N_80 \ +# N_289_0_1 a_c_31__n un1_SM_AMIGA_5_i_1 N_90 un1_SM_AMIGA_5_i_2 N_96 A0_c \ +# pos_clk_un8_sm_amiga_i_1_n N_99 N_351_1 N_119 A1_c N_351_2 N_124 N_168_i_1 N_138 \ +# nEXP_SPACE_c N_192_0_1 N_144 N_192_0_2 N_158 BERR_c N_137_i_1 N_168 N_137_i_2 N_175 \ +# BG_030_c N_145_i_1 N_182 N_145_i_2 N_185 BG_000DFFreg N_145_i_3 N_187 N_260_i_1 N_188 \ +# N_260_i_2 N_192 BGACK_000_c N_259_i_1 N_193 N_259_i_2 N_197 CLK_030_c N_336_1 N_201 \ +# N_336_2 N_204 N_332_1 N_206 N_332_2 N_207 CLK_OSZI_c N_332_3 N_317_1 N_211 N_317_2 N_212 \ +# CLK_OUT_INTreg N_317_3 N_290 N_304_1 N_216 N_304_2 N_219 FPU_SENSE_c un5_ciin_1 N_220 \ +# un5_ciin_2 N_221 IPL_030DFF_0_reg un5_ciin_3 N_227 un5_ciin_4 N_229 IPL_030DFF_1_reg \ +# un5_ciin_5 N_230 un5_ciin_6 N_236 IPL_030DFF_2_reg un5_ciin_7 N_240 un5_ciin_8 N_241 \ +# ipl_c_0__n un5_ciin_9 N_242 un5_ciin_10 N_246 ipl_c_1__n un5_ciin_11 N_254 \ +# un22_berr_1_0 N_266 ipl_c_2__n un21_fpu_cs_1 N_267 N_375_1 N_275 N_375_2 N_277 DTACK_c \ +# N_375_3 N_278 N_375_4 N_297 N_134_i_1 N_300 N_96_0_1 N_301 VPA_c N_83_i_1 N_303 N_261_i_1 \ +# N_304 N_141_i_1 N_305 RST_c N_139_i_1 N_307 N_133_i_1 N_310 N_123_0_1 N_312 RW_c \ +# N_282_i_1 N_313 N_343_1 N_316 fc_c_0__n N_339_1 N_317 pos_clk_un6_bg_030_1_n N_320 \ +# fc_c_1__n N_326_1 N_321 N_325_1 N_322 N_324_1 N_323 AMIGA_BUS_DATA_DIR_c N_316_1 N_324 \ +# N_313_1 N_325 N_303_1 N_326 N_297_1 N_328 N_266_1 N_330 N_24_i N_240_1 N_331 N_35_0 \ +# pos_clk_ipl_1_n N_332 N_18_i amiga_bus_enable_dma_low_0_un3_n N_336 N_41_0 \ +# amiga_bus_enable_dma_low_0_un1_n N_338 N_10_i amiga_bus_enable_dma_low_0_un0_n \ +# N_339 N_43_0 rw_000_int_0_un3_n N_340 N_7_i rw_000_int_0_un1_n N_341 N_46_0 \ +# rw_000_int_0_un0_n N_342 N_5_i bgack_030_int_0_un3_n N_343 N_47_0 \ +# bgack_030_int_0_un1_n N_344 N_4_i bgack_030_int_0_un0_n N_345 N_48_0 \ +# as_030_000_sync_0_un3_n N_347 N_272_i as_030_000_sync_0_un1_n N_350 N_271_i \ +# as_030_000_sync_0_un0_n N_351 N_279_0 ds_000_enable_0_un3_n N_353 N_280_0 \ +# ds_000_enable_0_un1_n N_361 N_281_0 ds_000_enable_0_un0_n \ +# pos_clk_un24_bgack_030_int_i_i_a4_i_x2 N_298_i as_000_int_0_un3_n \ +# pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_size_dma_6_0_0__n as_000_int_0_un1_n \ +# cpu_est_0_0_x2_0_ N_299_i as_000_int_0_un0_n pos_clk_CYCLE_DMA_5_1_i_x2 \ +# pos_clk_size_dma_6_0_1__n dsack1_int_0_un3_n un22_berr_1 un1_as_000_i \ +# dsack1_int_0_un1_n N_375 N_358_i dsack1_int_0_un0_n N_218 pos_clk_un6_bgack_000_0_n \ +# size_dma_0_1__un3_n N_156 N_284_i size_dma_0_1__un1_n N_289 N_285_i \ +# size_dma_0_1__un0_n N_354 N_286_0 size_dma_0_0__un3_n N_205 N_88_0 \ +# size_dma_0_0__un1_n un1_SM_AMIGA_5 pos_clk_un3_as_030_d0_i_n size_dma_0_0__un0_n \ +# DS_000_ENABLE_1_sqmuxa N_156_i sm_amiga_srsts_i_0_m2_1__un3_n N_349 N_349_i \ +# sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_un3_as_030_d0_n N_194_i \ +# sm_amiga_srsts_i_0_m2_1__un0_n N_286 un1_SM_AMIGA_5_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n pos_clk_un6_bgack_000_n UDS_000_c_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_358 LDS_000_c_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n pos_clk_size_dma_6_1__n N_205_i \ +# sm_amiga_srsts_i_0_m2_5__un3_n N_299 N_206_0 sm_amiga_srsts_i_0_m2_5__un1_n \ +# pos_clk_size_dma_6_0__n N_207_0 sm_amiga_srsts_i_0_m2_5__un0_n N_298 N_354_i \ +# cpu_est_0_1__un3_n N_281 N_208_0 cpu_est_0_1__un1_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -122,352 +120,342 @@ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF \ -A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_184.BLIF N_184_0.BLIF \ -rw_000_dma_0_un1_n.BLIF N_180.BLIF N_185_0.BLIF rw_000_dma_0_un0_n.BLIF \ -N_179.BLIF UDS_000_c_i.BLIF a0_dma_0_un3_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.BLIF LDS_000_c_i.BLIF \ -a0_dma_0_un1_n.BLIF N_312.BLIF N_173_i.BLIF a0_dma_0_un0_n.BLIF N_270.BLIF \ -N_358_0.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF inst_BGACK_030_INTreg.BLIF \ -N_357.BLIF N_239_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF \ -inst_CLK_OUT_INTreg.BLIF N_354.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF vcc_n_n.BLIF N_227.BLIF N_238_i.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF un5_e.BLIF N_378.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ -inst_VMA_INTreg.BLIF N_29.BLIF N_237_i.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF gnd_n_n.BLIF N_28.BLIF N_236_i.BLIF \ -cpu_est_0_2__un3_n.BLIF un1_amiga_bus_enable_low.BLIF N_3.BLIF \ -AMIGA_BUS_DATA_DIR_c_0.BLIF cpu_est_0_2__un1_n.BLIF un3_size.BLIF N_5.BLIF \ -N_331_i.BLIF cpu_est_0_2__un0_n.BLIF un4_size.BLIF N_7.BLIF \ -pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_3__un3_n.BLIF un4_uds_000.BLIF \ -N_190_i.BLIF N_356_0.BLIF cpu_est_0_3__un1_n.BLIF un4_lds_000.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_352_0.BLIF cpu_est_0_3__un0_n.BLIF \ -un5_ciin.BLIF un21_fpu_cs_i.BLIF N_8_i.BLIF ipl_030_0_0__un3_n.BLIF \ -un4_as_000.BLIF CLK_OUT_EXP_INT_i.BLIF N_46_0.BLIF ipl_030_0_0__un1_n.BLIF \ -un1_SM_AMIGA_5.BLIF AS_000_i.BLIF N_10_i.BLIF ipl_030_0_0__un0_n.BLIF \ -un21_fpu_cs.BLIF DS_000_DMA_i.BLIF N_44_0.BLIF rw_000_int_0_un3_n.BLIF \ -un22_berr.BLIF sm_amiga_i_5__n.BLIF N_19_i.BLIF rw_000_int_0_un1_n.BLIF \ -un6_ds_030.BLIF sm_amiga_i_6__n.BLIF N_41_0.BLIF rw_000_int_0_un0_n.BLIF \ -cpu_est_0_.BLIF sm_amiga_i_0__n.BLIF N_20_i.BLIF uds_000_int_0_un3_n.BLIF \ -cpu_est_1_.BLIF CLK_000_NE_i.BLIF N_40_0.BLIF uds_000_int_0_un1_n.BLIF \ -cpu_est_2_.BLIF sm_amiga_i_4__n.BLIF N_24_i.BLIF uds_000_int_0_un0_n.BLIF \ -cpu_est_3_.BLIF RW_000_i.BLIF N_36_0.BLIF vma_int_0_un3_n.BLIF \ -inst_AS_000_INT.BLIF sm_amiga_i_2__n.BLIF N_25_i.BLIF vma_int_0_un1_n.BLIF \ -SM_AMIGA_5_.BLIF CLK_000_D0_i.BLIF N_35_0.BLIF vma_int_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF BERR_i.BLIF bg_000_0_un3_n.BLIF \ -inst_AS_030_D0.BLIF sm_amiga_i_1__n.BLIF N_198_i.BLIF bg_000_0_un1_n.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF CLK_000_PE_i.BLIF N_243_2_i.BLIF \ -bg_000_0_un0_n.BLIF inst_AS_030_000_SYNC.BLIF N_410_i_0.BLIF N_196_i.BLIF \ -cpu_est_0_1__un3_n.BLIF inst_BGACK_030_INT_D.BLIF sm_amiga_i_i_7__n.BLIF \ -N_195_i.BLIF cpu_est_0_1__un1_n.BLIF inst_AS_000_DMA.BLIF AS_030_i.BLIF \ -cpu_est_0_1__un0_n.BLIF inst_DS_000_DMA.BLIF FPU_SENSE_i.BLIF N_201_i.BLIF \ -dsack1_int_0_un3_n.BLIF CYCLE_DMA_0_.BLIF nEXP_SPACE_D0_i.BLIF N_200_i.BLIF \ -dsack1_int_0_un1_n.BLIF CYCLE_DMA_1_.BLIF BGACK_030_INT_i.BLIF N_199_i.BLIF \ -dsack1_int_0_un0_n.BLIF SIZE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF \ -N_182_0.BLIF ds_000_enable_0_un3_n.BLIF SIZE_DMA_1_.BLIF A1_i.BLIF \ -N_158_i.BLIF ds_000_enable_0_un1_n.BLIF inst_VPA_D.BLIF CLK_030_H_i.BLIF \ -N_148_i.BLIF ds_000_enable_0_un0_n.BLIF inst_UDS_000_INT.BLIF a_i_16__n.BLIF \ -N_307_i.BLIF lds_000_int_0_un3_n.BLIF inst_LDS_000_INT.BLIF a_i_18__n.BLIF \ -N_143_0.BLIF lds_000_int_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_19__n.BLIF \ -N_217_i.BLIF lds_000_int_0_un0_n.BLIF inst_DTACK_D0.BLIF N_114_i.BLIF \ -N_235_i.BLIF a_15__n.BLIF inst_RESET_OUT.BLIF N_113_i.BLIF \ -inst_CLK_OUT_PRE_50.BLIF AS_000_INT_i.BLIF N_210_i.BLIF a_14__n.BLIF \ -inst_CLK_OUT_PRE_25.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF inst_CLK_000_D1.BLIF \ -rst_dly_i_2__n.BLIF N_207_i.BLIF a_13__n.BLIF inst_CLK_000_D0.BLIF \ -rst_dly_i_0__n.BLIF N_208_i.BLIF inst_CLK_000_PE.BLIF rst_dly_i_1__n.BLIF \ -N_206_i.BLIF a_12__n.BLIF inst_CLK_OUT_EXP_INT.BLIF RESET_OUT_i.BLIF \ -CLK_000_P_SYNC_9_.BLIF size_dma_i_1__n.BLIF N_313_i.BLIF a_11__n.BLIF \ -inst_CLK_000_NE.BLIF size_dma_i_0__n.BLIF N_211_i.BLIF CLK_000_N_SYNC_11_.BLIF \ -AS_030_D0_i.BLIF N_212_i.BLIF a_10__n.BLIF IPL_D0_0_.BLIF a_i_24__n.BLIF \ -N_183_0.BLIF IPL_D0_1_.BLIF sm_amiga_i_3__n.BLIF N_181_0.BLIF a_9__n.BLIF \ -IPL_D0_2_.BLIF cpu_est_i_3__n.BLIF N_178_0.BLIF inst_CLK_000_NE_D0.BLIF \ -cpu_est_i_0__n.BLIF N_69_0.BLIF a_8__n.BLIF SM_AMIGA_0_.BLIF VPA_D_i.BLIF \ -N_329_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF cpu_est_i_1__n.BLIF \ -N_176_i.BLIF a_7__n.BLIF inst_DSACK1_INTreg.BLIF CLK_030_i.BLIF N_175_0.BLIF \ -pos_clk_ipl_n.BLIF CLK_000_D1_i.BLIF N_174_0.BLIF a_6__n.BLIF SM_AMIGA_4_.BLIF \ -cpu_est_i_2__n.BLIF N_171_0.BLIF inst_DS_000_ENABLE.BLIF DTACK_D0_i.BLIF \ -un1_SM_AMIGA_5_i.BLIF a_5__n.BLIF RST_DLY_0_.BLIF RW_i.BLIF N_324_i.BLIF \ -RST_DLY_1_.BLIF a_i_31__n.BLIF N_326_i.BLIF a_4__n.BLIF RST_DLY_2_.BLIF \ -a_i_29__n.BLIF N_168_i.BLIF pos_clk_un8_bg_030_n.BLIF a_i_30__n.BLIF \ -VMA_INT_i.BLIF a_3__n.BLIF CLK_000_P_SYNC_0_.BLIF a_i_27__n.BLIF N_165_i.BLIF \ -CLK_000_P_SYNC_1_.BLIF a_i_28__n.BLIF N_164_i.BLIF a_2__n.BLIF \ -CLK_000_P_SYNC_2_.BLIF a_i_25__n.BLIF N_162_i.BLIF CLK_000_P_SYNC_3_.BLIF \ -a_i_26__n.BLIF clk_000_n_sync_i_10__n.BLIF CLK_000_P_SYNC_4_.BLIF N_213_i.BLIF \ -N_321_i.BLIF CLK_000_P_SYNC_5_.BLIF N_214_i.BLIF N_159_0.BLIF \ -CLK_000_P_SYNC_6_.BLIF N_215_i.BLIF N_318_i.BLIF CLK_000_P_SYNC_7_.BLIF \ -N_156_i.BLIF CLK_000_P_SYNC_8_.BLIF DS_000_ENABLE_1_sqmuxa_i.BLIF N_155_i.BLIF \ -CLK_000_N_SYNC_0_.BLIF N_98_i.BLIF N_154_i.BLIF CLK_000_N_SYNC_1_.BLIF \ -un6_ds_030_i.BLIF CLK_OUT_PRE_D_i.BLIF CLK_000_N_SYNC_2_.BLIF \ -un4_as_000_i.BLIF N_152_0.BLIF CLK_000_N_SYNC_3_.BLIF un4_lds_000_i.BLIF \ -N_150_i.BLIF CLK_000_N_SYNC_4_.BLIF un4_uds_000_i.BLIF AS_030_000_SYNC_i.BLIF \ -CLK_000_N_SYNC_5_.BLIF LDS_000_INT_i.BLIF N_147_i.BLIF CLK_000_N_SYNC_6_.BLIF \ -UDS_000_INT_i.BLIF N_145_i.BLIF CLK_000_N_SYNC_7_.BLIF AS_030_c.BLIF \ -N_281_i.BLIF CLK_000_N_SYNC_8_.BLIF N_302_i.BLIF CLK_000_N_SYNC_9_.BLIF \ -AS_000_c.BLIF CLK_000_N_SYNC_10_.BLIF N_279_i.BLIF inst_RW_000_INT.BLIF \ -RW_000_c.BLIF N_280_i.BLIF inst_RW_000_DMA.BLIF un5_e_0.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF N_278_i.BLIF inst_A0_DMA.BLIF UDS_000_c.BLIF \ -cpu_est_2_0_3__n.BLIF SM_AMIGA_6_.BLIF N_277_i.BLIF \ -DS_000_ENABLE_1_sqmuxa.BLIF LDS_000_c.BLIF N_348_i.BLIF inst_CLK_030_H.BLIF \ -cpu_est_2_0_2__n.BLIF SM_AMIGA_1_.BLIF size_c_0__n.BLIF N_128_i.BLIF \ -SM_AMIGA_3_.BLIF N_193_i.BLIF SM_AMIGA_2_.BLIF size_c_1__n.BLIF N_241_i.BLIF \ -pos_clk_un3_as_030_d0_n.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_240_i.BLIF \ -N_4.BLIF N_124_0.BLIF N_6.BLIF N_269_0.BLIF un5_ciin_i.BLIF N_61_0.BLIF \ -un1_as_030_i.BLIF N_17.BLIF N_228_i.BLIF N_18.BLIF N_355_0.BLIF N_21.BLIF \ -N_226_i.BLIF N_22.BLIF N_26.BLIF N_224_i.BLIF N_27.BLIF N_225_i.BLIF \ -CLK_OUT_PRE_25_0.BLIF N_282_0.BLIF N_221_i.BLIF N_222_i.BLIF N_219_i.BLIF \ -N_220_i.BLIF N_283_0.BLIF N_216_i.BLIF N_218_i.BLIF cpu_est_2_0_1__n.BLIF \ -N_373_i.BLIF N_375_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF N_188_i.BLIF \ -a_c_16__n.BLIF N_205_i.BLIF a_c_17__n.BLIF pos_clk_un8_sm_amiga_i_n.BLIF \ -A0_c_i.BLIF a_c_18__n.BLIF size_c_i_1__n.BLIF N_27_i.BLIF a_c_19__n.BLIF \ -N_31_0.BLIF ipl_c_i_0__n.BLIF a_c_20__n.BLIF N_52_0.BLIF N_4_i.BLIF \ -a_c_21__n.BLIF N_49_0.BLIF N_17_i.BLIF SM_AMIGA_i_7_.BLIF a_c_22__n.BLIF \ -N_43_0.BLIF N_124.BLIF N_18_i.BLIF cpu_est_2_1__n.BLIF a_c_23__n.BLIF \ -N_42_0.BLIF cpu_est_2_2__n.BLIF N_21_i.BLIF cpu_est_2_3__n.BLIF a_c_24__n.BLIF \ -N_39_0.BLIF G_134.BLIF N_22_i.BLIF G_135.BLIF a_c_25__n.BLIF N_38_0.BLIF \ -G_136.BLIF N_26_i.BLIF N_269.BLIF a_c_26__n.BLIF N_34_0.BLIF N_61.BLIF \ -BG_030_c_i.BLIF a_c_27__n.BLIF pos_clk_un8_bg_030_0_n.BLIF N_98.BLIF \ -N_161_i_1.BLIF a_c_28__n.BLIF N_161_i_2.BLIF pos_clk_un8_sm_amiga_i_1_n.BLIF \ -N_355.BLIF a_c_29__n.BLIF N_324_1.BLIF N_324_2.BLIF N_128.BLIF a_c_30__n.BLIF \ -N_150_i_1.BLIF N_137.BLIF un1_SM_AMIGA_5_i_1.BLIF N_145.BLIF a_c_31__n.BLIF \ -un1_SM_AMIGA_5_i_2.BLIF N_148.BLIF N_138_i_1.BLIF N_150.BLIF A0_c.BLIF \ -N_138_i_2.BLIF N_152.BLIF N_146_i_1.BLIF N_154.BLIF A1_c.BLIF N_146_i_2.BLIF \ -N_156.BLIF N_146_i_3.BLIF N_159.BLIF nEXP_SPACE_c.BLIF N_220_1.BLIF N_161.BLIF \ -N_220_2.BLIF N_165.BLIF BERR_c.BLIF N_375_1.BLIF N_168.BLIF N_375_2.BLIF \ -N_171.BLIF BG_030_c.BLIF N_373_1.BLIF N_174.BLIF N_373_2.BLIF N_175.BLIF \ -BG_000DFFreg.BLIF N_210_1.BLIF N_178.BLIF N_210_2.BLIF N_181.BLIF N_210_3.BLIF \ -N_183.BLIF BGACK_000_c.BLIF un5_ciin_1.BLIF N_188.BLIF un5_ciin_2.BLIF \ -N_190.BLIF CLK_030_c.BLIF un5_ciin_3.BLIF N_193.BLIF un5_ciin_4.BLIF \ -N_195.BLIF un5_ciin_5.BLIF N_200.BLIF un5_ciin_6.BLIF N_205.BLIF \ -CLK_OSZI_c.BLIF un5_ciin_7.BLIF N_206.BLIF un5_ciin_8.BLIF N_207.BLIF \ -un5_ciin_9.BLIF N_208.BLIF un5_ciin_10.BLIF N_210.BLIF un5_ciin_11.BLIF \ -N_211.BLIF FPU_SENSE_c.BLIF N_302_1.BLIF N_212.BLIF N_244_i_1.BLIF N_373.BLIF \ -IPL_030DFF_0_reg.BLIF N_244_i_2.BLIF N_375.BLIF N_243_i_1.BLIF N_216.BLIF \ -IPL_030DFF_1_reg.BLIF N_410_1.BLIF N_218.BLIF N_410_2.BLIF N_219.BLIF \ -IPL_030DFF_2_reg.BLIF N_410_3.BLIF N_220.BLIF N_410_4.BLIF N_221.BLIF \ -ipl_c_0__n.BLIF N_237_1.BLIF N_222.BLIF N_237_2.BLIF N_224.BLIF \ -ipl_c_1__n.BLIF un21_fpu_cs_1.BLIF N_225.BLIF un22_berr_1_0.BLIF N_226.BLIF \ -ipl_c_2__n.BLIF N_233_1.BLIF N_228.BLIF N_233_2.BLIF N_230.BLIF N_245_i_1.BLIF \ -N_231.BLIF DTACK_c.BLIF N_128_i_1.BLIF N_240.BLIF N_134_i_1.BLIF N_241.BLIF \ -N_124_0_1.BLIF N_277.BLIF N_267_i_1.BLIF N_278.BLIF VPA_c.BLIF N_268_i_1.BLIF \ -N_279.BLIF N_355_0_1.BLIF N_280.BLIF N_353_i_1.BLIF N_281.BLIF RST_c.BLIF \ -N_140_i_1.BLIF N_302.BLIF N_142_i_1.BLIF N_313.BLIF N_280_1.BLIF N_318.BLIF \ -RW_c.BLIF N_225_1.BLIF N_321.BLIF N_224_1.BLIF N_324.BLIF fc_c_0__n.BLIF \ -N_219_1.BLIF N_326.BLIF N_218_1.BLIF N_329.BLIF fc_c_1__n.BLIF N_212_1.BLIF \ -N_332.BLIF N_208_1.BLIF N_348.BLIF N_207_1.BLIF cpu_est_0_0_x2_0_x2_0_.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF N_200_1.BLIF pos_clk_CYCLE_DMA_5_0_i_0_x2.BLIF \ -N_195_1.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF pos_clk_ipl_1_n.BLIF N_235.BLIF \ -ipl_030_0_1__un3_n.BLIF N_196.BLIF ipl_030_0_1__un1_n.BLIF N_143.BLIF \ -N_7_i.BLIF ipl_030_0_1__un0_n.BLIF N_158.BLIF N_47_0.BLIF \ -as_030_000_sync_0_un3_n.BLIF N_198.BLIF N_5_i.BLIF \ -as_030_000_sync_0_un1_n.BLIF N_199.BLIF N_48_0.BLIF \ -as_030_000_sync_0_un0_n.BLIF N_307.BLIF N_3_i.BLIF as_000_int_0_un3_n.BLIF \ -N_201.BLIF N_50_0.BLIF as_000_int_0_un1_n.BLIF N_182.BLIF nEXP_SPACE_c_i.BLIF \ -as_000_int_0_un0_n.BLIF N_243_2.BLIF N_55_0.BLIF ds_000_dma_0_un3_n.BLIF \ -N_8.BLIF VPA_c_i.BLIF ds_000_dma_0_un1_n.BLIF N_356.BLIF N_56_0.BLIF \ -ds_000_dma_0_un0_n.BLIF N_10.BLIF DTACK_c_i.BLIF ipl_030_0_2__un3_n.BLIF \ -pos_clk_un6_bgack_000_n.BLIF N_57_0.BLIF ipl_030_0_2__un1_n.BLIF N_19.BLIF \ -ipl_c_i_1__n.BLIF ipl_030_0_2__un0_n.BLIF N_352.BLIF N_53_0.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n.BLIF N_327.BLIF \ -ipl_c_i_2__n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n.BLIF \ -N_20.BLIF N_54_0.BLIF un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n.BLIF \ -pos_clk_a0_dma_3_n.BLIF N_28_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n.BLIF \ -N_24.BLIF N_32_0.BLIF sm_amiga_srsts_i_0_0_m3_1__un1_n.BLIF N_113.BLIF \ -N_29_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un0_n.BLIF N_25.BLIF N_33_0.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un3_n.BLIF N_114.BLIF N_378_i.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un1_n.BLIF pos_clk_size_dma_6_0__n.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un0_n.BLIF N_232.BLIF size_dma_0_0__un3_n.BLIF \ -pos_clk_size_dma_6_1__n.BLIF N_227_i.BLIF size_dma_0_0__un1_n.BLIF N_410.BLIF \ -N_354_0.BLIF size_dma_0_0__un0_n.BLIF N_185.BLIF N_233_i.BLIF \ -size_dma_0_1__un3_n.BLIF N_236.BLIF N_357_0.BLIF size_dma_0_1__un1_n.BLIF \ -N_238.BLIF N_270_0.BLIF size_dma_0_1__un0_n.BLIF N_173.BLIF AS_000_DMA_i.BLIF \ -as_000_dma_0_un3_n.BLIF N_239.BLIF N_137_0.BLIF as_000_dma_0_un1_n.BLIF \ -N_331.BLIF N_312_i.BLIF as_000_dma_0_un0_n.BLIF N_237.BLIF \ -pos_clk_un3_as_030_d0_i_n.BLIF bgack_030_int_0_un3_n.BLIF un22_berr_1.BLIF \ -N_161_i.BLIF bgack_030_int_0_un1_n.BLIF N_233.BLIF N_179_0.BLIF \ -bgack_030_int_0_un0_n.BLIF N_209.BLIF N_180_0.BLIF rw_000_dma_0_un3_n.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF \ -RW.PIN.BLIF +A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_280.BLIF N_210_0.BLIF \ +cpu_est_0_1__un0_n.BLIF N_279.BLIF N_289_0.BLIF cpu_est_0_2__un3_n.BLIF \ +N_271.BLIF N_218_0.BLIF cpu_est_0_2__un1_n.BLIF N_272.BLIF \ +cpu_est_0_2__un0_n.BLIF N_276.BLIF N_242_i.BLIF cpu_est_0_3__un3_n.BLIF \ +DS_000_ENABLE_1_sqmuxa_1.BLIF N_246_i.BLIF cpu_est_0_3__un1_n.BLIF \ +inst_BGACK_030_INTreg.BLIF N_4.BLIF N_240_i.BLIF cpu_est_0_3__un0_n.BLIF \ +vcc_n_n.BLIF N_5.BLIF N_241_i.BLIF ipl_030_0_0__un3_n.BLIF \ +inst_VMA_INTreg.BLIF N_7.BLIF ipl_030_0_0__un1_n.BLIF gnd_n_n.BLIF N_10.BLIF \ +N_266_i.BLIF ipl_030_0_0__un0_n.BLIF un1_amiga_bus_enable_low.BLIF N_18.BLIF \ +N_267_i.BLIF ipl_030_0_1__un3_n.BLIF un3_size.BLIF N_24.BLIF N_254_i.BLIF \ +ipl_030_0_1__un1_n.BLIF un4_size.BLIF N_6.BLIF N_317_i.BLIF \ +ipl_030_0_1__un0_n.BLIF un4_uds_000.BLIF un1_amiga_bus_enable_low_i.BLIF \ +ipl_030_0_2__un3_n.BLIF un4_lds_000.BLIF un21_fpu_cs_i.BLIF N_313_i.BLIF \ +ipl_030_0_2__un1_n.BLIF un5_ciin.BLIF BGACK_030_INT_i.BLIF N_316_i.BLIF \ +ipl_030_0_2__un0_n.BLIF un4_as_000.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF \ +N_312_i.BLIF a0_dma_0_un3_n.BLIF un21_fpu_cs.BLIF UDS_000_INT_i.BLIF \ +a0_dma_0_un1_n.BLIF un22_berr.BLIF LDS_000_INT_i.BLIF N_347_i.BLIF \ +a0_dma_0_un0_n.BLIF un6_ds_030.BLIF N_236_i.BLIF N_323_i.BLIF \ +uds_000_int_0_un3_n.BLIF cpu_est_2_.BLIF sm_amiga_i_5__n.BLIF N_324_i.BLIF \ +uds_000_int_0_un1_n.BLIF cpu_est_3_.BLIF DS_000_ENABLE_1_sqmuxa_i.BLIF \ +N_222_i.BLIF uds_000_int_0_un0_n.BLIF cpu_est_0_.BLIF N_276_i.BLIF \ +AS_000_DMA_i.BLIF vma_int_0_un3_n.BLIF cpu_est_1_.BLIF RST_i.BLIF N_221_0.BLIF \ +vma_int_0_un1_n.BLIF inst_AS_000_INT.BLIF a_i_19__n.BLIF N_220_0.BLIF \ +vma_int_0_un0_n.BLIF SM_AMIGA_5_.BLIF a_i_18__n.BLIF N_219_0.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +size_dma_i_1__n.BLIF N_216_0.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ +inst_AS_030_D0.BLIF size_dma_i_0__n.BLIF N_290_0.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF RW_i.BLIF \ +N_212_0.BLIF bg_000_0_un3_n.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_PE_i.BLIF \ +N_211_0.BLIF bg_000_0_un1_n.BLIF inst_BGACK_030_INT_D.BLIF a_i_16__n.BLIF \ +N_204_0.BLIF bg_000_0_un0_n.BLIF inst_AS_000_DMA.BLIF RESET_OUT_i.BLIF \ +N_351_i.BLIF ds_000_dma_0_un3_n.BLIF inst_DS_000_DMA.BLIF BERR_i.BLIF \ +N_353_i.BLIF ds_000_dma_0_un1_n.BLIF CYCLE_DMA_0_.BLIF sm_amiga_i_i_7__n.BLIF \ +N_201_i.BLIF ds_000_dma_0_un0_n.BLIF CYCLE_DMA_1_.BLIF nEXP_SPACE_D0_i.BLIF \ +VMA_INT_i.BLIF as_000_dma_0_un3_n.BLIF SIZE_DMA_0_.BLIF sm_amiga_i_4__n.BLIF \ +N_197_i.BLIF as_000_dma_0_un1_n.BLIF SIZE_DMA_1_.BLIF FPU_SENSE_i.BLIF \ +N_196_i.BLIF as_000_dma_0_un0_n.BLIF inst_VPA_D.BLIF AS_030_i.BLIF \ +N_193_i.BLIF lds_000_int_0_un3_n.BLIF inst_UDS_000_INT.BLIF AS_030_D0_i.BLIF \ +N_192_0.BLIF lds_000_int_0_un1_n.BLIF inst_LDS_000_INT.BLIF a_i_24__n.BLIF \ +clk_000_n_sync_i_10__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_D.BLIF \ +sm_amiga_i_3__n.BLIF N_350_i.BLIF rw_000_dma_0_un3_n.BLIF inst_DTACK_D0.BLIF \ +cpu_est_i_0__n.BLIF N_188_0.BLIF rw_000_dma_0_un1_n.BLIF inst_RESET_OUT.BLIF \ +cpu_est_i_3__n.BLIF N_187_i.BLIF rw_000_dma_0_un0_n.BLIF \ +inst_CLK_OUT_PRE_50.BLIF cpu_est_i_2__n.BLIF N_185_i.BLIF a_15__n.BLIF \ +inst_CLK_000_D1.BLIF cpu_est_i_1__n.BLIF N_182_i.BLIF inst_CLK_000_D0.BLIF \ +VPA_D_i.BLIF N_181_i.BLIF a_14__n.BLIF inst_CLK_000_PE.BLIF CLK_000_NE_i.BLIF \ +CLK_OUT_PRE_D_i.BLIF CLK_000_P_SYNC_9_.BLIF sm_amiga_i_1__n.BLIF N_175_0.BLIF \ +a_13__n.BLIF inst_CLK_000_NE.BLIF rst_dly_i_2__n.BLIF N_168_i.BLIF \ +CLK_000_N_SYNC_11_.BLIF CLK_030_i.BLIF AS_030_000_SYNC_i.BLIF a_12__n.BLIF \ +IPL_D0_0_.BLIF rst_dly_i_0__n.BLIF N_158_i.BLIF IPL_D0_1_.BLIF \ +rst_dly_i_1__n.BLIF CLK_000_D0_i.BLIF a_11__n.BLIF IPL_D0_2_.BLIF \ +CLK_000_D1_i.BLIF N_148_i.BLIF inst_CLK_000_NE_D0.BLIF DTACK_D0_i.BLIF \ +N_345_i.BLIF a_10__n.BLIF pos_clk_un6_bg_030_n.BLIF RW_000_i.BLIF N_344_i.BLIF \ +SM_AMIGA_0_.BLIF CLK_030_H_i.BLIF N_144_0.BLIF a_9__n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF sm_amiga_i_6__n.BLIF N_138_0.BLIF \ +inst_DSACK1_INTreg.BLIF sm_amiga_i_2__n.BLIF a_8__n.BLIF AS_000_i.BLIF \ +N_342_i.BLIF pos_clk_ipl_n.BLIF sm_amiga_i_0__n.BLIF N_343_i.BLIF a_7__n.BLIF \ +SM_AMIGA_4_.BLIF A1_i.BLIF N_124_0.BLIF inst_DS_000_ENABLE.BLIF a_i_31__n.BLIF \ +N_341_i.BLIF a_6__n.BLIF RST_DLY_0_.BLIF a_i_29__n.BLIF N_119_0.BLIF \ +RST_DLY_1_.BLIF a_i_30__n.BLIF N_340_i.BLIF a_5__n.BLIF RST_DLY_2_.BLIF \ +a_i_27__n.BLIF N_361_i.BLIF pos_clk_un8_bg_030_n.BLIF a_i_28__n.BLIF \ +cpu_est_2_0_2__n.BLIF a_4__n.BLIF CLK_000_P_SYNC_0_.BLIF a_i_25__n.BLIF \ +N_338_i.BLIF CLK_000_P_SYNC_1_.BLIF a_i_26__n.BLIF N_339_i.BLIF a_3__n.BLIF \ +CLK_000_P_SYNC_2_.BLIF N_213_i.BLIF cpu_est_2_0_1__n.BLIF \ +CLK_000_P_SYNC_3_.BLIF N_214_i.BLIF N_332_i.BLIF a_2__n.BLIF \ +CLK_000_P_SYNC_4_.BLIF N_215_i.BLIF N_336_i.BLIF CLK_000_P_SYNC_5_.BLIF \ +pos_clk_un7_clk_000_pe_0_n.BLIF CLK_000_P_SYNC_6_.BLIF N_275_i.BLIF \ +N_99_0.BLIF CLK_000_P_SYNC_7_.BLIF un6_ds_030_i.BLIF N_331_i.BLIF \ +CLK_000_P_SYNC_8_.BLIF DS_000_DMA_i.BLIF N_96_0.BLIF CLK_000_N_SYNC_0_.BLIF \ +un4_as_000_i.BLIF N_330_i.BLIF CLK_000_N_SYNC_1_.BLIF AS_000_INT_i.BLIF \ +N_90_0.BLIF CLK_000_N_SYNC_2_.BLIF un4_lds_000_i.BLIF N_328_i.BLIF \ +CLK_000_N_SYNC_3_.BLIF un4_uds_000_i.BLIF CLK_000_N_SYNC_4_.BLIF AS_030_c.BLIF \ +N_80_0.BLIF CLK_000_N_SYNC_5_.BLIF N_325_i.BLIF CLK_000_N_SYNC_6_.BLIF \ +AS_000_c.BLIF N_326_i.BLIF CLK_000_N_SYNC_7_.BLIF N_258_0.BLIF \ +CLK_000_N_SYNC_8_.BLIF RW_000_c.BLIF N_217_i.BLIF CLK_000_N_SYNC_9_.BLIF \ +N_321_i.BLIF CLK_000_N_SYNC_10_.BLIF N_322_i.BLIF inst_RW_000_INT.BLIF \ +UDS_000_c.BLIF inst_RW_000_DMA.BLIF N_320_i.BLIF pos_clk_un7_clk_000_pe_n.BLIF \ +LDS_000_c.BLIF inst_A0_DMA.BLIF un5_ciin_i.BLIF pos_clk_a0_dma_3_n.BLIF \ +size_c_0__n.BLIF N_61_0.BLIF SM_AMIGA_6_.BLIF N_310_i.BLIF inst_CLK_030_H.BLIF \ +size_c_1__n.BLIF SM_AMIGA_1_.BLIF N_305_i.BLIF SM_AMIGA_3_.BLIF N_307_i.BLIF \ +SM_AMIGA_2_.BLIF N_3.BLIF N_303_i.BLIF N_8.BLIF N_304_i.BLIF N_283_0.BLIF \ +N_301_i.BLIF N_300_i.BLIF N_123_0.BLIF N_17.BLIF N_278_i.BLIF N_19.BLIF \ +N_297_i.BLIF N_20.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_21.BLIF N_277_i.BLIF \ +N_22.BLIF N_25.BLIF N_26.BLIF pos_clk_un8_sm_amiga_i_n.BLIF N_27.BLIF \ +A0_c_i.BLIF N_28.BLIF size_c_i_1__n.BLIF N_29.BLIF N_29_i.BLIF N_32_0.BLIF \ +N_28_i.BLIF N_31_0.BLIF N_27_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF N_53_0.BLIF \ +ipl_c_i_1__n.BLIF N_52_0.BLIF a_c_16__n.BLIF ipl_c_i_0__n.BLIF N_51_0.BLIF \ +a_c_17__n.BLIF DTACK_c_i.BLIF N_56_0.BLIF a_c_18__n.BLIF VPA_c_i.BLIF \ +N_55_0.BLIF a_c_19__n.BLIF nEXP_SPACE_c_i.BLIF N_54_0.BLIF a_c_20__n.BLIF \ +N_3_i.BLIF N_49_0.BLIF a_c_21__n.BLIF N_8_i.BLIF N_45_0.BLIF a_c_22__n.BLIF \ +N_17_i.BLIF N_42_0.BLIF a_c_23__n.BLIF N_19_i.BLIF N_40_0.BLIF \ +SM_AMIGA_i_7_.BLIF a_c_24__n.BLIF N_20_i.BLIF N_123.BLIF N_39_0.BLIF \ +cpu_est_2_1__n.BLIF a_c_25__n.BLIF N_21_i.BLIF cpu_est_2_2__n.BLIF N_38_0.BLIF \ +N_209.BLIF a_c_26__n.BLIF N_22_i.BLIF G_134.BLIF N_37_0.BLIF G_135.BLIF \ +a_c_27__n.BLIF N_25_i.BLIF G_136.BLIF N_34_0.BLIF N_217.BLIF a_c_28__n.BLIF \ +N_26_i.BLIF N_33_0.BLIF N_61.BLIF a_c_29__n.BLIF BG_030_c_i.BLIF N_127.BLIF \ +pos_clk_un6_bg_030_i_n.BLIF a_c_30__n.BLIF pos_clk_un8_bg_030_0_n.BLIF \ +N_80.BLIF N_289_0_1.BLIF a_c_31__n.BLIF un1_SM_AMIGA_5_i_1.BLIF N_90.BLIF \ +un1_SM_AMIGA_5_i_2.BLIF N_96.BLIF A0_c.BLIF pos_clk_un8_sm_amiga_i_1_n.BLIF \ +N_99.BLIF N_351_1.BLIF N_119.BLIF A1_c.BLIF N_351_2.BLIF N_124.BLIF \ +N_168_i_1.BLIF N_138.BLIF nEXP_SPACE_c.BLIF N_192_0_1.BLIF N_144.BLIF \ +N_192_0_2.BLIF N_158.BLIF BERR_c.BLIF N_137_i_1.BLIF N_168.BLIF N_137_i_2.BLIF \ +N_175.BLIF BG_030_c.BLIF N_145_i_1.BLIF N_182.BLIF N_145_i_2.BLIF N_185.BLIF \ +BG_000DFFreg.BLIF N_145_i_3.BLIF N_187.BLIF N_260_i_1.BLIF N_188.BLIF \ +N_260_i_2.BLIF N_192.BLIF BGACK_000_c.BLIF N_259_i_1.BLIF N_193.BLIF \ +N_259_i_2.BLIF N_197.BLIF CLK_030_c.BLIF N_336_1.BLIF N_201.BLIF N_336_2.BLIF \ +N_204.BLIF N_332_1.BLIF N_206.BLIF N_332_2.BLIF N_207.BLIF CLK_OSZI_c.BLIF \ +N_332_3.BLIF N_317_1.BLIF N_211.BLIF N_317_2.BLIF N_212.BLIF \ +CLK_OUT_INTreg.BLIF N_317_3.BLIF N_290.BLIF N_304_1.BLIF N_216.BLIF \ +N_304_2.BLIF N_219.BLIF FPU_SENSE_c.BLIF un5_ciin_1.BLIF N_220.BLIF \ +un5_ciin_2.BLIF N_221.BLIF IPL_030DFF_0_reg.BLIF un5_ciin_3.BLIF N_227.BLIF \ +un5_ciin_4.BLIF N_229.BLIF IPL_030DFF_1_reg.BLIF un5_ciin_5.BLIF N_230.BLIF \ +un5_ciin_6.BLIF N_236.BLIF IPL_030DFF_2_reg.BLIF un5_ciin_7.BLIF N_240.BLIF \ +un5_ciin_8.BLIF N_241.BLIF ipl_c_0__n.BLIF un5_ciin_9.BLIF N_242.BLIF \ +un5_ciin_10.BLIF N_246.BLIF ipl_c_1__n.BLIF un5_ciin_11.BLIF N_254.BLIF \ +un22_berr_1_0.BLIF N_266.BLIF ipl_c_2__n.BLIF un21_fpu_cs_1.BLIF N_267.BLIF \ +N_375_1.BLIF N_275.BLIF N_375_2.BLIF N_277.BLIF DTACK_c.BLIF N_375_3.BLIF \ +N_278.BLIF N_375_4.BLIF N_297.BLIF N_134_i_1.BLIF N_300.BLIF N_96_0_1.BLIF \ +N_301.BLIF VPA_c.BLIF N_83_i_1.BLIF N_303.BLIF N_261_i_1.BLIF N_304.BLIF \ +N_141_i_1.BLIF N_305.BLIF RST_c.BLIF N_139_i_1.BLIF N_307.BLIF N_133_i_1.BLIF \ +N_310.BLIF N_123_0_1.BLIF N_312.BLIF RW_c.BLIF N_282_i_1.BLIF N_313.BLIF \ +N_343_1.BLIF N_316.BLIF fc_c_0__n.BLIF N_339_1.BLIF N_317.BLIF \ +pos_clk_un6_bg_030_1_n.BLIF N_320.BLIF fc_c_1__n.BLIF N_326_1.BLIF N_321.BLIF \ +N_325_1.BLIF N_322.BLIF N_324_1.BLIF N_323.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ +N_316_1.BLIF N_324.BLIF N_313_1.BLIF N_325.BLIF N_303_1.BLIF N_326.BLIF \ +N_297_1.BLIF N_328.BLIF N_266_1.BLIF N_330.BLIF N_24_i.BLIF N_240_1.BLIF \ +N_331.BLIF N_35_0.BLIF pos_clk_ipl_1_n.BLIF N_332.BLIF N_18_i.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF N_336.BLIF N_41_0.BLIF \ +amiga_bus_enable_dma_low_0_un1_n.BLIF N_338.BLIF N_10_i.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_339.BLIF N_43_0.BLIF \ +rw_000_int_0_un3_n.BLIF N_340.BLIF N_7_i.BLIF rw_000_int_0_un1_n.BLIF \ +N_341.BLIF N_46_0.BLIF rw_000_int_0_un0_n.BLIF N_342.BLIF N_5_i.BLIF \ +bgack_030_int_0_un3_n.BLIF N_343.BLIF N_47_0.BLIF bgack_030_int_0_un1_n.BLIF \ +N_344.BLIF N_4_i.BLIF bgack_030_int_0_un0_n.BLIF N_345.BLIF N_48_0.BLIF \ +as_030_000_sync_0_un3_n.BLIF N_347.BLIF N_272_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF N_350.BLIF N_271_i.BLIF \ +as_030_000_sync_0_un0_n.BLIF N_351.BLIF N_279_0.BLIF \ +ds_000_enable_0_un3_n.BLIF N_353.BLIF N_280_0.BLIF ds_000_enable_0_un1_n.BLIF \ +N_361.BLIF N_281_0.BLIF ds_000_enable_0_un0_n.BLIF \ +pos_clk_un24_bgack_030_int_i_i_a4_i_x2.BLIF N_298_i.BLIF \ +as_000_int_0_un3_n.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF \ +pos_clk_size_dma_6_0_0__n.BLIF as_000_int_0_un1_n.BLIF cpu_est_0_0_x2_0_.BLIF \ +N_299_i.BLIF as_000_int_0_un0_n.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF \ +pos_clk_size_dma_6_0_1__n.BLIF dsack1_int_0_un3_n.BLIF un22_berr_1.BLIF \ +un1_as_000_i.BLIF dsack1_int_0_un1_n.BLIF N_375.BLIF N_358_i.BLIF \ +dsack1_int_0_un0_n.BLIF N_218.BLIF pos_clk_un6_bgack_000_0_n.BLIF \ +size_dma_0_1__un3_n.BLIF N_156.BLIF N_284_i.BLIF size_dma_0_1__un1_n.BLIF \ +N_289.BLIF N_285_i.BLIF size_dma_0_1__un0_n.BLIF N_354.BLIF N_286_0.BLIF \ +size_dma_0_0__un3_n.BLIF N_205.BLIF N_88_0.BLIF size_dma_0_0__un1_n.BLIF \ +un1_SM_AMIGA_5.BLIF pos_clk_un3_as_030_d0_i_n.BLIF size_dma_0_0__un0_n.BLIF \ +DS_000_ENABLE_1_sqmuxa.BLIF N_156_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ +N_349.BLIF N_349_i.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF \ +pos_clk_un3_as_030_d0_n.BLIF N_194_i.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ +N_286.BLIF un1_SM_AMIGA_5_i.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF pos_clk_un6_bgack_000_n.BLIF \ +UDS_000_c_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_358.BLIF \ +LDS_000_c_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF \ +pos_clk_size_dma_6_1__n.BLIF N_205_i.BLIF sm_amiga_srsts_i_0_m2_5__un3_n.BLIF \ +N_299.BLIF N_206_0.BLIF sm_amiga_srsts_i_0_m2_5__un1_n.BLIF \ +pos_clk_size_dma_6_0__n.BLIF N_207_0.BLIF sm_amiga_srsts_i_0_m2_5__un0_n.BLIF \ +N_298.BLIF N_354_i.BLIF cpu_est_0_1__un3_n.BLIF N_281.BLIF N_208_0.BLIF \ +cpu_est_0_1__un1_n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ +UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ +A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.D \ -SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_5_.D SM_AMIGA_5_.C \ SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D \ SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ -cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D \ -IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ +IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ +IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D \ +IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C \ +SM_AMIGA_6_.D SM_AMIGA_6_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ +CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D \ +CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D \ +CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C \ +cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C \ +cpu_est_3_.D cpu_est_3_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C \ +CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D \ +CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ +CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ +CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ +CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ +CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ +CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ -CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D \ -CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D \ -CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D \ -CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C \ -CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D \ -CLK_000_N_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C \ -RST_DLY_2_.D RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ -inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D \ +CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D \ +RST_DLY_2_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D \ +CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C \ +CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D \ +CLK_000_P_SYNC_4_.C RST_DLY_0_.D RST_DLY_0_.C inst_AS_000_DMA.D \ inst_AS_000_DMA.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_DS_000_DMA.D inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C \ inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C \ inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RESET_OUT.D inst_RESET_OUT.C inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C \ +inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_NE.D \ -inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_INTreg.D inst_CLK_OUT_INTreg.C inst_CLK_000_D1.D \ +inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C \ +inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D \ inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C \ -inst_CLK_OUT_EXP_INT.D inst_CLK_OUT_EXP_INT.C inst_CLK_OUT_PRE_D.D \ -inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D \ -inst_CLK_000_PE.C SIZE_1_ AS_030 AS_000 RW_000 UDS_000 LDS_000 A0 BERR RW \ -SIZE_0_ N_184 N_184_0 rw_000_dma_0_un1_n N_180 N_185_0 rw_000_dma_0_un0_n \ -N_179 UDS_000_c_i a0_dma_0_un3_n LDS_000_c_i a0_dma_0_un1_n N_312 N_173_i \ -a0_dma_0_un0_n N_270 N_358_0 amiga_bus_enable_dma_low_0_un3_n N_357 N_239_i \ -amiga_bus_enable_dma_low_0_un1_n N_354 pos_clk_size_dma_6_0_1__n \ -amiga_bus_enable_dma_low_0_un0_n vcc_n_n N_227 N_238_i \ -amiga_bus_enable_dma_high_0_un3_n un5_e N_378 pos_clk_size_dma_6_0_0__n \ -amiga_bus_enable_dma_high_0_un1_n N_29 N_237_i \ -amiga_bus_enable_dma_high_0_un0_n gnd_n_n N_28 N_236_i cpu_est_0_2__un3_n \ -un1_amiga_bus_enable_low N_3 AMIGA_BUS_DATA_DIR_c_0 cpu_est_0_2__un1_n \ -un3_size N_5 N_331_i cpu_est_0_2__un0_n un4_size N_7 pos_clk_un6_bgack_000_0_n \ -cpu_est_0_3__un3_n un4_uds_000 N_190_i N_356_0 cpu_est_0_3__un1_n un4_lds_000 \ -un1_amiga_bus_enable_low_i N_352_0 cpu_est_0_3__un0_n un5_ciin un21_fpu_cs_i \ -N_8_i ipl_030_0_0__un3_n un4_as_000 CLK_OUT_EXP_INT_i N_46_0 \ -ipl_030_0_0__un1_n un1_SM_AMIGA_5 AS_000_i N_10_i ipl_030_0_0__un0_n \ -un21_fpu_cs DS_000_DMA_i N_44_0 rw_000_int_0_un3_n un22_berr sm_amiga_i_5__n \ -N_19_i rw_000_int_0_un1_n un6_ds_030 sm_amiga_i_6__n N_41_0 rw_000_int_0_un0_n \ -sm_amiga_i_0__n N_20_i uds_000_int_0_un3_n CLK_000_NE_i N_40_0 \ -uds_000_int_0_un1_n sm_amiga_i_4__n N_24_i uds_000_int_0_un0_n RW_000_i N_36_0 \ -vma_int_0_un3_n sm_amiga_i_2__n N_25_i vma_int_0_un1_n CLK_000_D0_i N_35_0 \ -vma_int_0_un0_n BERR_i bg_000_0_un3_n sm_amiga_i_1__n N_198_i bg_000_0_un1_n \ -CLK_000_PE_i N_243_2_i bg_000_0_un0_n N_410_i_0 N_196_i cpu_est_0_1__un3_n \ -sm_amiga_i_i_7__n N_195_i cpu_est_0_1__un1_n AS_030_i cpu_est_0_1__un0_n \ -FPU_SENSE_i N_201_i dsack1_int_0_un3_n nEXP_SPACE_D0_i N_200_i \ -dsack1_int_0_un1_n BGACK_030_INT_i N_199_i dsack1_int_0_un0_n \ -AMIGA_BUS_ENABLE_DMA_HIGH_i N_182_0 ds_000_enable_0_un3_n A1_i N_158_i \ -ds_000_enable_0_un1_n CLK_030_H_i N_148_i ds_000_enable_0_un0_n a_i_16__n \ -N_307_i lds_000_int_0_un3_n a_i_18__n N_143_0 lds_000_int_0_un1_n a_i_19__n \ -N_217_i lds_000_int_0_un0_n N_114_i N_235_i a_15__n N_113_i AS_000_INT_i \ -N_210_i a_14__n AMIGA_BUS_ENABLE_DMA_LOW_i rst_dly_i_2__n N_207_i a_13__n \ -rst_dly_i_0__n N_208_i rst_dly_i_1__n N_206_i a_12__n RESET_OUT_i \ -size_dma_i_1__n N_313_i a_11__n size_dma_i_0__n N_211_i AS_030_D0_i N_212_i \ -a_10__n a_i_24__n N_183_0 sm_amiga_i_3__n N_181_0 a_9__n cpu_est_i_3__n \ -N_178_0 cpu_est_i_0__n N_69_0 a_8__n VPA_D_i N_329_i cpu_est_i_1__n N_176_i \ -a_7__n CLK_030_i N_175_0 pos_clk_ipl_n CLK_000_D1_i N_174_0 a_6__n \ -cpu_est_i_2__n N_171_0 DTACK_D0_i un1_SM_AMIGA_5_i a_5__n RW_i N_324_i \ -a_i_31__n N_326_i a_4__n a_i_29__n N_168_i pos_clk_un8_bg_030_n a_i_30__n \ -VMA_INT_i a_3__n a_i_27__n N_165_i a_i_28__n N_164_i a_2__n a_i_25__n N_162_i \ -a_i_26__n clk_000_n_sync_i_10__n N_213_i N_321_i N_214_i N_159_0 N_215_i \ -N_318_i N_156_i DS_000_ENABLE_1_sqmuxa_i N_155_i N_98_i N_154_i un6_ds_030_i \ -CLK_OUT_PRE_D_i un4_as_000_i N_152_0 un4_lds_000_i N_150_i un4_uds_000_i \ -AS_030_000_SYNC_i LDS_000_INT_i N_147_i UDS_000_INT_i N_145_i AS_030_c N_281_i \ -N_302_i AS_000_c N_279_i RW_000_c N_280_i un5_e_0 pos_clk_un7_clk_000_pe_n \ -N_278_i UDS_000_c cpu_est_2_0_3__n N_277_i DS_000_ENABLE_1_sqmuxa LDS_000_c \ -N_348_i cpu_est_2_0_2__n size_c_0__n N_128_i N_193_i size_c_1__n N_241_i \ -pos_clk_un3_as_030_d0_n DS_000_ENABLE_1_sqmuxa_1 N_240_i N_4 N_124_0 N_6 \ -N_269_0 un5_ciin_i N_61_0 un1_as_030_i N_17 N_228_i N_18 N_355_0 N_21 N_226_i \ -N_22 N_26 N_224_i N_27 N_225_i N_282_0 N_221_i N_222_i N_219_i N_220_i N_283_0 \ -N_216_i N_218_i cpu_est_2_0_1__n N_373_i N_375_i pos_clk_un7_clk_000_pe_0_n \ -N_188_i a_c_16__n N_205_i a_c_17__n pos_clk_un8_sm_amiga_i_n A0_c_i a_c_18__n \ -size_c_i_1__n N_27_i a_c_19__n N_31_0 ipl_c_i_0__n a_c_20__n N_52_0 N_4_i \ -a_c_21__n N_49_0 N_17_i a_c_22__n N_43_0 N_124 N_18_i cpu_est_2_1__n a_c_23__n \ -N_42_0 cpu_est_2_2__n N_21_i cpu_est_2_3__n a_c_24__n N_39_0 N_22_i a_c_25__n \ -N_38_0 N_26_i N_269 a_c_26__n N_34_0 N_61 BG_030_c_i a_c_27__n \ -pos_clk_un8_bg_030_0_n N_98 N_161_i_1 a_c_28__n N_161_i_2 \ -pos_clk_un8_sm_amiga_i_1_n N_355 a_c_29__n N_324_1 N_324_2 N_128 a_c_30__n \ -N_150_i_1 N_137 un1_SM_AMIGA_5_i_1 N_145 a_c_31__n un1_SM_AMIGA_5_i_2 N_148 \ -N_138_i_1 N_150 A0_c N_138_i_2 N_152 N_146_i_1 N_154 A1_c N_146_i_2 N_156 \ -N_146_i_3 N_159 nEXP_SPACE_c N_220_1 N_161 N_220_2 N_165 BERR_c N_375_1 N_168 \ -N_375_2 N_171 BG_030_c N_373_1 N_174 N_373_2 N_175 N_210_1 N_178 N_210_2 N_181 \ -N_210_3 N_183 BGACK_000_c un5_ciin_1 N_188 un5_ciin_2 N_190 CLK_030_c \ -un5_ciin_3 N_193 un5_ciin_4 N_195 un5_ciin_5 N_200 un5_ciin_6 N_205 CLK_OSZI_c \ -un5_ciin_7 N_206 un5_ciin_8 N_207 un5_ciin_9 N_208 un5_ciin_10 N_210 \ -un5_ciin_11 N_211 FPU_SENSE_c N_302_1 N_212 N_244_i_1 N_373 N_244_i_2 N_375 \ -N_243_i_1 N_216 N_410_1 N_218 N_410_2 N_219 N_410_3 N_220 N_410_4 N_221 \ -ipl_c_0__n N_237_1 N_222 N_237_2 N_224 ipl_c_1__n un21_fpu_cs_1 N_225 \ -un22_berr_1_0 N_226 ipl_c_2__n N_233_1 N_228 N_233_2 N_230 N_245_i_1 N_231 \ -DTACK_c N_128_i_1 N_240 N_134_i_1 N_241 N_124_0_1 N_277 N_267_i_1 N_278 VPA_c \ -N_268_i_1 N_279 N_355_0_1 N_280 N_353_i_1 N_281 RST_c N_140_i_1 N_302 \ -N_142_i_1 N_313 N_280_1 N_318 RW_c N_225_1 N_321 N_224_1 N_324 fc_c_0__n \ -N_219_1 N_326 N_218_1 N_329 fc_c_1__n N_212_1 N_332 N_208_1 N_348 N_207_1 \ -AMIGA_BUS_DATA_DIR_c N_200_1 N_195_1 pos_clk_ipl_1_n N_235 ipl_030_0_1__un3_n \ -N_196 ipl_030_0_1__un1_n N_143 N_7_i ipl_030_0_1__un0_n N_158 N_47_0 \ -as_030_000_sync_0_un3_n N_198 N_5_i as_030_000_sync_0_un1_n N_199 N_48_0 \ -as_030_000_sync_0_un0_n N_307 N_3_i as_000_int_0_un3_n N_201 N_50_0 \ -as_000_int_0_un1_n N_182 nEXP_SPACE_c_i as_000_int_0_un0_n N_243_2 N_55_0 \ -ds_000_dma_0_un3_n N_8 VPA_c_i ds_000_dma_0_un1_n N_356 N_56_0 \ -ds_000_dma_0_un0_n N_10 DTACK_c_i ipl_030_0_2__un3_n pos_clk_un6_bgack_000_n \ -N_57_0 ipl_030_0_2__un1_n N_19 ipl_c_i_1__n ipl_030_0_2__un0_n N_352 N_53_0 \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n N_327 ipl_c_i_2__n \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n N_20 N_54_0 \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n pos_clk_a0_dma_3_n N_28_i \ -sm_amiga_srsts_i_0_0_m3_1__un3_n N_24 N_32_0 sm_amiga_srsts_i_0_0_m3_1__un1_n \ -N_113 N_29_i sm_amiga_srsts_i_0_0_m3_1__un0_n N_25 N_33_0 \ -sm_amiga_srsts_i_0_0_m3_5__un3_n N_114 N_378_i \ -sm_amiga_srsts_i_0_0_m3_5__un1_n pos_clk_size_dma_6_0__n \ -sm_amiga_srsts_i_0_0_m3_5__un0_n N_232 size_dma_0_0__un3_n \ -pos_clk_size_dma_6_1__n N_227_i size_dma_0_0__un1_n N_410 N_354_0 \ -size_dma_0_0__un0_n N_185 N_233_i size_dma_0_1__un3_n N_236 N_357_0 \ -size_dma_0_1__un1_n N_238 N_270_0 size_dma_0_1__un0_n N_173 AS_000_DMA_i \ -as_000_dma_0_un3_n N_239 N_137_0 as_000_dma_0_un1_n N_331 N_312_i \ -as_000_dma_0_un0_n N_237 pos_clk_un3_as_030_d0_i_n bgack_030_int_0_un3_n \ -un22_berr_1 N_161_i bgack_030_int_0_un1_n N_233 N_179_0 bgack_030_int_0_un0_n \ -N_209 N_180_0 rw_000_dma_0_un3_n AS_030.OE AS_000.OE RW_000.OE UDS_000.OE \ -LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE DS_030.OE DSACK1.OE \ -RESET.OE CIIN.OE pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 CLK_OUT_PRE_25_0 \ -G_134 G_135 G_136 cpu_est_0_0_x2_0_x2_0_ pos_clk_CYCLE_DMA_5_0_i_0_x2 \ -pos_clk_CYCLE_DMA_5_1_i_0_x2 -.names N_146_i_3.BLIF N_210_i.BLIF SM_AMIGA_i_7_.D +inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ +inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C \ +inst_CLK_000_NE.D inst_CLK_000_NE.C SIZE_1_ AS_030 AS_000 RW_000 UDS_000 \ +LDS_000 A0 BERR RW SIZE_0_ N_280 N_210_0 cpu_est_0_1__un0_n N_279 N_289_0 \ +cpu_est_0_2__un3_n N_271 N_218_0 cpu_est_0_2__un1_n N_272 cpu_est_0_2__un0_n \ +N_276 N_242_i cpu_est_0_3__un3_n DS_000_ENABLE_1_sqmuxa_1 N_246_i \ +cpu_est_0_3__un1_n N_4 N_240_i cpu_est_0_3__un0_n vcc_n_n N_5 N_241_i \ +ipl_030_0_0__un3_n N_7 ipl_030_0_0__un1_n gnd_n_n N_10 N_266_i \ +ipl_030_0_0__un0_n un1_amiga_bus_enable_low N_18 N_267_i ipl_030_0_1__un3_n \ +un3_size N_24 N_254_i ipl_030_0_1__un1_n un4_size N_6 N_317_i \ +ipl_030_0_1__un0_n un4_uds_000 un1_amiga_bus_enable_low_i ipl_030_0_2__un3_n \ +un4_lds_000 un21_fpu_cs_i N_313_i ipl_030_0_2__un1_n un5_ciin BGACK_030_INT_i \ +N_316_i ipl_030_0_2__un0_n un4_as_000 AMIGA_BUS_ENABLE_DMA_LOW_i N_312_i \ +a0_dma_0_un3_n un21_fpu_cs UDS_000_INT_i a0_dma_0_un1_n un22_berr \ +LDS_000_INT_i N_347_i a0_dma_0_un0_n un6_ds_030 N_236_i N_323_i \ +uds_000_int_0_un3_n sm_amiga_i_5__n N_324_i uds_000_int_0_un1_n \ +DS_000_ENABLE_1_sqmuxa_i N_222_i uds_000_int_0_un0_n N_276_i AS_000_DMA_i \ +vma_int_0_un3_n RST_i N_221_0 vma_int_0_un1_n a_i_19__n N_220_0 \ +vma_int_0_un0_n a_i_18__n N_219_0 amiga_bus_enable_dma_high_0_un3_n \ +size_dma_i_1__n N_216_0 amiga_bus_enable_dma_high_0_un1_n size_dma_i_0__n \ +N_290_0 amiga_bus_enable_dma_high_0_un0_n RW_i N_212_0 bg_000_0_un3_n \ +CLK_000_PE_i N_211_0 bg_000_0_un1_n a_i_16__n N_204_0 bg_000_0_un0_n \ +RESET_OUT_i N_351_i ds_000_dma_0_un3_n BERR_i N_353_i ds_000_dma_0_un1_n \ +sm_amiga_i_i_7__n N_201_i ds_000_dma_0_un0_n nEXP_SPACE_D0_i VMA_INT_i \ +as_000_dma_0_un3_n sm_amiga_i_4__n N_197_i as_000_dma_0_un1_n FPU_SENSE_i \ +N_196_i as_000_dma_0_un0_n AS_030_i N_193_i lds_000_int_0_un3_n AS_030_D0_i \ +N_192_0 lds_000_int_0_un1_n a_i_24__n clk_000_n_sync_i_10__n \ +lds_000_int_0_un0_n sm_amiga_i_3__n N_350_i rw_000_dma_0_un3_n cpu_est_i_0__n \ +N_188_0 rw_000_dma_0_un1_n cpu_est_i_3__n N_187_i rw_000_dma_0_un0_n \ +cpu_est_i_2__n N_185_i a_15__n cpu_est_i_1__n N_182_i VPA_D_i N_181_i a_14__n \ +CLK_000_NE_i CLK_OUT_PRE_D_i sm_amiga_i_1__n N_175_0 a_13__n rst_dly_i_2__n \ +N_168_i CLK_030_i AS_030_000_SYNC_i a_12__n rst_dly_i_0__n N_158_i \ +rst_dly_i_1__n CLK_000_D0_i a_11__n CLK_000_D1_i N_148_i DTACK_D0_i N_345_i \ +a_10__n pos_clk_un6_bg_030_n RW_000_i N_344_i CLK_030_H_i N_144_0 a_9__n \ +sm_amiga_i_6__n N_138_0 sm_amiga_i_2__n a_8__n AS_000_i N_342_i pos_clk_ipl_n \ +sm_amiga_i_0__n N_343_i a_7__n A1_i N_124_0 a_i_31__n N_341_i a_6__n a_i_29__n \ +N_119_0 a_i_30__n N_340_i a_5__n a_i_27__n N_361_i pos_clk_un8_bg_030_n \ +a_i_28__n cpu_est_2_0_2__n a_4__n a_i_25__n N_338_i a_i_26__n N_339_i a_3__n \ +N_213_i cpu_est_2_0_1__n N_214_i N_332_i a_2__n N_215_i N_336_i \ +pos_clk_un7_clk_000_pe_0_n N_275_i N_99_0 un6_ds_030_i N_331_i DS_000_DMA_i \ +N_96_0 un4_as_000_i N_330_i AS_000_INT_i N_90_0 un4_lds_000_i N_328_i \ +un4_uds_000_i AS_030_c N_80_0 N_325_i AS_000_c N_326_i N_258_0 RW_000_c \ +N_217_i N_321_i N_322_i UDS_000_c N_320_i pos_clk_un7_clk_000_pe_n LDS_000_c \ +un5_ciin_i pos_clk_a0_dma_3_n size_c_0__n N_61_0 N_310_i size_c_1__n N_305_i \ +N_307_i N_3 N_303_i N_8 N_304_i N_283_0 N_301_i N_300_i N_123_0 N_17 N_278_i \ +N_19 N_297_i N_20 AMIGA_BUS_DATA_DIR_c_0 N_21 N_277_i N_22 N_25 N_26 \ +pos_clk_un8_sm_amiga_i_n N_27 A0_c_i N_28 size_c_i_1__n N_29 N_29_i N_32_0 \ +N_28_i N_31_0 N_27_i N_30_0 ipl_c_i_2__n N_53_0 ipl_c_i_1__n N_52_0 a_c_16__n \ +ipl_c_i_0__n N_51_0 a_c_17__n DTACK_c_i N_56_0 a_c_18__n VPA_c_i N_55_0 \ +a_c_19__n nEXP_SPACE_c_i N_54_0 a_c_20__n N_3_i N_49_0 a_c_21__n N_8_i N_45_0 \ +a_c_22__n N_17_i N_42_0 a_c_23__n N_19_i N_40_0 a_c_24__n N_20_i N_123 N_39_0 \ +cpu_est_2_1__n a_c_25__n N_21_i cpu_est_2_2__n N_38_0 N_209 a_c_26__n N_22_i \ +N_37_0 a_c_27__n N_25_i N_34_0 N_217 a_c_28__n N_26_i N_33_0 N_61 a_c_29__n \ +BG_030_c_i N_127 pos_clk_un6_bg_030_i_n a_c_30__n pos_clk_un8_bg_030_0_n N_80 \ +N_289_0_1 a_c_31__n un1_SM_AMIGA_5_i_1 N_90 un1_SM_AMIGA_5_i_2 N_96 A0_c \ +pos_clk_un8_sm_amiga_i_1_n N_99 N_351_1 N_119 A1_c N_351_2 N_124 N_168_i_1 \ +N_138 nEXP_SPACE_c N_192_0_1 N_144 N_192_0_2 N_158 BERR_c N_137_i_1 N_168 \ +N_137_i_2 N_175 BG_030_c N_145_i_1 N_182 N_145_i_2 N_185 N_145_i_3 N_187 \ +N_260_i_1 N_188 N_260_i_2 N_192 BGACK_000_c N_259_i_1 N_193 N_259_i_2 N_197 \ +CLK_030_c N_336_1 N_201 N_336_2 N_204 N_332_1 N_206 N_332_2 N_207 CLK_OSZI_c \ +N_332_3 N_317_1 N_211 N_317_2 N_212 N_317_3 N_290 N_304_1 N_216 N_304_2 N_219 \ +FPU_SENSE_c un5_ciin_1 N_220 un5_ciin_2 N_221 un5_ciin_3 N_227 un5_ciin_4 \ +N_229 un5_ciin_5 N_230 un5_ciin_6 N_236 un5_ciin_7 N_240 un5_ciin_8 N_241 \ +ipl_c_0__n un5_ciin_9 N_242 un5_ciin_10 N_246 ipl_c_1__n un5_ciin_11 N_254 \ +un22_berr_1_0 N_266 ipl_c_2__n un21_fpu_cs_1 N_267 N_375_1 N_275 N_375_2 N_277 \ +DTACK_c N_375_3 N_278 N_375_4 N_297 N_134_i_1 N_300 N_96_0_1 N_301 VPA_c \ +N_83_i_1 N_303 N_261_i_1 N_304 N_141_i_1 N_305 RST_c N_139_i_1 N_307 N_133_i_1 \ +N_310 N_123_0_1 N_312 RW_c N_282_i_1 N_313 N_343_1 N_316 fc_c_0__n N_339_1 \ +N_317 pos_clk_un6_bg_030_1_n N_320 fc_c_1__n N_326_1 N_321 N_325_1 N_322 \ +N_324_1 N_323 AMIGA_BUS_DATA_DIR_c N_316_1 N_324 N_313_1 N_325 N_303_1 N_326 \ +N_297_1 N_328 N_266_1 N_330 N_24_i N_240_1 N_331 N_35_0 pos_clk_ipl_1_n N_332 \ +N_18_i amiga_bus_enable_dma_low_0_un3_n N_336 N_41_0 \ +amiga_bus_enable_dma_low_0_un1_n N_338 N_10_i amiga_bus_enable_dma_low_0_un0_n \ +N_339 N_43_0 rw_000_int_0_un3_n N_340 N_7_i rw_000_int_0_un1_n N_341 N_46_0 \ +rw_000_int_0_un0_n N_342 N_5_i bgack_030_int_0_un3_n N_343 N_47_0 \ +bgack_030_int_0_un1_n N_344 N_4_i bgack_030_int_0_un0_n N_345 N_48_0 \ +as_030_000_sync_0_un3_n N_347 N_272_i as_030_000_sync_0_un1_n N_350 N_271_i \ +as_030_000_sync_0_un0_n N_351 N_279_0 ds_000_enable_0_un3_n N_353 N_280_0 \ +ds_000_enable_0_un1_n N_361 N_281_0 ds_000_enable_0_un0_n N_298_i \ +as_000_int_0_un3_n pos_clk_size_dma_6_0_0__n as_000_int_0_un1_n N_299_i \ +as_000_int_0_un0_n pos_clk_size_dma_6_0_1__n dsack1_int_0_un3_n un22_berr_1 \ +un1_as_000_i dsack1_int_0_un1_n N_375 N_358_i dsack1_int_0_un0_n N_218 \ +pos_clk_un6_bgack_000_0_n size_dma_0_1__un3_n N_156 N_284_i \ +size_dma_0_1__un1_n N_289 N_285_i size_dma_0_1__un0_n N_354 N_286_0 \ +size_dma_0_0__un3_n N_205 N_88_0 size_dma_0_0__un1_n un1_SM_AMIGA_5 \ +pos_clk_un3_as_030_d0_i_n size_dma_0_0__un0_n DS_000_ENABLE_1_sqmuxa N_156_i \ +sm_amiga_srsts_i_0_m2_1__un3_n N_349 N_349_i sm_amiga_srsts_i_0_m2_1__un1_n \ +pos_clk_un3_as_030_d0_n N_194_i sm_amiga_srsts_i_0_m2_1__un0_n N_286 \ +un1_SM_AMIGA_5_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n \ +pos_clk_un6_bgack_000_n UDS_000_c_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_358 LDS_000_c_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n pos_clk_size_dma_6_1__n N_205_i \ +sm_amiga_srsts_i_0_m2_5__un3_n N_299 N_206_0 sm_amiga_srsts_i_0_m2_5__un1_n \ +pos_clk_size_dma_6_0__n N_207_0 sm_amiga_srsts_i_0_m2_5__un0_n N_298 N_354_i \ +cpu_est_0_1__un3_n N_281 N_208_0 cpu_est_0_1__un1_n AS_030.OE AS_000.OE \ +RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ +DS_030.OE DSACK1.OE RESET.OE CIIN.OE G_134 G_135 G_136 \ +pos_clk_un24_bgack_030_int_i_i_a4_i_x2 pos_clk_CYCLE_DMA_5_0_i_x2 \ +cpu_est_0_0_x2_0_ pos_clk_CYCLE_DMA_5_1_i_x2 +.names N_141_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D 11 1 -.names N_282_0.BLIF SM_AMIGA_6_.D -0 1 -.names N_142_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D +.names N_139_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D 11 1 -.names N_140_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names N_138_i_1.BLIF N_138_i_2.BLIF SM_AMIGA_3_.D +.names N_137_i_1.BLIF N_137_i_2.BLIF SM_AMIGA_3_.D 11 1 .names N_283_0.BLIF SM_AMIGA_2_.D 0 1 -.names N_134_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D +.names N_133_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D 11 1 -.names N_176_i.BLIF N_378_i.BLIF SM_AMIGA_0_.D +.names N_208_0.BLIF N_277_i.BLIF SM_AMIGA_0_.D 11 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D -1- 1 --1 1 -.names N_31_0.BLIF IPL_030DFF_0_reg.D +.names N_30_0.BLIF IPL_030DFF_0_reg.D 0 1 -.names N_32_0.BLIF IPL_030DFF_1_reg.D +.names N_31_0.BLIF IPL_030DFF_1_reg.D 0 1 -.names N_33_0.BLIF IPL_030DFF_2_reg.D +.names N_32_0.BLIF IPL_030DFF_2_reg.D 0 1 -.names N_52_0.BLIF IPL_D0_0_.D +.names N_51_0.BLIF IPL_D0_0_.D 0 1 -.names N_53_0.BLIF IPL_D0_1_.D +.names N_52_0.BLIF IPL_D0_1_.D 0 1 -.names N_54_0.BLIF IPL_D0_2_.D +.names N_53_0.BLIF IPL_D0_2_.D 0 1 -.names N_268_i_1.BLIF N_69_0.BLIF CYCLE_DMA_0_.D +.names N_145_i_3.BLIF N_317_i.BLIF SM_AMIGA_i_7_.D 11 1 -.names N_267_i_1.BLIF N_69_0.BLIF CYCLE_DMA_1_.D +.names N_258_0.BLIF SM_AMIGA_6_.D +0 1 +.names N_282_i_1.BLIF N_210_0.BLIF CYCLE_DMA_0_.D +11 1 +.names N_134_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -478,1182 +466,1187 @@ pos_clk_CYCLE_DMA_5_1_i_0_x2 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 -1 1 -.names N_245_i_1.BLIF RST_c.BLIF RST_DLY_0_.D +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D +1- 1 +-1 1 +.names N_260_i_1.BLIF N_260_i_2.BLIF RST_DLY_1_.D 11 1 -.names N_244_i_1.BLIF N_244_i_2.BLIF RST_DLY_1_.D -11 1 -.names N_243_i_1.BLIF N_196_i.BLIF RST_DLY_2_.D +.names N_259_i_1.BLIF N_259_i_2.BLIF RST_DLY_2_.D 11 1 .names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D 11 1 +.names N_261_i_1.BLIF N_322_i.BLIF RST_DLY_0_.D +11 1 +.names N_45_0.BLIF inst_AS_000_DMA.D +0 1 +.names N_46_0.BLIF inst_AS_030_000_SYNC.D +0 1 +.names N_47_0.BLIF inst_AS_000_INT.D +0 1 +.names N_48_0.BLIF inst_DSACK1_INTreg.D +0 1 +.names N_49_0.BLIF inst_DS_000_DMA.D +0 1 +.names N_88_0.BLIF inst_AS_030_D0.D +0 1 +.names N_54_0.BLIF inst_nEXP_SPACE_D0reg.D +0 1 +.names N_55_0.BLIF inst_VPA_D.D +0 1 +.names N_56_0.BLIF inst_DTACK_D0.D +0 1 +.names N_83_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D +11 1 +.names N_320_i.BLIF RST_c.BLIF inst_RESET_OUT.D +11 1 .names N_6.BLIF RST_c.BLIF inst_DS_000_ENABLE.D 11 1 -.names N_41_0.BLIF inst_RW_000_DMA.D +.names N_33_0.BLIF BG_000DFFreg.D 0 1 -.names N_42_0.BLIF inst_RW_000_INT.D +.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D 0 1 -.names N_43_0.BLIF inst_LDS_000_INT.D +.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D 0 1 -.names N_44_0.BLIF inst_BGACK_030_INTreg.D +.names N_37_0.BLIF inst_VMA_INTreg.D 0 1 -.names N_46_0.BLIF inst_AS_000_DMA.D +.names N_38_0.BLIF inst_UDS_000_INT.D 0 1 -.names N_47_0.BLIF inst_AS_030_000_SYNC.D +.names N_39_0.BLIF inst_A0_DMA.D 0 1 -.names N_48_0.BLIF inst_AS_000_INT.D +.names N_40_0.BLIF inst_RW_000_DMA.D 0 1 -.names N_49_0.BLIF inst_DSACK1_INTreg.D +.names N_41_0.BLIF inst_RW_000_INT.D 0 1 -.names N_50_0.BLIF inst_DS_000_DMA.D +.names N_42_0.BLIF inst_LDS_000_INT.D 0 1 -.names N_358_0.BLIF inst_AS_030_D0.D +.names N_43_0.BLIF inst_BGACK_030_INTreg.D 0 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D -0 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names N_353_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D -11 1 -.names N_235_i.BLIF RST_c.BLIF inst_RESET_OUT.D -11 1 -.names N_34_0.BLIF BG_000DFFreg.D -0 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D -0 1 -.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names N_38_0.BLIF inst_VMA_INTreg.D -0 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_40_0.BLIF inst_A0_DMA.D -0 1 -.names N_69_0.BLIF inst_BGACK_030_INT_D.D +.names N_210_0.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_184_0.BLIF N_184 +.names N_280_0.BLIF N_280 0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_184_0 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_210_0 11 1 -.names inst_RW_000_DMA.BLIF N_327.BLIF rw_000_dma_0_un1_n +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n 11 1 -.names N_180_0.BLIF N_180 +.names N_279_0.BLIF N_279 0 1 -.names AS_000_DMA_i.BLIF CLK_030_i.BLIF N_185_0 +.names N_289_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_289_0 11 1 -.names N_352.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_179_0.BLIF N_179 -0 1 -.names UDS_000_c.BLIF UDS_000_c_i -0 1 -.names N_327.BLIF a0_dma_0_un3_n -0 1 -.names LDS_000_c.BLIF LDS_000_c_i -0 1 -.names inst_A0_DMA.BLIF N_327.BLIF a0_dma_0_un1_n -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_312 -11 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_173_i -11 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names N_270_0.BLIF N_270 -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_358_0 -11 1 -.names N_327.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names N_357_0.BLIF N_357 -0 1 -.names N_239.BLIF N_239_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_327.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names N_354_0.BLIF N_354 -0 1 -.names N_239_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names N_113_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n -11 1 -.names vcc_n_n - 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_227 -11 1 -.names N_238.BLIF N_238_i -0 1 -.names N_327.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names un5_e_0.BLIF un5_e -0 1 -.names N_184.BLIF sm_amiga_i_0__n.BLIF N_378 -11 1 -.names N_238_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_327.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 -1- 1 --1 1 -.names N_237.BLIF N_237_i -0 1 -.names N_114_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n -11 1 -.names gnd_n_n -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 -1- 1 --1 1 -.names N_236.BLIF N_236_i -0 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n 0 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low +.names N_289.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_271 11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names N_236_i.BLIF N_237_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +.names N_156_i.BLIF SM_AMIGA_4_.BLIF N_218_0 11 1 .names cpu_est_2_2__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n 11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +.names N_375.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_272 11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 -1- 1 --1 1 -.names N_331.BLIF N_331_i -0 1 .names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 -1- 1 --1 1 -.names BGACK_000_c.BLIF N_331_i.BLIF pos_clk_un6_bgack_000_0_n +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_276 11 1 +.names N_242.BLIF N_242_i +0 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n 0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un4_uds_000 -11 1 -.names N_190.BLIF N_190_i -0 1 -.names CLK_030_i.BLIF N_161_i.BLIF N_356_0 -11 1 -.names cpu_est_2_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un4_lds_000 -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_352_0 -11 1 -.names cpu_est_3_.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names N_8.BLIF N_8_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names inst_CLK_OUT_EXP_INT.BLIF CLK_OUT_EXP_INT_i -0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names un1_SM_AMIGA_5_i.BLIF un1_SM_AMIGA_5 -0 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names N_10.BLIF N_10_i -0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names N_124.BLIF rw_000_int_0_un3_n -0 1 -.names un22_berr_1_0.BLIF FPU_SENSE_c.BLIF un22_berr -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names N_19.BLIF N_19_i -0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_124.BLIF rw_000_int_0_un1_n -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_19_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_20.BLIF N_20_i -0 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n -0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_20_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names A0_c.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names N_24.BLIF N_24_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_24_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_25.BLIF N_25_i -0 1 -.names cpu_est_i_1__n.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names N_25_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names N_198.BLIF N_198_i -0 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names N_198_i.BLIF RST_c.BLIF N_243_2_i -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names N_410.BLIF N_410_i_0 -0 1 -.names N_196.BLIF N_196_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names N_195.BLIF N_195_i -0 1 -.names cpu_est_2_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names N_201.BLIF N_201_i -0 1 -.names N_269.BLIF dsack1_int_0_un3_n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names N_200.BLIF N_200_i -0 1 -.names N_98_i.BLIF N_269.BLIF dsack1_int_0_un1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names N_199.BLIF N_199_i -0 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i -0 1 -.names inst_CLK_000_NE.BLIF N_158_i.BLIF N_182_0 -11 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names A1_c.BLIF A1_i -0 1 -.names N_148_i.BLIF RST_DLY_2_.BLIF N_158_i -11 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ -ds_000_enable_0_un1_n -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_148_i -11 1 -.names un1_SM_AMIGA_5_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names N_307.BLIF N_307_i -0 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n -0 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names N_307_i.BLIF RST_c.BLIF N_143_0 -11 1 -.names pos_clk_un8_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_158.BLIF RST_c.BLIF N_217_i -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names N_114.BLIF N_114_i -0 1 -.names N_235.BLIF N_235_i -0 1 -.names N_113.BLIF N_113_i -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_210.BLIF N_210_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names N_207.BLIF N_207_i -0 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n -0 1 -.names N_208.BLIF N_208_i -0 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n -0 1 -.names N_206.BLIF N_206_i -0 1 -.names inst_RESET_OUT.BLIF RESET_OUT_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_313.BLIF N_313_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names N_211.BLIF N_211_i -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names N_212.BLIF N_212_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_4_.BLIF N_183_0 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_181_0 -11 1 -.names cpu_est_3_.BLIF cpu_est_i_3__n -0 1 -.names N_145_i.BLIF SM_AMIGA_4_.BLIF N_178_0 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_69_0 -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_329.BLIF N_329_i -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names N_329_i.BLIF RST_c.BLIF N_176_i -11 1 -.names CLK_030_c.BLIF CLK_030_i -0 1 -.names N_145.BLIF sm_amiga_i_3__n.BLIF N_175_0 -11 1 -.names pos_clk_ipl_1_n.BLIF N_214_i.BLIF pos_clk_ipl_n -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_145.BLIF SM_AMIGA_i_7_.BLIF N_174_0 -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_164_i.BLIF sm_amiga_i_6__n.BLIF N_171_0 -11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names un1_SM_AMIGA_5_i_1.BLIF un1_SM_AMIGA_5_i_2.BLIF un1_SM_AMIGA_5_i -11 1 -.names RW_c.BLIF RW_i -0 1 -.names N_324.BLIF N_324_i -0 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names N_326.BLIF N_326_i -0 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_324_i.BLIF N_326_i.BLIF N_168_i -11 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_165_i -11 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_164_i -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_162_i -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names G_134.BLIF N_213_i -0 1 -.names N_321.BLIF N_321_i -0 1 -.names G_135.BLIF N_214_i -0 1 -.names clk_000_n_sync_i_10__n.BLIF N_321_i.BLIF N_159_0 -11 1 -.names G_136.BLIF N_215_i -0 1 -.names N_318.BLIF N_318_i -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_156_i -11 1 -.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_155_i -11 1 -.names N_98.BLIF N_98_i -0 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_154_i -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names CLK_030_c.BLIF CLK_OUT_PRE_D_i.BLIF N_152_0 -11 1 -.names un4_lds_000.BLIF un4_lds_000_i -0 1 -.names N_150_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_150_i -11 1 -.names un4_uds_000.BLIF un4_uds_000_i -0 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_147_i -11 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_145_i -11 1 -.names N_281.BLIF N_281_i -0 1 -.names N_302.BLIF N_302_i -0 1 -.names N_279.BLIF N_279_i -0 1 -.names N_280.BLIF N_280_i -0 1 -.names N_279_i.BLIF N_280_i.BLIF un5_e_0 -11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names N_278.BLIF N_278_i -0 1 -.names N_154.BLIF N_278_i.BLIF cpu_est_2_0_3__n -11 1 -.names N_277.BLIF N_277_i -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa -11 1 -.names N_348.BLIF N_348_i -0 1 -.names N_277_i.BLIF N_348_i.BLIF cpu_est_2_0_2__n -11 1 -.names N_128_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_128_i -11 1 -.names N_193.BLIF N_193_i -0 1 -.names N_241.BLIF N_241_i -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 .names pos_clk_un3_as_030_d0_i_n.BLIF un1_SM_AMIGA_5.BLIF \ DS_000_ENABLE_1_sqmuxa_1 11 1 -.names N_240.BLIF N_240_i +.names N_246.BLIF N_246_i 0 1 +.names N_119.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +11 1 .names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_4 1- 1 -1 1 -.names N_124_0_1.BLIF SM_AMIGA_i_7_.BLIF N_124_0 +.names N_240.BLIF N_240_i +0 1 +.names cpu_est_3_.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names vcc_n_n + 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 +1- 1 +-1 1 +.names N_241.BLIF N_241_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 +1- 1 +-1 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names gnd_n_n +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 +1- 1 +-1 1 +.names N_266.BLIF N_266_i +0 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ +un1_amiga_bus_enable_low +11 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 +1- 1 +-1 1 +.names N_267.BLIF N_267_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +11 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 +1- 1 +-1 1 +.names N_254.BLIF N_254_i +0 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size 11 1 .names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_6 1- 1 -1 1 -.names N_98_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_269_0 +.names N_317.BLIF N_317_i +0 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un4_uds_000 +11 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un4_lds_000 +11 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names N_313.BLIF N_313_i +0 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names N_316.BLIF N_316_i +0 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names N_312.BLIF N_312_i +0 1 +.names N_193.BLIF a0_dma_0_un3_n +0 1 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names pos_clk_a0_dma_3_n.BLIF N_193.BLIF a0_dma_0_un1_n +11 1 +.names un22_berr_1_0.BLIF N_375.BLIF un22_berr +11 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_347.BLIF N_347_i +0 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names N_236.BLIF N_236_i +0 1 +.names N_323.BLIF N_323_i +0 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +0 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names N_324.BLIF N_324_i +0 1 +.names A0_c.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +11 1 +.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF N_222_i +11 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names N_276.BLIF N_276_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n +0 1 +.names RST_c.BLIF RST_i +0 1 +.names AS_000_DMA_i.BLIF CLK_030_i.BLIF N_221_0 +11 1 +.names cpu_est_i_1__n.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names inst_CLK_000_PE.BLIF SM_AMIGA_4_.BLIF N_220_0 +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_219_0 +11 1 +.names N_193.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names SIZE_DMA_1_.BLIF size_dma_i_1__n +0 1 +.names inst_CLK_000_NE.BLIF N_187_i.BLIF N_216_0 +11 1 +.names N_275_i.BLIF N_193.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_290_0 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names RW_c.BLIF RW_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_212_0 +11 1 +.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names inst_CLK_000_PE.BLIF CLK_000_PE_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_211_0 +11 1 +.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names N_196_i.BLIF sm_amiga_i_6__n.BLIF N_204_0 +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names inst_RESET_OUT.BLIF RESET_OUT_i +0 1 +.names N_351.BLIF N_351_i +0 1 +.names N_96.BLIF ds_000_dma_0_un3_n +0 1 +.names BERR_c.BLIF BERR_i +0 1 +.names N_353.BLIF N_353_i +0 1 +.names N_90.BLIF N_96.BLIF ds_000_dma_0_un1_n +11 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names N_351_i.BLIF N_353_i.BLIF N_201_i +11 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names N_99.BLIF as_000_dma_0_un3_n +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_197_i +11 1 +.names N_192.BLIF N_99.BLIF as_000_dma_0_un1_n +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_196_i +11 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_193_i +11 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names N_192_0_1.BLIF N_192_0_2.BLIF N_192_0 +11 1 +.names pos_clk_un8_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +0 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_350.BLIF N_350_i +0 1 +.names N_193.BLIF rw_000_dma_0_un3_n +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names clk_000_n_sync_i_10__n.BLIF N_350_i.BLIF N_188_0 +11 1 +.names N_80.BLIF N_193.BLIF rw_000_dma_0_un1_n +11 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names N_158_i.BLIF RST_DLY_2_.BLIF N_187_i +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_185_i +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names N_181_i.BLIF cpu_est_i_0__n.BLIF N_182_i +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names cpu_est_3_.BLIF cpu_est_i_2__n.BLIF N_181_i +11 1 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names CLK_030_c.BLIF CLK_OUT_PRE_D_i.BLIF N_175_0 +11 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names N_168_i_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_168_i +11 1 +.names CLK_030_c.BLIF CLK_030_i +0 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_158_i +11 1 +.names RST_DLY_1_.BLIF rst_dly_i_1__n +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_148_i +11 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names N_345.BLIF N_345_i +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n +11 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names N_344.BLIF N_344_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names N_344_i.BLIF RST_c.BLIF N_144_0 +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF N_138_0 +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names N_342.BLIF N_342_i +0 1 +.names pos_clk_ipl_1_n.BLIF N_214_i.BLIF pos_clk_ipl_n +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names N_343.BLIF N_343_i +0 1 +.names A1_c.BLIF A1_i +0 1 +.names N_342_i.BLIF N_343_i.BLIF N_124_0 +11 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names N_341.BLIF N_341_i +0 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names N_182.BLIF N_341_i.BLIF N_119_0 +11 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names N_340.BLIF N_340_i +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names N_361.BLIF N_361_i +0 1 +.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names N_340_i.BLIF N_361_i.BLIF cpu_est_2_0_2__n +11 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names N_338.BLIF N_338_i +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_339.BLIF N_339_i +0 1 +.names G_134.BLIF N_213_i +0 1 +.names N_338_i.BLIF N_339_i.BLIF cpu_est_2_0_1__n +11 1 +.names G_135.BLIF N_214_i +0 1 +.names N_332.BLIF N_332_i +0 1 +.names G_136.BLIF N_215_i +0 1 +.names N_336.BLIF N_336_i +0 1 +.names N_332_i.BLIF N_336_i.BLIF pos_clk_un7_clk_000_pe_0_n +11 1 +.names N_275.BLIF N_275_i +0 1 +.names CLK_030_i.BLIF N_192_0.BLIF N_99_0 +11 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 +.names N_331.BLIF N_331_i +0 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names N_96_0_1.BLIF RW_000_i.BLIF N_96_0 +11 1 +.names un4_as_000.BLIF un4_as_000_i +0 1 +.names N_330.BLIF N_330_i +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_192_0.BLIF N_330_i.BLIF N_90_0 +11 1 +.names un4_lds_000.BLIF un4_lds_000_i +0 1 +.names N_328.BLIF N_328_i +0 1 +.names un4_uds_000.BLIF un4_uds_000_i +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_80_0 +11 1 +.names N_325.BLIF N_325_i +0 1 +.names N_326.BLIF N_326_i +0 1 +.names N_325_i.BLIF N_326_i.BLIF N_258_0 +11 1 +.names N_187.BLIF RST_c.BLIF N_217_i +11 1 +.names N_321.BLIF N_321_i +0 1 +.names N_322.BLIF N_322_i +0 1 +.names N_320.BLIF N_320_i +0 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 .names un5_ciin.BLIF un5_ciin_i 0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 .names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF N_61_0 11 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +.names N_310.BLIF N_310_i +0 1 +.names N_305.BLIF N_305_i +0 1 +.names N_307.BLIF N_307_i +0 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names N_303.BLIF N_303_i +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 +1- 1 +-1 1 +.names N_304.BLIF N_304_i +0 1 +.names N_303_i.BLIF N_304_i.BLIF N_283_0 +11 1 +.names N_301.BLIF N_301_i +0 1 +.names N_300.BLIF N_300_i +0 1 +.names N_123_0_1.BLIF SM_AMIGA_i_7_.BLIF N_123_0 11 1 .names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_17 1- 1 -1 1 -.names N_228.BLIF N_228_i +.names N_278.BLIF N_278_i 0 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 1- 1 -1 1 -.names N_355_0_1.BLIF RW_000_i.BLIF N_355_0 +.names N_297.BLIF N_297_i +0 1 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names N_278_i.BLIF N_297_i.BLIF AMIGA_BUS_DATA_DIR_c_0 11 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 1- 1 -1 1 -.names N_226.BLIF N_226_i +.names N_277.BLIF N_277_i 0 1 .names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_22 1- 1 -1 1 +.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 +1- 1 +-1 1 .names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 1- 1 -1 1 -.names N_224.BLIF N_224_i -0 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 -1- 1 --1 1 -.names N_225.BLIF N_225_i -0 1 -.names N_224_i.BLIF N_225_i.BLIF N_282_0 -11 1 -.names N_221.BLIF N_221_i -0 1 -.names N_222.BLIF N_222_i -0 1 -.names N_219.BLIF N_219_i -0 1 -.names N_220.BLIF N_220_i -0 1 -.names N_219_i.BLIF N_220_i.BLIF N_283_0 -11 1 -.names N_216.BLIF N_216_i -0 1 -.names N_218.BLIF N_218_i -0 1 -.names N_216_i.BLIF N_218_i.BLIF cpu_est_2_0_1__n -11 1 -.names N_373.BLIF N_373_i -0 1 -.names N_375.BLIF N_375_i -0 1 -.names N_373_i.BLIF N_375_i.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names N_188.BLIF N_188_i -0 1 -.names N_205.BLIF N_205_i -0 1 .names pos_clk_un8_sm_amiga_i_1_n.BLIF size_c_0__n.BLIF \ pos_clk_un8_sm_amiga_i_n 11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 +1- 1 +-1 1 .names A0_c.BLIF A0_c_i 0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 +1- 1 +-1 1 .names size_c_1__n.BLIF size_c_i_1__n 0 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 +1- 1 +-1 1 +.names N_29.BLIF N_29_i +0 1 +.names N_29_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names N_28.BLIF N_28_i +0 1 +.names N_28_i.BLIF RST_c.BLIF N_31_0 +11 1 .names N_27.BLIF N_27_i 0 1 -.names N_27_i.BLIF RST_c.BLIF N_31_0 +.names N_27_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_52_0 11 1 .names ipl_c_0__n.BLIF ipl_c_i_0__n 0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_51_0 11 1 -.names N_4.BLIF N_4_i +.names DTACK_c.BLIF DTACK_c_i 0 1 -.names N_4_i.BLIF RST_c.BLIF N_49_0 +.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 +11 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_55_0 +11 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i +0 1 +.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_54_0 +11 1 +.names N_3.BLIF N_3_i +0 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names N_8.BLIF N_8_i +0 1 +.names N_8_i.BLIF RST_c.BLIF N_45_0 11 1 .names N_17.BLIF N_17_i 0 1 -.names N_17_i.BLIF RST_c.BLIF N_43_0 +.names N_17_i.BLIF RST_c.BLIF N_42_0 11 1 -.names N_124_0.BLIF N_124 +.names N_19.BLIF N_19_i 0 1 -.names N_18.BLIF N_18_i +.names N_19_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names N_20.BLIF N_20_i 0 1 +.names N_123_0.BLIF N_123 +0 1 +.names N_20_i.BLIF RST_c.BLIF N_39_0 +11 1 .names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n 0 1 -.names N_18_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 .names N_21.BLIF N_21_i 0 1 -.names cpu_est_2_0_3__n.BLIF cpu_est_2_3__n +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n 0 1 -.names N_21_i.BLIF RST_c.BLIF N_39_0 +.names N_21_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_209 11 1 .names N_22.BLIF N_22_i 0 1 -.names N_22_i.BLIF RST_c.BLIF N_38_0 +.names N_22_i.BLIF RST_c.BLIF N_37_0 11 1 +.names N_25.BLIF N_25_i +0 1 +.names N_25_i.BLIF RST_c.BLIF N_34_0 +11 1 +.names N_217_i.BLIF N_217 +0 1 .names N_26.BLIF N_26_i 0 1 -.names N_269_0.BLIF N_269 -0 1 -.names N_26_i.BLIF RST_c.BLIF N_34_0 +.names N_26_i.BLIF RST_c.BLIF N_33_0 11 1 .names N_61_0.BLIF N_61 0 1 .names BG_030_c.BLIF BG_030_c_i 0 1 -.names BG_030_c_i.BLIF N_128.BLIF pos_clk_un8_bg_030_0_n +.names CLK_000_NE_i.BLIF RST_c.BLIF N_127 11 1 -.names N_159.BLIF SM_AMIGA_1_.BLIF N_98 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n +0 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n 11 1 -.names AS_000_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2.BLIF N_161_i_1 +.names N_80_0.BLIF N_80 +0 1 +.names N_193_i.BLIF sm_amiga_i_i_7__n.BLIF N_289_0_1 11 1 -.names BGACK_030_INT_i.BLIF N_312_i.BLIF N_161_i_2 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_349_i.BLIF un1_SM_AMIGA_5_i_1 11 1 +.names N_90_0.BLIF N_90 +0 1 +.names N_194_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_5_i_2 +11 1 +.names N_96_0.BLIF N_96 +0 1 .names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un8_sm_amiga_i_1_n 11 1 -.names N_355_0.BLIF N_355 +.names N_99_0.BLIF N_99 0 1 -.names N_154_i.BLIF N_155_i.BLIF N_324_1 +.names N_182_i.BLIF VMA_INT_i.BLIF N_351_1 11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_324_2 -11 1 -.names N_128_i.BLIF N_128 +.names N_119_0.BLIF N_119 0 1 -.names AS_030_000_SYNC_i.BLIF N_147_i.BLIF N_150_i_1 +.names VPA_D_i.BLIF cpu_est_i_1__n.BLIF N_351_2 11 1 -.names N_137_0.BLIF N_137 +.names N_124_0.BLIF N_124 0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_162_i.BLIF un1_SM_AMIGA_5_i_1 +.names AS_030_000_SYNC_i.BLIF N_148_i.BLIF N_168_i_1 11 1 -.names N_145_i.BLIF N_145 +.names N_138_0.BLIF N_138 0 1 -.names N_318_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_5_i_2 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_192_0_1 11 1 -.names N_148_i.BLIF N_148 +.names N_144_0.BLIF N_144 0 1 -.names N_211_i.BLIF N_212_i.BLIF N_138_i_1 +.names pos_clk_un24_bgack_030_int_i_i_a4_i_x2.BLIF N_345_i.BLIF N_192_0_2 11 1 -.names N_150_i.BLIF N_150 +.names N_158_i.BLIF N_158 0 1 -.names N_313_i.BLIF RST_c.BLIF N_138_i_2 -11 1 -.names N_152_0.BLIF N_152 -0 1 -.names N_176_i.BLIF N_206_i.BLIF N_146_i_1 -11 1 -.names N_154_i.BLIF N_154 -0 1 -.names N_207_i.BLIF N_208_i.BLIF N_146_i_2 -11 1 -.names N_156_i.BLIF N_156 -0 1 -.names N_146_i_1.BLIF N_146_i_2.BLIF N_146_i_3 -11 1 -.names N_159_0.BLIF N_159 -0 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_220_1 -11 1 -.names N_161_i.BLIF N_161 -0 1 -.names RST_c.BLIF SM_AMIGA_3_.BLIF N_220_2 -11 1 -.names N_165_i.BLIF N_165 -0 1 -.names inst_CLK_000_NE.BLIF N_348.BLIF N_375_1 +.names N_323_i.BLIF N_324_i.BLIF N_137_i_1 11 1 .names N_168_i.BLIF N_168 0 1 -.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_375_2 -11 1 -.names N_171_0.BLIF N_171 -0 1 -.names inst_CLK_000_PE.BLIF N_155_i.BLIF N_373_1 -11 1 -.names N_174_0.BLIF N_174 -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_373_2 +.names N_347_i.BLIF RST_c.BLIF N_137_i_2 11 1 .names N_175_0.BLIF N_175 0 1 -.names N_150.BLIF N_162_i.BLIF N_210_1 +.names N_208_0.BLIF N_312_i.BLIF N_145_i_1 11 1 -.names N_178_0.BLIF N_178 +.names N_182_i.BLIF N_182 0 1 -.names N_164_i.BLIF N_165_i.BLIF N_210_2 +.names N_313_i.BLIF N_316_i.BLIF N_145_i_2 11 1 -.names N_181_0.BLIF N_181 +.names N_185_i.BLIF N_185 0 1 -.names N_210_1.BLIF N_210_2.BLIF N_210_3 +.names N_145_i_1.BLIF N_145_i_2.BLIF N_145_i_3 11 1 -.names N_183_0.BLIF N_183 +.names N_187_i.BLIF N_187 +0 1 +.names N_242_i.BLIF N_254_i.BLIF N_260_i_1 +11 1 +.names N_188_0.BLIF N_188 +0 1 +.names N_266_i.BLIF N_267_i.BLIF N_260_i_2 +11 1 +.names N_192_0.BLIF N_192 +0 1 +.names N_240_i.BLIF N_241_i.BLIF N_259_i_1 +11 1 +.names N_193_i.BLIF N_193 +0 1 +.names N_242_i.BLIF N_246_i.BLIF N_259_i_2 +11 1 +.names N_197_i.BLIF N_197 +0 1 +.names inst_CLK_000_NE.BLIF N_361.BLIF N_336_1 +11 1 +.names N_201_i.BLIF N_201 +0 1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_336_2 +11 1 +.names N_204_0.BLIF N_204 +0 1 +.names inst_CLK_000_PE.BLIF cpu_est_i_0__n.BLIF N_332_1 +11 1 +.names N_206_0.BLIF N_206 +0 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_332_2 +11 1 +.names N_207_0.BLIF N_207 +0 1 +.names N_332_1.BLIF N_332_2.BLIF N_332_3 +11 1 +.names N_168.BLIF N_194_i.BLIF N_317_1 +11 1 +.names N_211_0.BLIF N_211 +0 1 +.names N_196_i.BLIF N_197_i.BLIF N_317_2 +11 1 +.names N_212_0.BLIF N_212 +0 1 +.names N_317_1.BLIF N_317_2.BLIF N_317_3 +11 1 +.names N_290_0.BLIF N_290 +0 1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_304_1 +11 1 +.names N_216_0.BLIF N_216 +0 1 +.names RST_c.BLIF SM_AMIGA_3_.BLIF N_304_2 +11 1 +.names N_219_0.BLIF N_219 0 1 .names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 11 1 -.names sm_amiga_srsts_i_0_0_m3_5__un1_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un0_n.BLIF N_188 -1- 1 --1 1 +.names N_220_0.BLIF N_220 +0 1 .names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 11 1 -.names un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n.BLIF N_190 -1- 1 --1 1 +.names N_221_0.BLIF N_221 +0 1 .names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 11 1 -.names sm_amiga_srsts_i_0_0_m3_1__un1_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_1__un0_n.BLIF N_193 +.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_227 1- 1 -1 1 .names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 11 1 -.names N_195_1.BLIF rst_dly_i_2__n.BLIF N_195 -11 1 +.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ +N_229 +1- 1 +-1 1 .names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 11 1 -.names N_200_1.BLIF rst_dly_i_1__n.BLIF N_200 -11 1 +.names sm_amiga_srsts_i_0_m2_5__un1_n.BLIF sm_amiga_srsts_i_0_m2_5__un0_n.BLIF \ +N_230 +1- 1 +-1 1 .names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 11 1 -.names N_180.BLIF sm_amiga_i_6__n.BLIF N_205 +.names N_188.BLIF SM_AMIGA_1_.BLIF N_236 11 1 .names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 11 1 -.names N_181.BLIF N_313.BLIF N_206 +.names N_240_1.BLIF rst_dly_i_2__n.BLIF N_240 11 1 .names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 11 1 -.names N_207_1.BLIF CLK_000_PE_i.BLIF N_207 +.names N_144.BLIF N_187_i.BLIF N_241 11 1 .names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 11 1 -.names N_208_1.BLIF CLK_000_NE_i.BLIF N_208 +.names N_217.BLIF RST_i.BLIF N_242 11 1 .names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 11 1 -.names N_210_3.BLIF sm_amiga_i_3__n.BLIF N_210 +.names N_127.BLIF rst_dly_i_2__n.BLIF N_246 11 1 .names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 11 1 -.names N_183.BLIF sm_amiga_i_3__n.BLIF N_211 +.names N_144.BLIF N_158_i.BLIF N_254 11 1 -.names CLK_000_NE_i.BLIF rst_dly_i_0__n.BLIF N_302_1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 11 1 -.names N_212_1.BLIF sm_amiga_i_4__n.BLIF N_212 +.names N_266_1.BLIF rst_dly_i_1__n.BLIF N_266 11 1 -.names N_199_i.BLIF N_200_i.BLIF N_244_i_1 +.names FPU_SENSE_i.BLIF N_375.BLIF un21_fpu_cs_1 11 1 -.names N_373_1.BLIF N_373_2.BLIF N_373 +.names N_127.BLIF rst_dly_i_1__n.BLIF N_267 11 1 -.names N_201_i.BLIF RST_c.BLIF N_244_i_2 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_375_1 11 1 -.names N_375_1.BLIF N_375_2.BLIF N_375 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_275 11 1 -.names N_243_2_i.BLIF N_195_i.BLIF N_243_i_1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_375_2 11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_216 +.names N_219.BLIF sm_amiga_i_0__n.BLIF N_277 11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_410_1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_375_3 11 1 -.names N_218_1.BLIF cpu_est_i_3__n.BLIF N_218 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_278 11 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_410_2 +.names N_375_1.BLIF N_375_2.BLIF N_375_4 11 1 -.names N_219_1.BLIF SM_AMIGA_2_.BLIF N_219 +.names N_297_1.BLIF RW_000_c.BLIF N_297 11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_410_3 +.names AS_000_i.BLIF N_210_0.BLIF N_134_i_1 11 1 -.names N_220_1.BLIF N_220_2.BLIF N_220 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_300 11 1 -.names N_410_1.BLIF N_410_2.BLIF N_410_4 +.names N_192_0.BLIF N_331_i.BLIF N_96_0_1 11 1 -.names N_178.BLIF sm_amiga_i_5__n.BLIF N_221 +.names N_212.BLIF sm_amiga_i_2__n.BLIF N_301 11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_237_1 +.names N_192_0.BLIF N_328_i.BLIF N_83_i_1 11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_222 +.names N_303_1.BLIF SM_AMIGA_2_.BLIF N_303 11 1 -.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_237_2 +.names N_242_i.BLIF N_321_i.BLIF N_261_i_1 11 1 -.names N_224_1.BLIF SM_AMIGA_6_.BLIF N_224 +.names N_304_1.BLIF N_304_2.BLIF N_304 11 1 -.names AS_030_i.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 +.names N_230.BLIF N_310_i.BLIF N_141_i_1 11 1 -.names N_225_1.BLIF sm_amiga_i_i_7__n.BLIF N_225 +.names N_218.BLIF sm_amiga_i_5__n.BLIF N_305 11 1 -.names un22_berr_1.BLIF AS_030_i.BLIF un22_berr_1_0 +.names N_305_i.BLIF N_307_i.BLIF N_139_i_1 11 1 -.names CLK_030_H_i.BLIF N_185.BLIF N_226 +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_307 11 1 -.names N_327.BLIF N_410_i_0.BLIF N_233_1 +.names N_229.BLIF N_301_i.BLIF N_133_i_1 11 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_228 +.names N_211.BLIF sm_amiga_i_6__n.BLIF N_310 11 1 -.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_233_2 +.names N_300_i.BLIF sm_amiga_i_5__n.BLIF N_123_0_1 11 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_230 +.names N_290.BLIF N_347.BLIF N_312 11 1 -.names N_281_i.BLIF N_302_i.BLIF N_245_i_1 +.names pos_clk_CYCLE_DMA_5_0_i_x2.BLIF AS_000_i.BLIF N_282_i_1 11 1 -.names N_332.BLIF nEXP_SPACE_D0_i.BLIF N_231 +.names N_313_1.BLIF CLK_000_PE_i.BLIF N_313 11 1 -.names inst_AS_030_D0.BLIF inst_CLK_000_D0.BLIF N_128_i_1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_343_1 11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_240 +.names N_316_1.BLIF CLK_000_NE_i.BLIF N_316 11 1 -.names N_193_i.BLIF N_241_i.BLIF N_134_i_1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_339_1 11 1 -.names N_179.BLIF sm_amiga_i_2__n.BLIF N_241 +.names N_317_3.BLIF sm_amiga_i_3__n.BLIF N_317 11 1 -.names N_240_i.BLIF sm_amiga_i_5__n.BLIF N_124_0_1 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names N_156.BLIF cpu_est_2_.BLIF N_277 +.names N_216.BLIF RESET_OUT_i.BLIF N_320 11 1 -.names pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF AS_000_i.BLIF N_267_i_1 +.names N_168_i.BLIF RST_c.BLIF N_326_1 11 1 -.names N_156_i.BLIF cpu_est_2_.BLIF N_278 +.names N_144.BLIF RST_DLY_0_.BLIF N_321 11 1 -.names pos_clk_CYCLE_DMA_5_0_i_0_x2.BLIF AS_000_i.BLIF N_268_i_1 +.names N_206.BLIF RST_c.BLIF N_325_1 11 1 -.names N_155_i.BLIF cpu_est_3_.BLIF N_279 +.names N_127.BLIF rst_dly_i_0__n.BLIF N_322 11 1 -.names N_161_i.BLIF N_228_i.BLIF N_355_0_1 +.names inst_CLK_000_NE_D0.BLIF N_201.BLIF N_324_1 11 1 -.names N_280_1.BLIF cpu_est_i_3__n.BLIF N_280 -11 1 -.names N_161_i.BLIF N_226_i.BLIF N_353_i_1 -11 1 -.names N_143.BLIF RST_DLY_0_.BLIF N_281 -11 1 -.names N_221_i.BLIF N_222_i.BLIF N_140_i_1 -11 1 -.names N_302_1.BLIF RST_c.BLIF N_302 -11 1 -.names N_188_i.BLIF N_205_i.BLIF N_142_i_1 -11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_313 -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_280_1 -11 1 -.names CLK_000_PE_i.BLIF SM_AMIGA_4_.BLIF N_318 -11 1 -.names N_150_i.BLIF RST_c.BLIF N_225_1 -11 1 -.names CLK_000_N_SYNC_9_.BLIF N_152.BLIF N_321 -11 1 -.names N_174.BLIF RST_c.BLIF N_224_1 -11 1 -.names N_324_1.BLIF N_324_2.BLIF N_324 -11 1 -.names N_175.BLIF RST_c.BLIF N_219_1 -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_326 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_218_1 -11 1 -.names N_145.BLIF SM_AMIGA_0_.BLIF N_329 -11 1 -.names inst_CLK_000_NE_D0.BLIF N_168.BLIF N_212_1 -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_332 -11 1 -.names N_165.BLIF BERR_i.BLIF N_208_1 -11 1 -.names N_156_i.BLIF cpu_est_i_2__n.BLIF N_348 -11 1 -.names N_171.BLIF BERR_i.BLIF N_207_1 +.names N_220.BLIF sm_amiga_i_3__n.BLIF N_323 11 1 .names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names N_217_i.BLIF rst_dly_i_0__n.BLIF N_200_1 +.names N_197.BLIF BERR_i.BLIF N_316_1 11 1 -.names N_148.BLIF N_217_i.BLIF N_195_1 +.names N_324_1.BLIF sm_amiga_i_4__n.BLIF N_324 +11 1 +.names N_204.BLIF BERR_i.BLIF N_313_1 +11 1 +.names N_325_1.BLIF SM_AMIGA_6_.BLIF N_325 +11 1 +.names N_207.BLIF RST_c.BLIF N_303_1 +11 1 +.names N_326_1.BLIF sm_amiga_i_i_7__n.BLIF N_326 +11 1 +.names AS_000_i.BLIF N_222_i.BLIF N_297_1 +11 1 +.names CLK_030_H_i.BLIF N_221.BLIF N_328 +11 1 +.names N_217_i.BLIF rst_dly_i_0__n.BLIF N_266_1 +11 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_330 +11 1 +.names N_24.BLIF N_24_i +0 1 +.names N_158.BLIF N_217_i.BLIF N_240_1 +11 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_331 +11 1 +.names N_24_i.BLIF RST_c.BLIF N_35_0 11 1 .names N_215_i.BLIF N_213_i.BLIF pos_clk_ipl_1_n 11 1 -.names N_182.BLIF RESET_OUT_i.BLIF N_235 +.names N_332_3.BLIF cpu_est_i_3__n.BLIF N_332 11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +.names N_18.BLIF N_18_i 0 1 -.names N_158_i.BLIF N_243_2.BLIF N_196 -11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names N_143_0.BLIF N_143 +.names N_193.BLIF amiga_bus_enable_dma_low_0_un3_n 0 1 +.names N_336_1.BLIF N_336_2.BLIF N_336 +11 1 +.names N_18_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names N_276_i.BLIF N_193.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_338 +11 1 +.names N_10.BLIF N_10_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names N_339_1.BLIF cpu_est_i_3__n.BLIF N_339 +11 1 +.names N_10_i.BLIF RST_c.BLIF N_43_0 +11 1 +.names N_123.BLIF rw_000_int_0_un3_n +0 1 +.names N_185.BLIF cpu_est_2_.BLIF N_340 +11 1 .names N_7.BLIF N_7_i 0 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_123.BLIF rw_000_int_0_un1_n 11 1 -.names N_158_i.BLIF N_158 -0 1 -.names N_7_i.BLIF RST_c.BLIF N_47_0 +.names N_185_i.BLIF cpu_est_2_.BLIF N_341 11 1 -.names N_357.BLIF as_030_000_sync_0_un3_n -0 1 -.names CLK_000_NE_i.BLIF rst_dly_i_2__n.BLIF N_198 +.names N_7_i.BLIF RST_c.BLIF N_46_0 +11 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names N_181_i.BLIF cpu_est_i_1__n.BLIF N_342 11 1 .names N_5.BLIF N_5_i 0 1 -.names pos_clk_un3_as_030_d0_n.BLIF N_357.BLIF as_030_000_sync_0_un1_n -11 1 -.names N_148_i.BLIF N_307.BLIF N_199 -11 1 -.names N_5_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names inst_CLK_000_NE.BLIF N_158.BLIF N_307 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_270.BLIF as_000_int_0_un3_n -0 1 -.names CLK_000_NE_i.BLIF rst_dly_i_1__n.BLIF N_201 -11 1 -.names N_3_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names sm_amiga_i_5__n.BLIF N_270.BLIF as_000_int_0_un1_n -11 1 -.names N_182_0.BLIF N_182 -0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i -0 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names N_243_2_i.BLIF N_243_2 -0 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 -11 1 -.names N_355.BLIF ds_000_dma_0_un3_n -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names N_354.BLIF N_355.BLIF ds_000_dma_0_un1_n -11 1 -.names N_356_0.BLIF N_356 -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 -11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names N_352_0.BLIF N_352 -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_327 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names SM_AMIGA_i_7_.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_28.BLIF N_28_i -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n -0 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 -1- 1 --1 1 -.names N_28_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_0_m3_1__un1_n -11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_113 -11 1 -.names N_29.BLIF N_29_i -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_0_m3_1__un3_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_1__un0_n -11 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 -1- 1 --1 1 -.names N_29_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_0_m3_5__un3_n -0 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_114 -11 1 -.names N_378.BLIF N_378_i -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_0_m3_5__un1_n -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_0_m3_5__un3_n.BLIF \ -sm_amiga_srsts_i_0_0_m3_5__un0_n -11 1 -.names N_327.BLIF RST_c.BLIF N_232 -11 1 -.names N_232.BLIF size_dma_0_0__un3_n -0 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_227.BLIF N_227_i -0 1 -.names SIZE_DMA_0_.BLIF N_232.BLIF size_dma_0_0__un1_n -11 1 -.names N_410_4.BLIF N_410_3.BLIF N_410 -11 1 -.names N_161_i.BLIF N_227_i.BLIF N_354_0 -11 1 -.names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF \ -size_dma_0_0__un0_n -11 1 -.names N_185_0.BLIF N_185 -0 1 -.names N_233.BLIF N_233_i -0 1 -.names N_232.BLIF size_dma_0_1__un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_236 -11 1 -.names N_233_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_357_0 -11 1 -.names SIZE_DMA_1_.BLIF N_232.BLIF size_dma_0_1__un1_n -11 1 -.names BGACK_030_INT_i.BLIF N_173.BLIF N_238 -11 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_270_0 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF \ -size_dma_0_1__un0_n -11 1 -.names N_173_i.BLIF N_173 -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_356.BLIF as_000_dma_0_un3_n -0 1 -.names BGACK_030_INT_i.BLIF N_173_i.BLIF N_239 -11 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF N_137_0 -11 1 -.names N_161.BLIF N_356.BLIF as_000_dma_0_un1_n -11 1 -.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_331 -11 1 -.names N_312.BLIF N_312_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names N_237_1.BLIF N_237_2.BLIF N_237 -11 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n -11 1 .names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names BGACK_000_c.BLIF N_410.BLIF un22_berr_1 +.names N_343_1.BLIF cpu_est_i_3__n.BLIF N_343 11 1 -.names N_161_i_1.BLIF N_161_i_2.BLIF N_161_i +.names N_5_i.BLIF RST_c.BLIF N_47_0 11 1 .names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n 11 1 -.names N_233_1.BLIF N_233_2.BLIF N_233 -11 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_179_0 +.names inst_CLK_000_NE.BLIF N_217_i.BLIF N_344 11 1 +.names N_4.BLIF N_4_i +0 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ bgack_030_int_0_un0_n 11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_209 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_345 11 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_180_0 +.names N_4_i.BLIF RST_c.BLIF N_48_0 11 1 -.names N_327.BLIF rw_000_dma_0_un3_n +.names N_279.BLIF as_030_000_sync_0_un3_n 0 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_347 +11 1 +.names N_272.BLIF N_272_i +0 1 +.names inst_AS_030_000_SYNC.BLIF N_279.BLIF as_030_000_sync_0_un1_n +11 1 +.names CLK_000_N_SYNC_9_.BLIF N_175.BLIF N_350 +11 1 +.names N_271.BLIF N_271_i +0 1 +.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n +11 1 +.names N_351_1.BLIF N_351_2.BLIF N_351 +11 1 +.names N_271_i.BLIF N_272_i.BLIF N_279_0 +11 1 +.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n +0 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_353 +11 1 +.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_280_0 +11 1 +.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ +ds_000_enable_0_un1_n +11 1 +.names N_185_i.BLIF cpu_est_i_2__n.BLIF N_361 +11 1 +.names N_236_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_281_0 +11 1 +.names un1_SM_AMIGA_5_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 +.names N_298.BLIF N_298_i +0 1 +.names N_280.BLIF as_000_int_0_un3_n +0 1 +.names N_298_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names sm_amiga_i_5__n.BLIF N_280.BLIF as_000_int_0_un1_n +11 1 +.names N_299.BLIF N_299_i +0 1 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names N_299_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names N_281.BLIF dsack1_int_0_un3_n +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 +11 1 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i +11 1 +.names N_236_i.BLIF N_281.BLIF dsack1_int_0_un1_n +11 1 +.names N_375_4.BLIF N_375_3.BLIF N_375 +11 1 +.names N_358.BLIF N_358_i +0 1 +.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_218_0.BLIF N_218 +0 1 +.names BGACK_000_c.BLIF N_358_i.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names N_286.BLIF size_dma_0_1__un3_n +0 1 +.names N_156_i.BLIF N_156 +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_284_i +11 1 +.names pos_clk_size_dma_6_1__n.BLIF N_286.BLIF size_dma_0_1__un1_n +11 1 +.names N_289_0.BLIF N_289 +0 1 +.names N_222_i.BLIF inst_RESET_OUT.BLIF N_285_i +11 1 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n +11 1 +.names N_156.BLIF SM_AMIGA_0_.BLIF N_354 +11 1 +.names N_193_i.BLIF RST_c.BLIF N_286_0 +11 1 +.names N_286.BLIF size_dma_0_0__un3_n +0 1 +.names N_205_i.BLIF N_205 +0 1 +.names AS_030_i.BLIF RST_c.BLIF N_88_0 +11 1 +.names pos_clk_size_dma_6_0__n.BLIF N_286.BLIF size_dma_0_0__un1_n +11 1 +.names un1_SM_AMIGA_5_i.BLIF un1_SM_AMIGA_5 +0 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +11 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa +11 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_156_i +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n +0 1 +.names CLK_000_PE_i.BLIF SM_AMIGA_4_.BLIF N_349 +11 1 +.names N_349.BLIF N_349_i +0 1 +.names BERR_c.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n +11 1 +.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_194_i +11 1 +.names inst_CLK_000_PE.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ +sm_amiga_srsts_i_0_m2_1__un0_n +11 1 +.names N_286_0.BLIF N_286 +0 1 +.names un1_SM_AMIGA_5_i_1.BLIF un1_SM_AMIGA_5_i_2.BLIF un1_SM_AMIGA_5_i +11 1 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n +0 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names UDS_000_c.BLIF UDS_000_c_i +0 1 +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n +11 1 +.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_358 +11 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_205_i +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_m2_5__un3_n +0 1 +.names BGACK_030_INT_i.BLIF N_205_i.BLIF N_299 +11 1 +.names N_156.BLIF SM_AMIGA_i_7_.BLIF N_206_0 +11 1 +.names BERR_c.BLIF SM_AMIGA_5_.BLIF sm_amiga_srsts_i_0_m2_5__un1_n +11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names N_156.BLIF sm_amiga_i_3__n.BLIF N_207_0 +11 1 +.names inst_CLK_000_PE.BLIF sm_amiga_srsts_i_0_m2_5__un3_n.BLIF \ +sm_amiga_srsts_i_0_m2_5__un0_n +11 1 +.names BGACK_030_INT_i.BLIF N_205.BLIF N_298 +11 1 +.names N_354.BLIF N_354_i +0 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +0 1 +.names N_281_0.BLIF N_281 +0 1 +.names N_354_i.BLIF RST_c.BLIF N_208_0 +11 1 +.names cpu_est_2_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n +11 1 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -1666,10 +1659,10 @@ bgack_030_int_0_un0_n .names inst_BGACK_030_INTreg.BLIF BGACK_030 1 1 0 0 -.names inst_CLK_OUT_INTreg.BLIF CLK_DIV_OUT +.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT 1 1 0 0 -.names CLK_OUT_EXP_INT_i.BLIF CLK_EXP +.names CLK_OUT_INTreg.BLIF CLK_EXP 1 1 0 0 .names un21_fpu_cs_i.BLIF FPU_CS @@ -1681,7 +1674,7 @@ bgack_030_int_0_un0_n .names vcc_n_n.BLIF AVEC 1 1 0 0 -.names un5_e.BLIF E +.names N_124.BLIF E 1 1 0 0 .names inst_VMA_INTreg.BLIF VMA @@ -1699,7 +1692,7 @@ bgack_030_int_0_un0_n .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_190_i.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_227.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 .names un5_ciin.BLIF CIIN @@ -1711,12 +1704,6 @@ bgack_030_int_0_un0_n .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_5_.C 1 1 0 0 @@ -1735,12 +1722,6 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C 1 1 0 0 @@ -1759,28 +1740,10 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C 1 1 0 0 .names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D @@ -1813,7 +1776,7 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 0 0 -.names cpu_est_0_0_x2_0_x2_0_.BLIF cpu_est_0_.D +.names cpu_est_0_0_x2_0_.BLIF cpu_est_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF cpu_est_0_.C @@ -1822,28 +1785,10 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF cpu_est_1_.C 1 1 0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D +.names CLK_OSZI_c.BLIF cpu_est_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +.names CLK_OSZI_c.BLIF cpu_est_3_.C 1 1 0 0 .names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D @@ -1876,7 +1821,7 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C 1 1 0 0 -.names N_147_i.BLIF CLK_000_N_SYNC_0_.D +.names N_148_i.BLIF CLK_000_N_SYNC_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C @@ -1906,7 +1851,28 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C +.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C +1 1 +0 0 +.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C +1 1 +0 0 +.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C +1 1 +0 0 +.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 .names CLK_OSZI_c.BLIF RST_DLY_1_.C @@ -1918,19 +1884,31 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C +1 1 +0 0 +.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF RST_DLY_0_.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_AS_000_DMA.C @@ -1966,10 +1944,7 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF inst_RESET_OUT.C 1 1 0 0 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C 1 1 0 0 .names CLK_OSZI_c.BLIF BG_000DFFreg.C @@ -1990,24 +1965,24 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C 1 1 0 0 -.names inst_CLK_OUT_PRE_D.BLIF inst_CLK_OUT_INTreg.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_INTreg.C -1 1 -0 0 .names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D 1 1 0 0 @@ -2020,18 +1995,18 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C 1 1 0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_EXP_INT.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_EXP_INT.C -1 1 -0 0 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_D.D +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D 1 1 0 0 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C 1 1 0 0 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C +1 1 +0 0 .names CLK_000.BLIF inst_CLK_000_D0.D 1 1 0 0 @@ -2044,10 +2019,16 @@ bgack_030_int_0_un0_n .names CLK_OSZI_c.BLIF inst_CLK_000_PE.C 1 1 0 0 +.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C +1 1 +0 0 .names un3_size.BLIF SIZE_1_ 1 1 0 0 -.names N_137.BLIF AS_030 +.names N_138.BLIF AS_030 1 1 0 0 .names un4_as_000_i.BLIF AS_000 @@ -2239,37 +2220,37 @@ bgack_030_int_0_un0_n .names FC_1_.BLIF fc_c_1__n 1 1 0 0 -.names N_231.BLIF AS_030.OE +.names N_285_i.BLIF AS_030.OE 1 1 0 0 -.names N_230.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names N_230.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 -.names N_230.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_230.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 0 0 -.names un1_as_030_i.BLIF SIZE_0_.OE +.names N_222_i.BLIF SIZE_0_.OE 1 1 0 0 -.names un1_as_030_i.BLIF SIZE_1_.OE +.names N_222_i.BLIF SIZE_1_.OE 1 1 0 0 -.names N_231.BLIF A0.OE +.names N_285_i.BLIF A0.OE 1 1 0 0 .names un22_berr.BLIF BERR.OE 1 1 0 0 -.names N_332.BLIF RW.OE +.names N_284_i.BLIF RW.OE 1 1 0 0 -.names N_231.BLIF DS_030.OE +.names N_285_i.BLIF DS_030.OE 1 1 0 0 .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE @@ -2281,17 +2262,6 @@ bgack_030_int_0_un0_n .names N_61.BLIF CIIN.OE 1 1 0 0 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 -01 1 -10 1 -11 0 -00 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0 -01 1 -10 1 -11 0 -00 0 .names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_134 01 1 10 1 @@ -2307,17 +2277,23 @@ pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 10 1 11 0 00 0 -.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_x2_0_ +.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ +pos_clk_un24_bgack_030_int_i_i_a4_i_x2 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_0_x2 +.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_1_.BLIF N_209.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2 +.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_ +01 1 +10 1 +11 0 +00 0 +.names CYCLE_DMA_1_.BLIF N_209.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 01 1 10 1 11 0 diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index b919969..bd9631c 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2016 1 24 16 20 49) + (timeStamp 2016 1 25 7 24 13) (author "Synopsys, Inc.") (program "Synplify Pro" (version "I-2014.03LC , mapper maplat, Build 923R")) ) @@ -140,10 +140,6 @@ (port CIIN (direction OUTPUT)) ) (contents - (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -156,10 +152,6 @@ ) (instance (rename SM_AMIGA_0 "SM_AMIGA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename cpu_est_2 "cpu_est[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance (rename IPL_030DFF_0 "IPL_030DFF[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename IPL_030DFF_1 "IPL_030DFF[1]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -172,13 +164,9 @@ ) (instance (rename IPL_D0_2 "IPL_D0[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -198,13 +186,9 @@ ) (instance (rename cpu_est_1 "cpu_est[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename cpu_est_2 "cpu_est[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_P_SYNC_2 "CLK_000_P_SYNC[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_3 "CLK_000_P_SYNC[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -226,7 +210,13 @@ ) (instance (rename CLK_000_N_SYNC_4 "CLK_000_N_SYNC[4]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename RST_DLY_0 "RST_DLY[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename RST_DLY_1 "RST_DLY[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -234,15 +224,15 @@ ) (instance (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance DS_000_ENABLE (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_P_SYNC_2 "CLK_000_P_SYNC[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_P_SYNC_3 "CLK_000_P_SYNC[3]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance LDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename RST_DLY_0 "RST_DLY[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance AS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -266,7 +256,7 @@ ) (instance RESET_OUT (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance CLK_OUT_PRE_25 (viewRef prim (cellRef DFF (libraryRef mach))) + (instance DS_000_ENABLE (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance BG_000DFF (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -280,26 +270,32 @@ ) (instance A0_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance LDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance BGACK_030_INT_D (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance CLK_000_NE (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance CLK_OUT_PRE_50 (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance CLK_OUT_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance CLK_000_D1 (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance CLK_000_NE_D0 (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance CLK_OUT_EXP_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance CLK_OUT_PRE_D (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance CLK_OUT_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance CLK_000_D0 (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance CLK_000_PE (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance CLK_000_NE (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance AS_030 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) (instance AS_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) (instance RW_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) @@ -375,535 +371,525 @@ (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_HIGH (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_1_1_0 "SM_AMIGA_nss_i_i_0_0_a3_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_1_0 "SM_AMIGA_nss_i_i_0_0_a3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_0_1_0 "SM_AMIGA_nss_i_i_0_0_a3_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_0_0 "SM_AMIGA_nss_i_i_0_0_a3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a3_2 "SM_AMIGA_srsts_i_i_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e1_i_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e1_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a3_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e2_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_137_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_137 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_4 "SM_AMIGA_srsts_i_0_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_1_5 "SM_AMIGA_srsts_i_0_0_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_5 "SM_AMIGA_srsts_i_0_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_a3_1_1_1 "cpu_est_2_0_0_a3_1_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_a3_1_1 "cpu_est_2_0_0_a3_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_0_a2_0_a3_1 "pos_clk.un6_bg_030_0_a2_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_0_a2_0_a3 "pos_clk.un6_bg_030_0_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_i_0_a3_0_1_6 "SM_AMIGA_srsts_i_i_0_a3_0_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_i_0_a3_0_6 "SM_AMIGA_srsts_i_i_0_a3_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_i_0_a3_1_6 "SM_AMIGA_srsts_i_i_0_a3_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_i_0_a3_6 "SM_AMIGA_srsts_i_i_0_a3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_1_2 "SM_AMIGA_srsts_i_i_0_a3_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_2 "SM_AMIGA_srsts_i_i_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_0_1_1 "cpu_est_2_0_0_a3_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_0_1 "cpu_est_2_0_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_0_a3_0_1_3 "SM_AMIGA_srsts_i_0_0_a3_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_0_a3_0_3 "SM_AMIGA_srsts_i_0_0_a3_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2_i_1 "pos_clk.un6_bg_030_0_a2_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2_i "pos_clk.un6_bg_030_0_a2_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_1_1 "SM_AMIGA_srsts_i_0_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_1 "SM_AMIGA_srsts_i_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_3_i_0_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_3_i_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_0_1 "pos_clk.CYCLE_DMA_5_1_i_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_0 "pos_clk.CYCLE_DMA_5_1_i_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_1 "pos_clk.CYCLE_DMA_5_0_i_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_0 "pos_clk.CYCLE_DMA_5_0_i_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_1_1_0 "SM_AMIGA_nss_i_i_0_a3_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_1_0 "SM_AMIGA_nss_i_i_0_a3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_0_1_0 "SM_AMIGA_nss_i_i_0_a3_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_0_0 "SM_AMIGA_nss_i_i_0_a3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a3_1_2 "SM_AMIGA_srsts_i_i_a3_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_1_5 "SM_AMIGA_srsts_i_0_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_5 "SM_AMIGA_srsts_i_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_1_4 "SM_AMIGA_srsts_i_0_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_4 "SM_AMIGA_srsts_i_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_1_1 "SM_AMIGA_srsts_i_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_1 "SM_AMIGA_srsts_i_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_3_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_3_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_1 "pos_clk.CYCLE_DMA_5_0_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i "pos_clk.CYCLE_DMA_5_0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_i_i_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_i_i_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a3_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a2_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_1 "pos_clk.CYCLE_DMA_5_1_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i "pos_clk.CYCLE_DMA_5_1_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DS_000_DMA_2_sqmuxa_0_a2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DS_000_DMA_2_sqmuxa_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance CLK_030_H_2_0_a2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_1_4 "SM_AMIGA_srsts_i_0_0_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_3 "pos_clk.un37_as_030_d0_i_a2_1_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_4 "pos_clk.un37_as_030_d0_i_a2_1_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1 "pos_clk.un37_as_030_d0_i_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_0_a3_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_a3_1 "pos_clk.un37_as_030_d0_i_i_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_a3_2 "pos_clk.un37_as_030_d0_i_i_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_a3 "pos_clk.un37_as_030_d0_i_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_0_a3_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_0_a3_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_0_a3_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_0_a3_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_0_a3_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_1 "pos_clk.un37_as_030_d0_i_a2_1_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_2 "pos_clk.un37_as_030_d0_i_a2_1_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_0_2 "pos_clk.un7_clk_000_pe_0_0_a3_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_0 "pos_clk.un7_clk_000_pe_0_0_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_1 "pos_clk.un7_clk_000_pe_0_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_2 "pos_clk.un7_clk_000_pe_0_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_a3 "pos_clk.un7_clk_000_pe_0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_2_1_0 "SM_AMIGA_nss_i_i_0_0_a3_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_2_2_0 "SM_AMIGA_nss_i_i_0_0_a3_2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_2_3_0 "SM_AMIGA_nss_i_i_0_0_a3_2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_2_0 "SM_AMIGA_nss_i_i_0_0_a3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_2_0 "SM_AMIGA_nss_i_i_0_a3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a3_0_1_2 "SM_AMIGA_srsts_i_i_a3_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a3_0_2_2 "SM_AMIGA_srsts_i_i_a3_0_2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a3_0_2 "SM_AMIGA_srsts_i_i_a3_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un5_ciin_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un5_ciin_0_a2_0_a3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un5_ciin_0_a2_0_a3_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un5_ciin_0_a2_0_a3_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un5_ciin_0_a2_0_a3_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un5_ciin_0_a2_0_a3_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_0 "SM_AMIGA_nss_i_i_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_0_o2_3_o3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_0_o2_3_o3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_0_o2_3_o3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_0_a2_0_a3_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_0_a2_0_a3_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_0_a2_0_a3_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_0_a2_0_a3_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_0_a2_0_a3_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_0_1 "pos_clk.un7_clk_000_pe_0_0_a3_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_0_2 "pos_clk.un7_clk_000_pe_0_0_a3_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_0 "pos_clk.un7_clk_000_pe_0_0_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_1 "pos_clk.un7_clk_000_pe_0_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_2 "pos_clk.un7_clk_000_pe_0_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_3 "pos_clk.un7_clk_000_pe_0_0_a3_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_a3 "pos_clk.un7_clk_000_pe_0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_2_1_0 "SM_AMIGA_nss_i_i_0_a3_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_2_2_0 "SM_AMIGA_nss_i_i_0_a3_2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_2_3_0 "SM_AMIGA_nss_i_i_0_a3_2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a2_2_2 "SM_AMIGA_srsts_i_i_a2_2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a2_2 "SM_AMIGA_srsts_i_i_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_1_0_0 "SM_AMIGA_nss_i_i_0_o2_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_0 "SM_AMIGA_nss_i_i_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_i_a4_i_o3_1 "pos_clk.un24_bgack_030_int_i_i_a4_i_o3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_i_a4_i_o3_2 "pos_clk.un24_bgack_030_int_i_i_a4_i_o3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_i_a4_i_o3 "pos_clk.un24_bgack_030_int_i_i_a4_i_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_0_1_3 "SM_AMIGA_srsts_i_0_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_0_2_3 "SM_AMIGA_srsts_i_0_0_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_0_3 "SM_AMIGA_srsts_i_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_1_0 "SM_AMIGA_nss_i_i_0_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_2_0 "SM_AMIGA_nss_i_i_0_0_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_3_0 "SM_AMIGA_nss_i_i_0_0_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_0 "SM_AMIGA_nss_i_i_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_0_1_2 "SM_AMIGA_srsts_i_i_0_a3_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_0_2_2 "SM_AMIGA_srsts_i_i_0_a3_0_2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_0_2 "SM_AMIGA_srsts_i_i_0_a3_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_a3_0_1 "pos_clk.un7_clk_000_pe_0_0_a3_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_1_0 "SM_AMIGA_nss_i_i_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_2_0 "SM_AMIGA_nss_i_i_0_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_3_0 "SM_AMIGA_nss_i_i_0_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0 "SM_AMIGA_nss_i_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_26_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BG_000_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un8_bg_030_i "pos_clk.un8_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_1 "pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_2 "pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3 "pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o2_1 "pos_clk.un37_as_030_d0_i_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o2 "pos_clk.un37_as_030_d0_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_0_o3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_0_o3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_0_o3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un8_sm_amiga_1 "pos_clk.un8_sm_amiga_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un8_sm_amiga "pos_clk.un8_sm_amiga") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a2_0_1_3 "SM_AMIGA_srsts_i_0_0_a2_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a2_0_2_3 "SM_AMIGA_srsts_i_0_0_a2_0_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a2_0_3 "SM_AMIGA_srsts_i_0_0_a2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_1_0_0 "SM_AMIGA_nss_i_i_0_0_o2_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_205_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_27_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_4_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a2_1_2 "SM_AMIGA_srsts_i_i_a2_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance nEXP_SPACE_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_17_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_18_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_INT_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_i_6 "SM_AMIGA_srsts_i_i_0_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_221_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_222_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_219_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_220_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_i_2 "SM_AMIGA_srsts_i_i_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_216_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_218_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_i_1 "cpu_est_2_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_373_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_375_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_i "pos_clk.un7_clk_000_pe_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_188_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_i_3 "cpu_est_2_0_0_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_277_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_348_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_i_2 "cpu_est_2_0_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2_i_i "pos_clk.un6_bg_030_0_a2_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_193_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_241_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_240_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_3_i_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un8_ciin_i_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_228_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_318_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_o2_i_2 "cpu_est_2_0_0_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_o2_i_3 "cpu_est_2_0_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_i_0 "SM_AMIGA_nss_i_i_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_3_i_0 "SM_AMIGA_nss_i_i_0_0_o2_3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_281_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_302_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_279_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_280_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_e_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_278_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_2_i_0 "SM_AMIGA_nss_i_i_0_0_o2_2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_4 "SM_AMIGA_srsts_i_0_0_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i_0_0_o3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_329_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_o2_i_2 "SM_AMIGA_srsts_i_i_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_o2_i_6 "SM_AMIGA_srsts_i_i_0_o2_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_1_i_0 "SM_AMIGA_nss_i_i_0_0_o2_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_0_o2_3_o3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_324_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_326_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_0_i_3 "SM_AMIGA_srsts_i_0_0_o2_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_0_i_0 "SM_AMIGA_nss_i_i_0_0_o2_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_321_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_199_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_1_sqmuxa_i_0_143_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_307_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_235_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_210_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_207_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_208_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_206_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_313_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_211_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_212_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_3 "SM_AMIGA_srsts_i_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_20_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_198_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_196_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_195_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_201_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_200_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_D0_0_i_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_239_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_238_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_237_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_236_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_331_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_0_i "pos_clk.un6_bgack_000_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_10_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_233_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_i "pos_clk.un37_as_030_d0_i_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_as_030_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_312_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_0_o2_0_o3_i "pos_clk.un3_as_030_d0_0_o2_0_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_i "pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_1 "SM_AMIGA_srsts_i_0_0_o2_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_5 "SM_AMIGA_srsts_i_0_0_o2_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_0 "SM_AMIGA_srsts_i_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_0_a2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_o2_i_0 "pos_clk.SIZE_DMA_6_0_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DTACK_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DTACK_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_2 "IPL_D0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_28_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_27_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_2 "IPL_D0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DTACK_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DTACK_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance nEXP_SPACE_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_307_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_303_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_304_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_i_2 "SM_AMIGA_srsts_i_i_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_301_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_300_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_3_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_278_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_297_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_277_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_29_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_378_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_227_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_330_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i_i "pos_clk.DS_000_DMA_4_f0_i_a2_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_328_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_325_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_326_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_0_i_6 "SM_AMIGA_srsts_i_i_0_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_143_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_321_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_322_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_320_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un5_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un8_ciin_i_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_310_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_305_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un5_e_i_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_341_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_i_i_3 "cpu_est_2_i_0_i_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_340_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_361_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_i_2 "cpu_est_2_0_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_338_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_339_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_i_1 "cpu_est_2_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_332_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_336_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_0_0_i "pos_clk.un7_clk_000_pe_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_331_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_143_1_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_o2_i_2 "cpu_est_2_0_0_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_i_o2_i_3 "cpu_est_2_i_0_i_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OUT_PRE_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i_i_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_i_0 "SM_AMIGA_nss_i_i_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_345_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_344_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_as_030_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_342_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_343_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_2_i_0 "SM_AMIGA_nss_i_i_0_o2_2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_i_1 "SM_AMIGA_srsts_i_0_o2_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_i_5 "SM_AMIGA_srsts_i_0_o2_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_1_i_0 "SM_AMIGA_nss_i_i_0_o2_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_351_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_353_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_o2_0_i_2 "SM_AMIGA_srsts_i_i_o2_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_0_i_0 "SM_AMIGA_nss_i_i_0_o2_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3_i "pos_clk.un5_bgack_030_int_d_i_0_a4_i_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_i_a4_i_o3_i "pos_clk.un24_bgack_030_int_i_i_a4_i_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_350_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_241_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_266_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_267_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_254_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_317_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_313_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_316_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_312_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_347_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_323_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_324_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_3 "SM_AMIGA_srsts_i_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_i_0 "SM_AMIGA_srsts_i_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_0_i_0 "SM_AMIGA_srsts_i_0_o2_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_349_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_0_o3_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_i_0 "pos_clk.SIZE_DMA_6_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_0_o2_i_6 "SM_AMIGA_srsts_i_i_0_o2_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_o2_i_2 "SM_AMIGA_srsts_i_i_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_354_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_o3_i "pos_clk.CYCLE_DMA_5_0_i_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o2_i "pos_clk.un37_as_030_d0_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_i_4 "SM_AMIGA_srsts_i_0_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_242_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_246_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_240_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_272_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_271_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_298_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_299_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_358_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0_i "pos_clk.un6_bgack_000_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_030_D0_0_i_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un3_as_030_d0_0_o3_i "pos_clk.un3_as_030_d0_0_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_18_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_000_INT_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_10_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_7_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_5_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_193 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_190_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_4_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_EXP_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_3_i_0_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_0_a2_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_194 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i_a3 "pos_clk.DS_000_DMA_4_f0_i_a2_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a3_0_4 "SM_AMIGA_srsts_i_0_0_a3_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a3_0 "SM_AMIGA_srsts_i_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a3_5 "SM_AMIGA_srsts_i_0_0_a3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_136 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_135 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_129 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_1 "SM_AMIGA_srsts_i_0_0_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_195 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_0_o2_0_o3 "pos_clk.un3_as_030_d0_0_o2_0_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 "pos_clk.un24_bgack_030_int_i_0_i_a3_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance un6_as_030_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i "pos_clk.DS_000_DMA_4_f0_i_a2_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CLK_000_P_SYNC_2_0_a2_i_0 "pos_clk.CLK_000_P_SYNC_2_0_a2_i[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_0 "SM_AMIGA_srsts_i_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_i_a3_i_a2 "pos_clk.un24_bgack_030_int_i_0_i_a3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a3_1 "SM_AMIGA_srsts_i_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_236_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_lds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_uds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un22_berr_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_196 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_410_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_1__r "SM_AMIGA_srsts_i_0_0_m3_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_1__m "SM_AMIGA_srsts_i_0_0_m3_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_1__n "SM_AMIGA_srsts_i_0_0_m3_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_1__p "SM_AMIGA_srsts_i_0_0_m3_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_0_x2 "pos_clk.CYCLE_DMA_5_1_i_0_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_x2 "pos_clk.CYCLE_DMA_5_0_i_0_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance CLK_000_PE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_5__r "SM_AMIGA_srsts_i_0_0_m3_5_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_5__m "SM_AMIGA_srsts_i_0_0_m3_5_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_5__n "SM_AMIGA_srsts_i_0_0_m3_5_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_m3_5__p "SM_AMIGA_srsts_i_0_0_m3_5_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_0 "SM_AMIGA_srsts_i_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_5 "SM_AMIGA_srsts_i_0_0_o2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance A1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_o2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_0_a2 "pos_clk.un6_bgack_000_0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_0 "pos_clk.un6_bgack_000_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_0 "pos_clk.SIZE_DMA_6_0_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_1 "pos_clk.SIZE_DMA_6_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_0_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_0_a2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__p "SIZE_DMA_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SIZE_DMA_0_1__r "SIZE_DMA_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SIZE_DMA_0_1__m "SIZE_DMA_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SIZE_DMA_0_1__n "SIZE_DMA_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SIZE_DMA_0_1__p "SIZE_DMA_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_0_a2_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a3_0 "pos_clk.SIZE_DMA_6_0_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a3_1 "pos_clk.SIZE_DMA_6_0_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_A0_DMA_3_0_a2_0_a3 "pos_clk.A0_DMA_3_0_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_113_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_114_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__p "SIZE_DMA_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_INT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_276_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a2_0 "SM_AMIGA_srsts_i_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_PE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a3_4 "SM_AMIGA_srsts_i_0_a3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_3_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_a3_1 "pos_clk.SIZE_DMA_6_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_a3_0 "pos_clk.SIZE_DMA_6_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_1_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_a3_0 "pos_clk.un37_as_030_d0_i_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_a3 "pos_clk.un37_as_030_d0_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_195 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un3_as_030_d0_0_o3 "pos_clk.un3_as_030_d0_0_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_D0_0_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un3_as_030_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un2_rw_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0 "pos_clk.un6_bgack_000_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_2_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_1_sqmuxa_i_0_143_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RST_DLY_i_0 "RST_DLY_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RST_DLY_i_1 "RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RST_DLY_i_2 "RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_1_sqmuxa_i_0_143_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_as_000_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_1 "pos_clk.SIZE_DMA_6_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0 "pos_clk.SIZE_DMA_6_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i "pos_clk.un37_as_030_d0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0_a2 "pos_clk.un6_bgack_000_0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__r "SM_AMIGA_srsts_i_0_m2_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__m "SM_AMIGA_srsts_i_0_m2_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__n "SM_AMIGA_srsts_i_0_m2_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__p "SM_AMIGA_srsts_i_0_m2_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_4 "SM_AMIGA_srsts_i_0_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance nEXP_SPACE_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_o3 "pos_clk.CYCLE_DMA_5_0_i_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o3_0 "SM_AMIGA_srsts_i_0_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_o2_2 "SM_AMIGA_srsts_i_i_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_0_o2_6 "SM_AMIGA_srsts_i_i_0_o2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_4_0 "SM_AMIGA_nss_i_i_0_o2_4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_0_0 "SM_AMIGA_srsts_i_0_o2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_196 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_as_030_i_a4_i_o3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_x2 "pos_clk.CYCLE_DMA_5_0_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_5__r "SM_AMIGA_srsts_i_0_m2_5_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_5__m "SM_AMIGA_srsts_i_0_m2_5_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_5__n "SM_AMIGA_srsts_i_0_m2_5_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_5__p "SM_AMIGA_srsts_i_0_m2_5_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_est_0_0_x2_0 "cpu_est_0_0_x2[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_x2 "pos_clk.CYCLE_DMA_5_1_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un2_rw_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un3_as_030_0_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_as_000_0_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_5_0 "SM_AMIGA_nss_i_i_0_0_o2_5[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_6_0 "SM_AMIGA_nss_i_i_0_0_o2_6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_0_0 "SM_AMIGA_nss_i_i_0_0_o2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_0_3 "SM_AMIGA_srsts_i_0_0_o2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_1_0 "SM_AMIGA_nss_i_i_0_0_o2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_o2_6 "SM_AMIGA_srsts_i_i_0_o2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_o2_2 "SM_AMIGA_srsts_i_i_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o3_0 "SM_AMIGA_nss_i_i_0_0_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i_0_0_o3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_o2_4 "SM_AMIGA_srsts_i_0_0_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_2_0 "SM_AMIGA_nss_i_i_0_0_o2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_197 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3 "pos_clk.un5_bgack_030_int_d_i_0_a4_i_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_5_0 "SM_AMIGA_nss_i_i_0_o2_5[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_0_0 "SM_AMIGA_nss_i_i_0_o2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_o2_0_2 "SM_AMIGA_srsts_i_i_o2_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_1_0 "SM_AMIGA_nss_i_i_0_o2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_5 "SM_AMIGA_srsts_i_0_o2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_1 "SM_AMIGA_srsts_i_0_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_o2_2_0 "SM_AMIGA_nss_i_i_0_o2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_0 "SM_AMIGA_srsts_i_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_0_o2_3 "SM_AMIGA_srsts_i_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_0_x2_0_x2_0 "cpu_est_0_0_x2_0_x2[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_as_030_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_i_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_2 "cpu_est_2_0_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_3 "cpu_est_2_0_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_o2_3_0 "SM_AMIGA_nss_i_i_0_0_o2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un6_as_030_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_i_a4_i_x2 "pos_clk.un24_bgack_030_int_i_i_a4_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CLK_000_N_SYNC_2_0_o3_i_o2_0 "pos_clk.CLK_000_N_SYNC_2_0_o3_i_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CLK_000_N_SYNC_2_0_a4_0_o2_0 "pos_clk.CLK_000_N_SYNC_2_0_a4_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_0 "RST_DLY_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RST_DLY_i_1 "RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance CLK_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_o2_3 "cpu_est_2_0_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_0_o2 "pos_clk.un7_clk_000_pe_0_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i_i_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_i_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_i_o2_3 "cpu_est_2_i_0_i_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_2_0_0_0_o2_2 "cpu_est_2_0_0_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_a3_2 "cpu_est_2_0_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_a3_3 "cpu_est_2_0_0_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a2_3 "SM_AMIGA_srsts_i_0_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_0_o2_3_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a2_1_3 "SM_AMIGA_srsts_i_0_0_a2_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a2_0 "SM_AMIGA_nss_i_i_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_a2_2 "cpu_est_2_0_0_0_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_2 "RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_143_1_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0 "SM_AMIGA_srsts_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_2 "SM_AMIGA_srsts_i_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_i_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_143_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_0_6 "SM_AMIGA_srsts_i_i_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i "pos_clk.DS_000_DMA_4_f0_i_a2_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un7_clk_000_pe_0_0 "pos_clk.un7_clk_000_pe_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_2_0_0_0_1 "cpu_est_2_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_2 "SM_AMIGA_srsts_i_i_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_6 "SM_AMIGA_srsts_i_i_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_25_0 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_134 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0_a3_0 "SM_AMIGA_nss_i_i_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a3_3 "SM_AMIGA_srsts_i_0_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_2 "cpu_est_2_0_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_i_3 "cpu_est_2_i_0_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_i_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_198 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i_a3 "pos_clk.DS_000_DMA_4_f0_i_a2_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_0_a2_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_2_0_0_0_a3_1 "cpu_est_2_0_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0_a3_4 "SM_AMIGA_srsts_i_0_0_a3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_197 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_1_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_a3_2 "cpu_est_2_0_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_i_a3_3 "cpu_est_2_i_0_i_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_i_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_i_a4_i_a2 "pos_clk.un24_bgack_030_int_i_i_a4_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_3 "SM_AMIGA_srsts_i_0_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLYlde_i_a4_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_i_a2_0_2 "SM_AMIGA_srsts_i_i_a2_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_a2_2 "cpu_est_2_0_0_0_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a3_0 "SM_AMIGA_srsts_i_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_199 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a3_1 "SM_AMIGA_srsts_i_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a3_0_4 "SM_AMIGA_srsts_i_0_a3_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a3_5 "SM_AMIGA_srsts_i_0_a3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_a3_0 "SM_AMIGA_nss_i_i_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_3 "SM_AMIGA_srsts_i_0_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance G_134 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_135 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_136 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CLK_000_P_SYNC_2_0_a3_0 "pos_clk.CLK_000_P_SYNC_2_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_A0_DMA_3_0_a3 "pos_clk.A0_DMA_3_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance A1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance G_129 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance N_213_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_214_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_215_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance nEXP_SPACE_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_1__r "cpu_est_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename cpu_est_0_2__r "cpu_est_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_0_2__m "cpu_est_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_2__n "cpu_est_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -916,11 +902,18 @@ (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -929,67 +922,63 @@ (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_275_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_INT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__r "cpu_est_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_uds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_lds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un8_bg_030 "pos_clk.un8_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un4_uds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un4_lds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un4_as_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un6_ds_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_98_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (net BGACK_030_INT (joined (portRef Q (instanceRef BGACK_030_INT)) - (portRef I0 (instanceRef un1_as_000_0_i_a3)) - (portRef I0 (instanceRef BGACK_030_INT_0_n)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_a2)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3)) + (portRef I0 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) + (portRef I0 (instanceRef un1_as_000_0_0)) (portRef I0 (instanceRef BGACK_030_INT_i)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__r)) + (portRef I0 (instanceRef BGACK_030_INT_0_n)) (portRef I0 (instanceRef BGACK_030)) )) - (net CLK_OUT_INT (joined - (portRef Q (instanceRef CLK_OUT_INT)) - (portRef I0 (instanceRef CLK_DIV_OUT)) - )) (net VCC (joined (portRef I0 (instanceRef AVEC)) )) - (net un5_e (joined - (portRef O (instanceRef un5_e_0_0_i)) - (portRef I0 (instanceRef E)) - )) (net VMA_INT (joined (portRef Q (instanceRef VMA_INT)) (portRef I0 (instanceRef VMA_INT_0_n)) @@ -1030,10 +1019,6 @@ (portRef O (instanceRef un4_as_000)) (portRef I0 (instanceRef un4_as_000_i)) )) - (net un1_SM_AMIGA_5 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_i)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_a2_0_a3)) - )) (net un21_fpu_cs (joined (portRef O (instanceRef un21_fpu_cs_0_a2_0_a3)) (portRef I0 (instanceRef un21_fpu_cs_i)) @@ -1046,12 +1031,26 @@ (portRef O (instanceRef un6_ds_030)) (portRef I0 (instanceRef un6_ds_030_i)) )) + (net (rename cpu_est_2 "cpu_est[2]") (joined + (portRef Q (instanceRef cpu_est_2)) + (portRef I0 (instanceRef cpu_est_0_2__n)) + (portRef I1 (instanceRef cpu_est_2_i_0_i_a3_3)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_2)) + (portRef I0 (instanceRef cpu_est_i_2)) + (portRef I1 (instanceRef un5_e_i_i_a3_0_1)) + )) + (net (rename cpu_est_3 "cpu_est[3]") (joined + (portRef Q (instanceRef cpu_est_3)) + (portRef I0 (instanceRef cpu_est_0_3__n)) + (portRef I0 (instanceRef un5_e_i_i_o2)) + (portRef I0 (instanceRef cpu_est_i_3)) + )) (net (rename cpu_est_0 "cpu_est[0]") (joined (portRef Q (instanceRef cpu_est_0)) (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_2)) - (portRef I1 (instanceRef cpu_est_0_0_x2_0_x2_0)) (portRef I0 (instanceRef cpu_est_i_0)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_0_1_1)) + (portRef I1 (instanceRef cpu_est_0_0_x2_0)) + (portRef I0 (instanceRef cpu_est_2_0_0_a3_1_1_1)) )) (net (rename cpu_est_1 "cpu_est[1]") (joined (portRef Q (instanceRef cpu_est_1)) @@ -1059,22 +1058,7 @@ (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_1)) (portRef I1 (instanceRef cpu_est_2_0_0_0_o2_2)) (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef un5_e_0_0_a3_0_1)) - )) - (net (rename cpu_est_2 "cpu_est[2]") (joined - (portRef Q (instanceRef cpu_est_2)) - (portRef I0 (instanceRef cpu_est_0_2__n)) - (portRef I0 (instanceRef cpu_est_i_2)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_3)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_2)) - (portRef I1 (instanceRef un5_e_0_0_a3_0_1)) - )) - (net (rename cpu_est_3 "cpu_est[3]") (joined - (portRef Q (instanceRef cpu_est_3)) - (portRef I0 (instanceRef cpu_est_0_3__n)) - (portRef I1 (instanceRef un5_e_0_0_a3)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_3)) - (portRef I0 (instanceRef cpu_est_i_3)) + (portRef I0 (instanceRef un5_e_i_i_a3_0_1)) )) (net AS_000_INT (joined (portRef Q (instanceRef AS_000_INT)) @@ -1083,38 +1067,38 @@ )) (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined (portRef Q (instanceRef SM_AMIGA_5)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_0_a2_0_a3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__r)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_5__m)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_5__r)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_0_a3)) (portRef I0 (instanceRef SM_AMIGA_i_5)) )) (net AMIGA_BUS_ENABLE_DMA_LOW (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) )) (net AS_030_D0 (joined (portRef Q (instanceRef AS_030_D0)) (portRef I0 (instanceRef AS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_i_1)) + (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3_1)) )) (net nEXP_SPACE_D0 (joined (portRef Q (instanceRef nEXP_SPACE_D0)) (portRef I0 (instanceRef nEXP_SPACE_D0_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_2)) - (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) + (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3_1)) (portRef OE (instanceRef DSACK1)) )) (net AS_030_000_SYNC (joined (portRef Q (instanceRef AS_030_000_SYNC)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) (portRef I0 (instanceRef AS_030_000_SYNC_i)) )) (net BGACK_030_INT_D (joined (portRef Q (instanceRef BGACK_030_INT_D)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_a2)) + (portRef I1 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3)) )) (net AS_000_DMA (joined (portRef Q (instanceRef AS_000_DMA)) @@ -1129,36 +1113,36 @@ )) (net (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (joined (portRef Q (instanceRef CYCLE_DMA_0)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_x2)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2)) (portRef I1 (instanceRef G_129)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_x2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) )) (net (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (joined (portRef Q (instanceRef CYCLE_DMA_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_x2)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_x2)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) )) (net (rename SIZE_DMA_0 "SIZE_DMA[0]") (joined (portRef Q (instanceRef SIZE_DMA_0)) - (portRef I0 (instanceRef un4_size)) (portRef I0 (instanceRef SIZE_DMA_i_0)) - (portRef I0 (instanceRef SIZE_DMA_0_0__m)) + (portRef I0 (instanceRef SIZE_DMA_0_0__n)) + (portRef I0 (instanceRef un4_size)) )) (net (rename SIZE_DMA_1 "SIZE_DMA[1]") (joined (portRef Q (instanceRef SIZE_DMA_1)) - (portRef I0 (instanceRef SIZE_DMA_i_1)) (portRef I0 (instanceRef un3_size)) - (portRef I0 (instanceRef SIZE_DMA_0_1__m)) + (portRef I0 (instanceRef SIZE_DMA_0_1__n)) + (portRef I0 (instanceRef SIZE_DMA_i_1)) )) (net VPA_D (joined (portRef Q (instanceRef VPA_D)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_0_2)) (portRef I0 (instanceRef VPA_D_i)) )) (net UDS_000_INT (joined (portRef Q (instanceRef UDS_000_INT)) - (portRef I0 (instanceRef UDS_000_INT_i)) (portRef I0 (instanceRef UDS_000_INT_0_n)) + (portRef I0 (instanceRef UDS_000_INT_i)) )) (net LDS_000_INT (joined (portRef Q (instanceRef LDS_000_INT)) @@ -1176,57 +1160,50 @@ )) (net RESET_OUT (joined (portRef Q (instanceRef RESET_OUT)) - (portRef I1 (instanceRef un1_as_000_0_i_a3)) - (portRef I1 (instanceRef un2_rw_0_i_a2)) + (portRef I1 (instanceRef un1_as_000_0_0)) (portRef I0 (instanceRef RESET_OUT_i)) + (portRef I1 (instanceRef un2_rw_i_a2_i)) + (portRef I1 (instanceRef un3_as_030_i_a2_i)) )) (net CLK_OUT_PRE_50 (joined (portRef Q (instanceRef CLK_OUT_PRE_50)) (portRef I0 (instanceRef CLK_OUT_PRE_50_i)) - (portRef I1 (instanceRef CLK_OUT_PRE_25_0)) - (portRef D (instanceRef CLK_OUT_EXP_INT)) - )) - (net CLK_OUT_PRE_25 (joined - (portRef Q (instanceRef CLK_OUT_PRE_25)) - (portRef I0 (instanceRef CLK_OUT_PRE_25_0)) (portRef D (instanceRef CLK_OUT_PRE_D)) )) (net CLK_000_D1 (joined (portRef Q (instanceRef CLK_000_D1)) - (portRef I1 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_o3_i_o2_0)) + (portRef I1 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0_o2_0)) (portRef I0 (instanceRef CLK_000_D1_i)) )) (net CLK_000_D0 (joined (portRef Q (instanceRef CLK_000_D0)) - (portRef I0 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_i_0)) + (portRef I0 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a3_0)) (portRef I0 (instanceRef CLK_000_D0_i)) - (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_i_1)) + (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3)) (portRef D (instanceRef CLK_000_D1)) )) (net CLK_000_PE (joined (portRef Q (instanceRef CLK_000_PE)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) - (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) - (portRef I0 (instanceRef CLK_000_PE_i)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_x2)) (portRef I0 (instanceRef G_129)) - (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0_0_a3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_5__n)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) + (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0_a3)) + (portRef I0 (instanceRef CLK_000_PE_i)) (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_1)) )) - (net CLK_OUT_EXP_INT (joined - (portRef Q (instanceRef CLK_OUT_EXP_INT)) - (portRef I0 (instanceRef CLK_OUT_EXP_INT_i)) - )) (net (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (joined (portRef Q (instanceRef CLK_000_P_SYNC_9)) (portRef D (instanceRef CLK_000_PE)) )) (net CLK_000_NE (joined (portRef Q (instanceRef CLK_000_NE)) - (portRef I0 (instanceRef RESET_OUT_2_i_0_o2)) (portRef I0 (instanceRef RST_DLY_e2_i_0_a2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_0)) (portRef I0 (instanceRef CLK_000_NE_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_0_o2)) (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_1)) (portRef D (instanceRef CLK_000_NE_D0)) )) @@ -1248,60 +1225,68 @@ )) (net CLK_000_NE_D0 (joined (portRef Q (instanceRef CLK_000_NE_D0)) - (portRef I1 (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_0_1__r)) (portRef I1 (instanceRef cpu_est_0_3__m)) (portRef I0 (instanceRef cpu_est_0_3__r)) (portRef I1 (instanceRef cpu_est_0_2__m)) (portRef I0 (instanceRef cpu_est_0_2__r)) - (portRef I0 (instanceRef cpu_est_0_0_x2_0_x2_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_2)) + (portRef I1 (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_0_1__r)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_2_0)) + (portRef I0 (instanceRef cpu_est_0_0_x2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a3_0_1_2)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_1_3)) )) + (net (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (joined + (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_0_a3)) + (portRef I0 (instanceRef pos_clk_un6_bg_030_i)) + )) (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0)) (portRef I0 (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef un1_SM_AMIGA_3_i_0_0_a3)) + (portRef I1 (instanceRef un1_SM_AMIGA_3_i_0_a3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) )) (net AMIGA_BUS_ENABLE_DMA_HIGH (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) )) (net DSACK1_INT (joined (portRef Q (instanceRef DSACK1_INT)) (portRef I0 (instanceRef DSACK1_INT_0_n)) (portRef I0 (instanceRef DSACK1)) )) + (net (rename pos_clk_CLK_000_P_SYNC_2_0 "pos_clk.CLK_000_P_SYNC_2[0]") (joined + (portRef O (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a3_0)) + (portRef D (instanceRef CLK_000_P_SYNC_0)) + )) (net (rename pos_clk_ipl "pos_clk.ipl") (joined (portRef O (instanceRef G_137)) - (portRef I1 (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__r)) (portRef I1 (instanceRef IPL_030_0_2__m)) (portRef I0 (instanceRef IPL_030_0_2__r)) (portRef I1 (instanceRef IPL_030_0_1__m)) (portRef I0 (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_0__m)) + (portRef I0 (instanceRef IPL_030_0_0__r)) )) (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined (portRef Q (instanceRef SM_AMIGA_4)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_0_o2_3_a2)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_4)) (portRef I0 (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef un1_SM_AMIGA_5_0_a2)) )) (net DS_000_ENABLE (joined (portRef Q (instanceRef DS_000_ENABLE)) - (portRef I0 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef un4_lds_000)) (portRef I0 (instanceRef un4_uds_000)) + (portRef I0 (instanceRef un4_lds_000)) + (portRef I0 (instanceRef DS_000_ENABLE_0_m)) )) (net (rename RST_DLY_0 "RST_DLY[0]") (joined (portRef Q (instanceRef RST_DLY_0)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_a3)) (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0)) (portRef I0 (instanceRef RST_DLY_i_0)) - (portRef I1 (instanceRef RST_DLY_e0_i_0_a3)) )) (net (rename RST_DLY_1 "RST_DLY[1]") (joined (portRef Q (instanceRef RST_DLY_1)) @@ -1310,7 +1295,7 @@ )) (net (rename RST_DLY_2 "RST_DLY[2]") (joined (portRef Q (instanceRef RST_DLY_2)) - (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0_o2)) + (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1_o2)) (portRef I0 (instanceRef RST_DLY_i_2)) )) (net (rename pos_clk_un8_bg_030 "pos_clk.un8_bg_030") (joined @@ -1392,7 +1377,7 @@ )) (net (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (joined (portRef Q (instanceRef CLK_000_N_SYNC_9)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_a2)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) (portRef D (instanceRef CLK_000_N_SYNC_10)) )) (net (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (joined @@ -1407,7 +1392,7 @@ )) (net RW_000_DMA (joined (portRef Q (instanceRef RW_000_DMA)) - (portRef I0 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_n)) (portRef I0 (instanceRef RW)) )) (net (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (joined @@ -1417,9 +1402,13 @@ )) (net A0_DMA (joined (portRef Q (instanceRef A0_DMA)) - (portRef I0 (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_n)) (portRef I0 (instanceRef A0)) )) + (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined + (portRef O (instanceRef pos_clk_A0_DMA_3_0_a3)) + (portRef I0 (instanceRef A0_DMA_0_m)) + )) (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined (portRef Q (instanceRef SM_AMIGA_6)) (portRef I1 (instanceRef LDS_000_INT_0_m)) @@ -1429,10 +1418,6 @@ (portRef I0 (instanceRef SM_AMIGA_i_6)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_6)) )) - (net DS_000_ENABLE_1_sqmuxa (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_0_a2_0_a3)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i)) - )) (net CLK_030_H (joined (portRef Q (instanceRef CLK_030_H)) (portRef I0 (instanceRef CLK_030_H_i)) @@ -1440,40 +1425,31 @@ )) (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0_a3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1)) + (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_0)) (portRef I0 (instanceRef SM_AMIGA_i_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__r)) )) (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined (portRef Q (instanceRef SM_AMIGA_3)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) (portRef I0 (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_2_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a3_0_2_2)) )) (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined (portRef Q (instanceRef SM_AMIGA_2)) (portRef I0 (instanceRef SM_AMIGA_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a3_2)) )) - (net (rename pos_clk_un3_as_030_d0 "pos_clk.un3_as_030_d0") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) + (net N_3 (joined + (portRef O (instanceRef DS_000_DMA_0_p)) + (portRef I0 (instanceRef N_3_i)) )) - (net DS_000_ENABLE_1_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_a2_0_a3)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) - )) - (net N_4 (joined - (portRef O (instanceRef DSACK1_INT_0_p)) - (portRef I0 (instanceRef N_4_i)) - )) - (net N_6 (joined - (portRef O (instanceRef DS_000_ENABLE_0_p)) - (portRef I0 (instanceRef DS_000_ENABLE_1)) + (net N_8 (joined + (portRef O (instanceRef AS_000_DMA_0_p)) + (portRef I0 (instanceRef N_8_i)) )) (net N_11 (joined (portRef O (instanceRef SIZE_DMA_0_0__p)) @@ -1499,9 +1475,13 @@ (portRef O (instanceRef LDS_000_INT_0_p)) (portRef I0 (instanceRef N_17_i)) )) - (net N_18 (joined - (portRef O (instanceRef RW_000_INT_0_p)) - (portRef I0 (instanceRef N_18_i)) + (net N_19 (joined + (portRef O (instanceRef RW_000_DMA_0_p)) + (portRef I0 (instanceRef N_19_i)) + )) + (net N_20 (joined + (portRef O (instanceRef A0_DMA_0_p)) + (portRef I0 (instanceRef N_20_i)) )) (net N_21 (joined (portRef O (instanceRef UDS_000_INT_0_p)) @@ -1511,6 +1491,10 @@ (portRef O (instanceRef VMA_INT_0_p)) (portRef I0 (instanceRef N_22_i)) )) + (net N_25 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + (portRef I0 (instanceRef N_25_i)) + )) (net N_26 (joined (portRef O (instanceRef BG_000_0_p)) (portRef I0 (instanceRef N_26_i)) @@ -1519,120 +1503,123 @@ (portRef O (instanceRef IPL_030_0_0__p)) (portRef I0 (instanceRef N_27_i)) )) - (net N_30 (joined - (portRef O (instanceRef CLK_OUT_PRE_25_0)) - (portRef D (instanceRef CLK_OUT_PRE_25)) + (net N_28 (joined + (portRef O (instanceRef IPL_030_0_1__p)) + (portRef I0 (instanceRef N_28_i)) )) - (net N_31 (joined + (net N_29 (joined + (portRef O (instanceRef IPL_030_0_2__p)) + (portRef I0 (instanceRef N_29_i)) + )) + (net N_30 (joined (portRef O (instanceRef IPL_030_1_i_0)) (portRef D (instanceRef IPL_030DFF_0)) )) - (net N_32 (joined + (net N_31 (joined (portRef O (instanceRef IPL_030_1_i_1)) (portRef D (instanceRef IPL_030DFF_1)) )) - (net N_33 (joined + (net N_32 (joined (portRef O (instanceRef IPL_030_1_i_2)) (portRef D (instanceRef IPL_030DFF_2)) )) - (net N_34 (joined + (net N_33 (joined (portRef O (instanceRef BG_000_1_i)) (portRef D (instanceRef BG_000DFF)) )) - (net N_35 (joined + (net N_34 (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) (portRef D (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) )) - (net N_36 (joined + (net N_35 (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) (portRef D (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) )) - (net N_38 (joined + (net N_37 (joined (portRef O (instanceRef VMA_INT_1_i)) (portRef D (instanceRef VMA_INT)) )) - (net N_39 (joined + (net N_38 (joined (portRef O (instanceRef UDS_000_INT_1_i)) (portRef D (instanceRef UDS_000_INT)) )) - (net N_40 (joined + (net N_39 (joined (portRef O (instanceRef A0_DMA_1_i)) (portRef D (instanceRef A0_DMA)) )) - (net N_41 (joined + (net N_40 (joined (portRef O (instanceRef RW_000_DMA_2_i)) (portRef D (instanceRef RW_000_DMA)) )) - (net N_42 (joined + (net N_41 (joined (portRef O (instanceRef RW_000_INT_2_i)) (portRef D (instanceRef RW_000_INT)) )) - (net N_43 (joined + (net N_42 (joined (portRef O (instanceRef LDS_000_INT_1_i)) (portRef D (instanceRef LDS_000_INT)) )) - (net N_44 (joined + (net N_43 (joined (portRef O (instanceRef BGACK_030_INT_1_i)) (portRef D (instanceRef BGACK_030_INT)) )) - (net N_46 (joined + (net N_45 (joined (portRef O (instanceRef AS_000_DMA_1_i)) (portRef D (instanceRef AS_000_DMA)) )) - (net N_47 (joined + (net N_46 (joined (portRef O (instanceRef AS_030_000_SYNC_1_i)) (portRef D (instanceRef AS_030_000_SYNC)) )) - (net N_48 (joined + (net N_47 (joined (portRef O (instanceRef AS_000_INT_1_i)) (portRef D (instanceRef AS_000_INT)) )) - (net N_49 (joined + (net N_48 (joined (portRef O (instanceRef DSACK1_INT_1_i)) (portRef D (instanceRef DSACK1_INT)) )) - (net N_50 (joined + (net N_49 (joined (portRef O (instanceRef DS_000_DMA_1_i)) (portRef D (instanceRef DS_000_DMA)) )) - (net N_52 (joined + (net N_51 (joined (portRef O (instanceRef IPL_D0_0_i_0)) (portRef D (instanceRef IPL_D0_0)) )) - (net N_53 (joined + (net N_52 (joined (portRef O (instanceRef IPL_D0_0_i_1)) (portRef D (instanceRef IPL_D0_1)) )) - (net N_54 (joined + (net N_53 (joined (portRef O (instanceRef IPL_D0_0_i_2)) (portRef D (instanceRef IPL_D0_2)) )) - (net N_55 (joined + (net N_54 (joined (portRef O (instanceRef nEXP_SPACE_D0_0_i)) (portRef D (instanceRef nEXP_SPACE_D0)) )) - (net N_56 (joined + (net N_55 (joined (portRef O (instanceRef VPA_D_0_i)) (portRef D (instanceRef VPA_D)) )) - (net N_57 (joined + (net N_56 (joined (portRef O (instanceRef DTACK_D0_0_i)) (portRef D (instanceRef DTACK_D0)) )) - (net N_60 (joined + (net N_59 (joined (portRef O (instanceRef DS_000_ENABLE_1)) (portRef D (instanceRef DS_000_ENABLE)) )) (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined (portRef Q (instanceRef SM_AMIGA_i_7)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_o2_6)) (portRef I0 (instanceRef SM_AMIGA_i_i_7)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__m)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_2)) - (portRef I1 (instanceRef un1_SM_AMIGA_3_i_0_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_o2_6)) + (portRef I1 (instanceRef un1_SM_AMIGA_5_0_o3_2)) + (portRef I1 (instanceRef un1_SM_AMIGA_3_i_0)) )) - (net N_124 (joined - (portRef O (instanceRef un1_SM_AMIGA_3_i_0_0_i)) + (net N_123 (joined + (portRef O (instanceRef un1_SM_AMIGA_3_i_0_i)) (portRef I1 (instanceRef RW_000_INT_0_m)) (portRef I0 (instanceRef RW_000_INT_0_r)) )) @@ -1644,9 +1631,9 @@ (portRef O (instanceRef cpu_est_2_0_0_0_i_2)) (portRef I0 (instanceRef cpu_est_0_2__m)) )) - (net (rename cpu_est_2_3 "cpu_est_2[3]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_i_3)) - (portRef I0 (instanceRef cpu_est_0_3__m)) + (net N_209 (joined + (portRef O (instanceRef G_129)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) )) (net N_213 (joined (portRef O (instanceRef G_134)) @@ -1660,523 +1647,503 @@ (portRef O (instanceRef G_136)) (portRef I0 (instanceRef N_215_i)) )) - (net N_269 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_i)) - (portRef I1 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_r)) + (net N_217 (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_1)) + )) + (net N_258 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_i_6)) + (portRef D (instanceRef SM_AMIGA_6)) )) (net N_61 (joined (portRef O (instanceRef un8_ciin_i_0_0_i)) (portRef OE (instanceRef CIIN)) )) - (net N_69 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i_0_0_o3_i)) - (portRef D (instanceRef BGACK_030_INT_D)) - )) - (net N_98 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_a3)) - (portRef I0 (instanceRef N_98_i)) - )) - (net N_282 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_i_6)) - (portRef D (instanceRef SM_AMIGA_6)) + (net N_127 (joined + (portRef O (instanceRef RST_DLYlde_i_a4_0_a2)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_1)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_2)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_a3_0)) )) (net N_283 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_i_2)) + (portRef O (instanceRef SM_AMIGA_srsts_i_i_i_2)) (portRef D (instanceRef SM_AMIGA_2)) )) - (net N_355 (joined + (net N_80 (joined + (portRef O (instanceRef un14_amiga_bus_data_dir_0_a2_i_i)) + (portRef I0 (instanceRef RW_000_DMA_0_m)) + )) + (net N_88 (joined + (portRef O (instanceRef AS_030_D0_0_i_a2_i_i)) + (portRef D (instanceRef AS_030_D0)) + )) + (net N_90 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_i)) + (portRef I0 (instanceRef DS_000_DMA_0_m)) + )) + (net N_96 (joined (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_i)) (portRef I1 (instanceRef DS_000_DMA_0_m)) (portRef I0 (instanceRef DS_000_DMA_0_r)) )) - (net N_358 (joined - (portRef O (instanceRef AS_030_D0_0_i_a2_i_i)) - (portRef D (instanceRef AS_030_D0)) - )) - (net N_128 (joined - (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_i_i)) - (portRef I1 (instanceRef pos_clk_un8_bg_030)) - )) - (net N_137 (joined - (portRef O (instanceRef un6_as_030_i_0_i)) - (portRef I0 (instanceRef AS_030)) - )) - (net N_145 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_3_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_o2_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_o2_6)) - )) - (net N_148 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_o2_0_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_1)) - )) - (net N_150 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_1_0)) - )) - (net N_152 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2_0_i)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0_a2)) - )) - (net N_154 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_o2_i_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_3)) - )) - (net N_156 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_o2_i_2)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_2)) - )) - (net N_159 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2_i)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_a3)) - )) - (net N_161 (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_i)) - (portRef I0 (instanceRef AS_000_DMA_0_m)) - )) - (net N_165 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_1_1_0)) - )) - (net N_168 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_i_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_1_3)) - )) - (net N_171 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_1_0)) - )) - (net N_174 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_o2_i_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_6)) - )) - (net N_175 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_o2_i_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_2)) - )) - (net N_178 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_4)) - )) - (net N_181 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0)) - )) - (net N_183 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) - )) - (net N_188 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__p)) - (portRef I0 (instanceRef N_188_i)) - )) - (net N_190 (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__p)) - (portRef I0 (instanceRef N_190_i)) - )) - (net N_193 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__p)) - (portRef I0 (instanceRef N_193_i)) - )) - (net N_195 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a3)) - (portRef I0 (instanceRef N_195_i)) - )) - (net N_200 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_a3_0)) - (portRef I0 (instanceRef N_200_i)) - )) - (net N_205 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_5)) - (portRef I0 (instanceRef N_205_i)) - )) - (net N_206 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0)) - (portRef I0 (instanceRef N_206_i)) - )) - (net N_207 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_0)) - (portRef I0 (instanceRef N_207_i)) - )) - (net N_208 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_1_0)) - (portRef I0 (instanceRef N_208_i)) - )) - (net N_210 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_0)) - (portRef I0 (instanceRef N_210_i)) - )) - (net N_211 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) - (portRef I0 (instanceRef N_211_i)) - )) - (net N_212 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_3)) - (portRef I0 (instanceRef N_212_i)) - )) - (net N_373 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3)) - (portRef I0 (instanceRef N_373_i)) - )) - (net N_375 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0)) - (portRef I0 (instanceRef N_375_i)) - )) - (net N_216 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a3_1)) - (portRef I0 (instanceRef N_216_i)) - )) - (net N_218 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_0_1)) - (portRef I0 (instanceRef N_218_i)) - )) - (net N_219 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_2)) - (portRef I0 (instanceRef N_219_i)) - )) - (net N_220 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_2)) - (portRef I0 (instanceRef N_220_i)) - )) - (net N_221 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_4)) - (portRef I0 (instanceRef N_221_i)) - )) - (net N_222 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_4)) - (portRef I0 (instanceRef N_222_i)) - )) - (net N_224 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_6)) - (portRef I0 (instanceRef N_224_i)) - )) - (net N_225 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) - (portRef I0 (instanceRef N_225_i)) - )) - (net N_226 (joined - (portRef O (instanceRef CLK_030_H_2_0_a2_i_a3)) - (portRef I0 (instanceRef N_226_i)) - )) - (net N_228 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_a3)) - (portRef I0 (instanceRef N_228_i)) - )) - (net N_230 (joined - (portRef O (instanceRef un1_as_000_0_i_a3)) - (portRef OE (instanceRef AS_000)) - (portRef OE (instanceRef LDS_000)) - (portRef OE (instanceRef RW_000)) - (portRef OE (instanceRef UDS_000)) - )) - (net N_231 (joined - (portRef O (instanceRef un3_as_030_0_i_a3)) - (portRef OE (instanceRef A0)) - (portRef OE (instanceRef AS_030)) - (portRef OE (instanceRef DS_030)) - )) - (net N_240 (joined - (portRef O (instanceRef un1_SM_AMIGA_3_i_0_0_a3)) - (portRef I0 (instanceRef N_240_i)) - )) - (net N_241 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_1)) - (portRef I0 (instanceRef N_241_i)) - )) - (net N_277 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a3_2)) - (portRef I0 (instanceRef N_277_i)) - )) - (net N_278 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a3_3)) - (portRef I0 (instanceRef N_278_i)) - )) - (net N_279 (joined - (portRef O (instanceRef un5_e_0_0_a3)) - (portRef I0 (instanceRef N_279_i)) - )) - (net N_280 (joined - (portRef O (instanceRef un5_e_0_0_a3_0)) - (portRef I0 (instanceRef N_280_i)) - )) - (net N_281 (joined - (portRef O (instanceRef RST_DLY_e0_i_0_a3)) - (portRef I0 (instanceRef N_281_i)) - )) - (net N_302 (joined - (portRef O (instanceRef RST_DLY_e0_i_0_a3_0)) - (portRef I0 (instanceRef N_302_i)) - )) - (net N_313 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0)) - (portRef I0 (instanceRef N_313_i)) - )) - (net N_318 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_0_o2_3_a2)) - (portRef I0 (instanceRef N_318_i)) - )) - (net N_321 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_a2)) - (portRef I0 (instanceRef N_321_i)) - )) - (net N_324 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) - (portRef I0 (instanceRef N_324_i)) - )) - (net N_326 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) - (portRef I0 (instanceRef N_326_i)) - )) - (net N_329 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0)) - (portRef I0 (instanceRef N_329_i)) - )) - (net N_332 (joined - (portRef O (instanceRef un2_rw_0_i_a2)) - (portRef I0 (instanceRef un3_as_030_0_i_a3)) - (portRef OE (instanceRef RW)) - )) - (net N_348 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a2_2)) - (portRef I0 (instanceRef N_348_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_1)) - )) - (net N_189_i (joined - (portRef O (instanceRef cpu_est_0_0_x2_0_x2_0)) - (portRef D (instanceRef cpu_est_0)) - )) - (net N_191_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_x2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) - )) - (net N_192_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_x2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_1)) - )) - (net N_235 (joined - (portRef O (instanceRef RESET_OUT_2_i_0_a3)) - (portRef I0 (instanceRef N_235_i)) - )) - (net N_196 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a3_0)) - (portRef I0 (instanceRef N_196_i)) - )) - (net N_143 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_o2_i)) - (portRef I0 (instanceRef RST_DLY_e0_i_0_a3)) - )) - (net N_158 (joined - (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0_o2_i)) - (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a2)) - )) - (net N_198 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a3_2)) - (portRef I0 (instanceRef N_198_i)) - )) - (net N_199 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_a3)) - (portRef I0 (instanceRef N_199_i)) - )) - (net N_307 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a2)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3)) - (portRef I0 (instanceRef N_307_i)) - )) - (net N_201 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_a3_1)) - (portRef I0 (instanceRef N_201_i)) - )) - (net N_182 (joined - (portRef O (instanceRef RESET_OUT_2_i_0_o2_i)) - (portRef I0 (instanceRef RESET_OUT_2_i_0_a3)) - )) - (net N_243_2 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_2_i)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_0)) - )) - (net N_8 (joined - (portRef O (instanceRef AS_000_DMA_0_p)) - (portRef I0 (instanceRef N_8_i)) - )) - (net N_356 (joined + (net N_99 (joined (portRef O (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i_i)) (portRef I1 (instanceRef AS_000_DMA_0_m)) (portRef I0 (instanceRef AS_000_DMA_0_r)) )) - (net N_10 (joined - (portRef O (instanceRef BGACK_030_INT_0_p)) - (portRef I0 (instanceRef N_10_i)) + (net N_119 (joined + (portRef O (instanceRef cpu_est_2_i_0_i_i_3)) + (portRef I0 (instanceRef cpu_est_0_3__m)) + )) + (net N_124 (joined + (portRef O (instanceRef un5_e_i_i_i)) + (portRef I0 (instanceRef E)) + )) + (net N_138 (joined + (portRef O (instanceRef un6_as_030_i_0_i)) + (portRef I0 (instanceRef AS_030)) + )) + (net N_144 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a3)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_0)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_a3)) + )) + (net N_158 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_0_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_1_0)) + )) + (net N_168 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_1_0)) + )) + (net N_175 (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2_0_i)) + (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) + )) + (net N_182 (joined + (portRef O (instanceRef cpu_est_2_i_0_i_o2_i_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_i_3)) + )) + (net N_185 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_o2_i_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_2)) + )) + (net N_187 (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1_o2_i)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1)) + )) + (net N_188 (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2_i)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a3)) + )) + (net N_192 (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_i)) + (portRef I0 (instanceRef AS_000_DMA_0_m)) + )) + (net N_193 (joined + (portRef O (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3_i)) + (portRef I1 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + )) + (net N_197 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_0_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_1_1_0)) + )) + (net N_201 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_0_i_2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_2_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a3_0_1_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_1_3)) + )) + (net N_204 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_1_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_0_1_0)) + )) + (net N_206 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_o2_i_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_6)) + )) + (net N_207 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_i_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a3_1_2)) + )) + (net N_210 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_o3_i)) + (portRef D (instanceRef BGACK_030_INT_D)) + )) + (net N_211 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a3_5)) + )) + (net N_212 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a3_1)) + )) + (net N_290 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_2_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_0)) + )) + (net N_216 (joined + (portRef O (instanceRef RESET_OUT_2_i_0_o2_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_0_a3)) + )) + (net N_219 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a3_0)) + )) + (net N_220 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) + )) + (net N_221 (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i_o2_i)) + (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_a3)) + )) + (net N_227 (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) + )) + (net N_229 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_1)) + )) + (net N_230 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_5__p)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_5)) + )) + (net N_236 (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_a3)) + (portRef I0 (instanceRef N_236_i)) + )) + (net N_240 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a3)) + (portRef I0 (instanceRef N_240_i)) + )) + (net N_241 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a3_0)) + (portRef I0 (instanceRef N_241_i)) + )) + (net N_242 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a3_1)) + (portRef I0 (instanceRef N_242_i)) + )) + (net N_246 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a3_2)) + (portRef I0 (instanceRef N_246_i)) + )) + (net N_254 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a3)) + (portRef I0 (instanceRef N_254_i)) + )) + (net N_266 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a3_0)) + (portRef I0 (instanceRef N_266_i)) + )) + (net N_267 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a3_1)) + (portRef I0 (instanceRef N_267_i)) + )) + (net N_275 (joined + (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a3)) + (portRef I0 (instanceRef N_275_i)) + )) + (net N_277 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a3_0)) + (portRef I0 (instanceRef N_277_i)) + )) + (net N_278 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3)) + (portRef I0 (instanceRef N_278_i)) + )) + (net N_297 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3_0)) + (portRef I0 (instanceRef N_297_i)) + )) + (net N_300 (joined + (portRef O (instanceRef un1_SM_AMIGA_3_i_0_a3)) + (portRef I0 (instanceRef N_300_i)) + )) + (net N_301 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a3_1)) + (portRef I0 (instanceRef N_301_i)) + )) + (net N_303 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a3_2)) + (portRef I0 (instanceRef N_303_i)) + )) + (net N_304 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a3_0_2)) + (portRef I0 (instanceRef N_304_i)) + )) + (net N_305 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a3_4)) + (portRef I0 (instanceRef N_305_i)) + )) + (net N_307 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a3_0_4)) + (portRef I0 (instanceRef N_307_i)) + )) + (net N_310 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a3_5)) + (portRef I0 (instanceRef N_310_i)) + )) + (net N_312 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_0)) + (portRef I0 (instanceRef N_312_i)) + )) + (net N_313 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_0_0)) + (portRef I0 (instanceRef N_313_i)) + )) + (net N_316 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_1_0)) + (portRef I0 (instanceRef N_316_i)) + )) + (net N_317 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_2_0)) + (portRef I0 (instanceRef N_317_i)) + )) + (net N_320 (joined + (portRef O (instanceRef RESET_OUT_2_i_0_a3)) + (portRef I0 (instanceRef N_320_i)) + )) + (net N_321 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_a3)) + (portRef I0 (instanceRef N_321_i)) + )) + (net N_322 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_a3_0)) + (portRef I0 (instanceRef N_322_i)) + )) + (net N_323 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) + (portRef I0 (instanceRef N_323_i)) + )) + (net N_324 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_3)) + (portRef I0 (instanceRef N_324_i)) + )) + (net N_325 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_6)) + (portRef I0 (instanceRef N_325_i)) + )) + (net N_326 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) + (portRef I0 (instanceRef N_326_i)) + )) + (net N_328 (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i_a3)) + (portRef I0 (instanceRef N_328_i)) + )) + (net N_330 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_a3)) + (portRef I0 (instanceRef N_330_i)) + )) + (net N_331 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_a3)) + (portRef I0 (instanceRef N_331_i)) + )) + (net N_332 (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3)) + (portRef I0 (instanceRef N_332_i)) + )) + (net N_336 (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0)) + (portRef I0 (instanceRef N_336_i)) + )) + (net N_338 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a3_1)) + (portRef I0 (instanceRef N_338_i)) + )) + (net N_339 (joined + (portRef O (instanceRef cpu_est_2_0_0_a3_1_1)) + (portRef I0 (instanceRef N_339_i)) + )) + (net N_340 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a3_2)) + (portRef I0 (instanceRef N_340_i)) + )) + (net N_341 (joined + (portRef O (instanceRef cpu_est_2_i_0_i_a3_3)) + (portRef I0 (instanceRef N_341_i)) + )) + (net N_342 (joined + (portRef O (instanceRef un5_e_i_i_a3)) + (portRef I0 (instanceRef N_342_i)) + )) + (net N_343 (joined + (portRef O (instanceRef un5_e_i_i_a3_0)) + (portRef I0 (instanceRef N_343_i)) + )) + (net N_344 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a2)) + (portRef I0 (instanceRef N_344_i)) + )) + (net N_345 (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_a2)) + (portRef I0 (instanceRef N_345_i)) + )) + (net N_347 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_0)) + (portRef I0 (instanceRef N_347_i)) + )) + (net N_350 (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) + (portRef I0 (instanceRef N_350_i)) + )) + (net N_351 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2)) + (portRef I0 (instanceRef N_351_i)) + )) + (net N_353 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_0_2)) + (portRef I0 (instanceRef N_353_i)) + )) + (net N_361 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a2_2)) + (portRef I0 (instanceRef N_361_i)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_1)) + )) + (net N_140_i (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_x2)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_2)) + )) + (net N_228_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) + )) + (net N_231_i (joined + (portRef O (instanceRef cpu_est_0_0_x2_0)) + (portRef D (instanceRef cpu_est_0)) + )) + (net N_233_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + )) + (net un22_berr_1 (joined + (portRef O (instanceRef un22_berr_0_a2_0_a3_1)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a3_1_0)) + )) + (net N_375 (joined + (portRef O (instanceRef un22_berr_0_a2_0_a2)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a3_0)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a3)) + )) + (net N_218 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a3_4)) + )) + (net N_156 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_0_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_o2_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) + )) + (net N_289 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a3)) + )) + (net N_354 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0)) + (portRef I0 (instanceRef N_354_i)) + )) + (net N_205 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a3_0)) + )) + (net un1_SM_AMIGA_5 (joined + (portRef O (instanceRef un1_SM_AMIGA_5_0_o3_i)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_0_a3)) + )) + (net DS_000_ENABLE_1_sqmuxa (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_0_a3)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i)) + )) + (net N_349 (joined + (portRef O (instanceRef un1_SM_AMIGA_5_0_a2)) + (portRef I0 (instanceRef N_349_i)) + )) + (net (rename pos_clk_un3_as_030_d0 "pos_clk.un3_as_030_d0") (joined + (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o3_i)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) + )) + (net N_286 (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i_i)) + (portRef I1 (instanceRef SIZE_DMA_0_0__m)) + (portRef I0 (instanceRef SIZE_DMA_0_0__r)) + (portRef I1 (instanceRef SIZE_DMA_0_1__m)) + (portRef I0 (instanceRef SIZE_DMA_0_1__r)) )) (net (rename pos_clk_un6_bgack_000 "pos_clk.un6_bgack_000") (joined (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_i)) (portRef I1 (instanceRef BGACK_030_INT_0_m)) (portRef I0 (instanceRef BGACK_030_INT_0_r)) )) - (net N_19 (joined - (portRef O (instanceRef RW_000_DMA_0_p)) - (portRef I0 (instanceRef N_19_i)) - )) - (net N_352 (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_0_a2_i_i)) - (portRef I0 (instanceRef RW_000_DMA_0_n)) - )) - (net N_327 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_a2)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_r)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_1)) - )) - (net N_20 (joined - (portRef O (instanceRef A0_DMA_0_p)) - (portRef I0 (instanceRef N_20_i)) - )) - (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined - (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2_0_a3)) - (portRef I0 (instanceRef A0_DMA_0_n)) - )) - (net N_24 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) - (portRef I0 (instanceRef N_24_i)) - )) - (net N_113 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3)) - (portRef I0 (instanceRef N_113_i)) - )) - (net N_25 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - (portRef I0 (instanceRef N_25_i)) - )) - (net N_114 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) - (portRef I0 (instanceRef N_114_i)) - )) - (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_0)) - (portRef I0 (instanceRef SIZE_DMA_0_0__n)) - )) - (net N_232 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) - (portRef I1 (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef SIZE_DMA_0_1__r)) - (portRef I1 (instanceRef SIZE_DMA_0_0__m)) - (portRef I0 (instanceRef SIZE_DMA_0_0__r)) + (net N_358 (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef N_358_i)) )) (net (rename pos_clk_SIZE_DMA_6_1 "pos_clk.SIZE_DMA_6[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_1)) - (portRef I0 (instanceRef SIZE_DMA_0_1__n)) + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) + (portRef I0 (instanceRef SIZE_DMA_0_1__m)) )) - (net N_410 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - (portRef I0 (instanceRef N_410_i)) - (portRef I1 (instanceRef un22_berr_0_a2_0_a3_1)) + (net N_299 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a3_1)) + (portRef I0 (instanceRef N_299_i)) )) - (net N_185 (joined - (portRef O (instanceRef CLK_030_H_2_0_a2_i_o2_i)) - (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_a3)) + (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) + (portRef I0 (instanceRef SIZE_DMA_0_0__m)) )) - (net N_236 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) - (portRef I0 (instanceRef N_236_i)) + (net N_298 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a3_0)) + (portRef I0 (instanceRef N_298_i)) )) - (net N_238 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) - (portRef I0 (instanceRef N_238_i)) + (net N_281 (joined + (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_i)) + (portRef I1 (instanceRef DSACK1_INT_0_m)) + (portRef I0 (instanceRef DSACK1_INT_0_r)) )) - (net N_173 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_i_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) - )) - (net N_239 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) - (portRef I0 (instanceRef N_239_i)) - )) - (net N_331 (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_a2)) - (portRef I0 (instanceRef N_331_i)) - )) - (net N_237 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) - (portRef I0 (instanceRef N_237_i)) - )) - (net un22_berr_1 (joined - (portRef O (instanceRef un22_berr_0_a2_0_a3_1)) - (portRef I0 (instanceRef un22_berr_0_a2_0_a3_1_0)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3)) - )) - (net N_233 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_a3)) - (portRef I0 (instanceRef N_233_i)) - )) - (net N_209 (joined - (portRef O (instanceRef G_129)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_x2)) - )) - (net N_184 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0)) - )) - (net N_180 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_5)) - )) - (net N_179 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_1)) - )) - (net N_139_i (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_1)) - )) - (net N_312 (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_a2)) - (portRef I0 (instanceRef N_312_i)) - )) - (net N_270 (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_0_i)) + (net N_280 (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_i)) (portRef I1 (instanceRef AS_000_INT_0_m)) (portRef I0 (instanceRef AS_000_INT_0_r)) )) - (net N_357 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_i)) + (net N_279 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i)) (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) )) - (net N_354 (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_i)) - (portRef I0 (instanceRef DS_000_DMA_0_m)) + (net N_271 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a3)) + (portRef I0 (instanceRef N_271_i)) )) - (net N_227 (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_a3)) - (portRef I0 (instanceRef N_227_i)) + (net N_272 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a3_0)) + (portRef I0 (instanceRef N_272_i)) )) - (net N_378 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_0)) - (portRef I0 (instanceRef N_378_i)) + (net N_276 (joined + (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a3)) + (portRef I0 (instanceRef N_276_i)) )) - (net N_29 (joined - (portRef O (instanceRef IPL_030_0_2__p)) - (portRef I0 (instanceRef N_29_i)) + (net DS_000_ENABLE_1_sqmuxa_1 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_0_a3)) + (portRef I1 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_r)) )) - (net N_28 (joined - (portRef O (instanceRef IPL_030_0_1__p)) - (portRef I0 (instanceRef N_28_i)) - )) - (net N_3 (joined - (portRef O (instanceRef DS_000_DMA_0_p)) - (portRef I0 (instanceRef N_3_i)) + (net N_4 (joined + (portRef O (instanceRef DSACK1_INT_0_p)) + (portRef I0 (instanceRef N_4_i)) )) (net N_5 (joined (portRef O (instanceRef AS_000_INT_0_p)) @@ -2186,9 +2153,21 @@ (portRef O (instanceRef AS_030_000_SYNC_0_p)) (portRef I0 (instanceRef N_7_i)) )) - (net N_190_i (joined - (portRef O (instanceRef N_190_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) + (net N_10 (joined + (portRef O (instanceRef BGACK_030_INT_0_p)) + (portRef I0 (instanceRef N_10_i)) + )) + (net N_18 (joined + (portRef O (instanceRef RW_000_INT_0_p)) + (portRef I0 (instanceRef N_18_i)) + )) + (net N_24 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (portRef I0 (instanceRef N_24_i)) + )) + (net N_6 (joined + (portRef O (instanceRef DS_000_ENABLE_0_p)) + (portRef I0 (instanceRef DS_000_ENABLE_1)) )) (net un1_amiga_bus_enable_low_i (joined (portRef O (instanceRef un1_amiga_bus_enable_low_i)) @@ -2198,195 +2177,65 @@ (portRef O (instanceRef un21_fpu_cs_i)) (portRef I0 (instanceRef FPU_CS)) )) - (net CLK_OUT_EXP_INT_i (joined - (portRef O (instanceRef CLK_OUT_EXP_INT_i)) - (portRef I0 (instanceRef CLK_EXP)) - )) - (net AS_000_i (joined - (portRef O (instanceRef I_193)) - (portRef I1 (instanceRef un6_as_030_i_0)) - (portRef I0 (instanceRef un6_ds_030)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_1)) - )) - (net DS_000_DMA_i (joined - (portRef O (instanceRef DS_000_DMA_i)) - (portRef I1 (instanceRef un6_ds_030)) - )) - (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined - (portRef O (instanceRef SM_AMIGA_i_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_4)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_0)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef AS_000_INT_0_m)) - (portRef I1 (instanceRef un1_SM_AMIGA_3_i_0_0_1)) - )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_5_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_5)) - )) - (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_5_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0)) - )) - (net CLK_000_NE_i (joined - (portRef O (instanceRef CLK_000_NE_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_2)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_4)) - (portRef I0 (instanceRef RST_DLY_e0_i_0_a3_0_1)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_1_0)) - )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_6_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_3)) - )) - (net RW_000_i (joined - (portRef O (instanceRef I_194)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) - (portRef I1 (instanceRef un14_amiga_bus_data_dir_0_a2_i)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_a3)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) - )) - (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined - (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_6_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_1)) - )) - (net CLK_000_D0_i (joined - (portRef O (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_o3_i_o2_0)) - )) - (net BERR_i (joined - (portRef O (instanceRef I_195)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__m)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_1_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_1_1_0)) - )) - (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined - (portRef O (instanceRef SM_AMIGA_i_1)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_0)) - )) - (net CLK_000_PE_i (joined - (portRef O (instanceRef CLK_000_PE_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o2_3_a2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_3_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__n)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__n)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_0)) - )) - (net N_410_i_0 (joined - (portRef O (instanceRef N_410_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_1)) - )) - (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined - (portRef O (instanceRef SM_AMIGA_i_i_7)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) - )) - (net AS_030_i (joined - (portRef O (instanceRef I_196)) - (portRef I1 (instanceRef un4_as_000)) - (portRef I0 (instanceRef AS_030_D0_0_i_a2_i)) - (portRef I1 (instanceRef un22_berr_0_a2_0_a3_1_0)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) - )) - (net FPU_SENSE_i (joined - (portRef O (instanceRef FPU_SENSE_i)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) - )) - (net nEXP_SPACE_D0_i (joined - (portRef O (instanceRef nEXP_SPACE_D0_i)) - (portRef I0 (instanceRef un8_ciin_i_0_0)) - (portRef I1 (instanceRef un1_as_030_0_0)) - (portRef I1 (instanceRef un3_as_030_0_i_a3)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) - )) (net BGACK_030_INT_i (joined (portRef O (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef un1_as_030_0_0)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i_0_0_o3)) - (portRef I0 (instanceRef un2_rw_0_i_a2)) - (portRef I1 (instanceRef un1_amiga_bus_enable_low)) - (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2_0_a3)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a3)) + (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a3)) (portRef I0 (instanceRef un14_amiga_bus_data_dir_0_a2_i)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) - )) - (net AMIGA_BUS_ENABLE_DMA_HIGH_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_i)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__n)) - )) - (net A1_i (joined - (portRef O (instanceRef A1_i)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) - )) - (net CLK_030_H_i (joined - (portRef O (instanceRef CLK_030_H_i)) - (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_a3)) - )) - (net (rename A_i_16 "A_i[16]") (joined - (portRef O (instanceRef A_i_16)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - )) - (net (rename A_i_18 "A_i[18]") (joined - (portRef O (instanceRef A_i_18)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net (rename A_i_19 "A_i[19]") (joined - (portRef O (instanceRef A_i_19)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net N_114_i (joined - (portRef O (instanceRef N_114_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net N_113_i (joined - (portRef O (instanceRef N_113_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - )) - (net AS_000_INT_i (joined - (portRef O (instanceRef AS_000_INT_i)) - (portRef I0 (instanceRef un4_as_000)) + (portRef I0 (instanceRef un1_as_030_i_a4_i_o3)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_o3)) + (portRef I0 (instanceRef un2_rw_i_a2_i)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a3)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a3_0)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a3_1)) + (portRef I1 (instanceRef un1_amiga_bus_enable_low)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_1)) )) (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) (portRef I0 (instanceRef un1_amiga_bus_enable_low)) )) - (net (rename RST_DLY_i_2 "RST_DLY_i[2]") (joined - (portRef O (instanceRef RST_DLY_i_2)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_2)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a3)) + (net UDS_000_INT_i (joined + (portRef O (instanceRef UDS_000_INT_i)) + (portRef I1 (instanceRef un4_uds_000)) )) - (net (rename RST_DLY_i_0 "RST_DLY_i[0]") (joined - (portRef O (instanceRef RST_DLY_i_0)) - (portRef I1 (instanceRef RST_DLY_e0_i_0_a3_0_1)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_0_1)) + (net LDS_000_INT_i (joined + (portRef O (instanceRef LDS_000_INT_i)) + (portRef I1 (instanceRef un4_lds_000)) )) - (net (rename RST_DLY_i_1 "RST_DLY_i[1]") (joined - (portRef O (instanceRef RST_DLY_i_1)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_1)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_0)) + (net N_236_i (joined + (portRef O (instanceRef N_236_i)) + (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i)) + (portRef I0 (instanceRef DSACK1_INT_0_m)) )) - (net RESET_OUT_i (joined - (portRef O (instanceRef RESET_OUT_i)) - (portRef I1 (instanceRef RESET_OUT_2_i_0_a3)) - (portRef OE (instanceRef RESET)) + (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined + (portRef O (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_0_0)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a3_4)) + (portRef I0 (instanceRef AS_000_INT_0_m)) + (portRef I1 (instanceRef un1_SM_AMIGA_3_i_0_1)) + )) + (net DS_000_ENABLE_1_sqmuxa_i (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i)) + (portRef I0 (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o3_1)) + )) + (net N_276_i (joined + (portRef O (instanceRef N_276_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + )) + (net RST_i (joined + (portRef O (instanceRef RST_i)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_1)) + )) + (net (rename A_i_19 "A_i[19]") (joined + (portRef O (instanceRef A_i_19)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a2_3)) + )) + (net (rename A_i_18 "A_i[18]") (joined + (portRef O (instanceRef A_i_18)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a2_3)) )) (net (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (joined (portRef O (instanceRef SIZE_DMA_i_1)) @@ -2396,9 +2245,61 @@ (portRef O (instanceRef SIZE_DMA_i_0)) (portRef I1 (instanceRef un3_size)) )) + (net RW_i (joined + (portRef O (instanceRef I_195)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_0_a3)) + )) + (net CLK_000_PE_i (joined + (portRef O (instanceRef CLK_000_PE_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_0_0)) + (portRef I0 (instanceRef un1_SM_AMIGA_5_0_a2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_0_0)) + )) + (net (rename A_i_16 "A_i[16]") (joined + (portRef O (instanceRef A_i_16)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a2_2)) + )) + (net RESET_OUT_i (joined + (portRef O (instanceRef RESET_OUT_i)) + (portRef I1 (instanceRef RESET_OUT_2_i_0_a3)) + (portRef OE (instanceRef RESET)) + )) + (net BERR_i (joined + (portRef O (instanceRef I_196)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_0_1_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_1_1_0)) + )) + (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined + (portRef O (instanceRef SM_AMIGA_i_i_7)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) + )) + (net nEXP_SPACE_D0_i (joined + (portRef O (instanceRef nEXP_SPACE_D0_i)) + (portRef I0 (instanceRef un8_ciin_i_0_0)) + (portRef I1 (instanceRef un1_as_030_i_a4_i_o3)) + )) + (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined + (portRef O (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a3_0_4)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_5_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_3)) + )) + (net FPU_SENSE_i (joined + (portRef O (instanceRef FPU_SENSE_i)) + (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) + )) + (net AS_030_i (joined + (portRef O (instanceRef I_197)) + (portRef I1 (instanceRef un4_as_000)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a3_1)) + (portRef I0 (instanceRef AS_030_D0_0_i_a2_i)) + )) (net AS_030_D0_i (joined (portRef O (instanceRef AS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3)) + (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o3)) (portRef I0 (instanceRef un5_ciin_0_a2_0_a3_1)) )) (net (rename A_i_24 "A_i[24]") (joined @@ -2408,54 +2309,120 @@ (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined (portRef O (instanceRef SM_AMIGA_i_3)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_o2_2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_0)) - )) - (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined - (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_2)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_2)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_0_1)) - (portRef I1 (instanceRef un5_e_0_0_a3_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_o2_2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_0)) )) (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined (portRef O (instanceRef cpu_est_i_0)) (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_1)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_o2_3)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_2)) + (portRef I1 (instanceRef cpu_est_2_i_0_i_o2_3)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_1)) )) - (net VPA_D_i (joined - (portRef O (instanceRef VPA_D_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_2_3)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_2)) - )) - (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined - (portRef O (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_o2)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_0_1_1)) - )) - (net CLK_030_i (joined - (portRef O (instanceRef CLK_030_i)) - (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_o2)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) - )) - (net CLK_000_D1_i (joined - (portRef O (instanceRef CLK_000_D1_i)) - (portRef I1 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_i_0)) + (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined + (portRef O (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_2)) + (portRef I1 (instanceRef un5_e_i_i_a3_0)) + (portRef I1 (instanceRef cpu_est_2_0_0_a3_1_1)) )) (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined (portRef O (instanceRef cpu_est_i_2)) (portRef I1 (instanceRef cpu_est_2_0_0_0_a2_2)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_o2)) + (portRef I1 (instanceRef un5_e_i_i_o2)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_2)) + )) + (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined + (portRef O (instanceRef cpu_est_i_1)) + (portRef I0 (instanceRef VMA_INT_0_m)) + (portRef I1 (instanceRef un5_e_i_i_a3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2_2)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_2)) + (portRef I1 (instanceRef cpu_est_2_0_0_a3_1_1_1)) + )) + (net VPA_D_i (joined + (portRef O (instanceRef VPA_D_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2_2)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_2)) + )) + (net CLK_000_NE_i (joined + (portRef O (instanceRef CLK_000_NE_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a3_0_4)) + (portRef I0 (instanceRef RST_DLYlde_i_a4_0_a2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_1_0)) + )) + (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined + (portRef O (instanceRef SM_AMIGA_i_1)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_0_0)) + )) + (net (rename RST_DLY_i_2 "RST_DLY_i[2]") (joined + (portRef O (instanceRef RST_DLY_i_2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a3)) + )) + (net CLK_030_i (joined + (portRef O (instanceRef CLK_030_i)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) + (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_o2)) + )) + (net (rename RST_DLY_i_0 "RST_DLY_i[0]") (joined + (portRef O (instanceRef RST_DLY_i_0)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_a3_0)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_0_1)) + )) + (net (rename RST_DLY_i_1 "RST_DLY_i[1]") (joined + (portRef O (instanceRef RST_DLY_i_1)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_1)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_0)) + )) + (net CLK_000_D1_i (joined + (portRef O (instanceRef CLK_000_D1_i)) + (portRef I1 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a3_0)) )) (net DTACK_D0_i (joined (portRef O (instanceRef DTACK_D0_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_0_2)) )) - (net RW_i (joined - (portRef O (instanceRef I_197)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_0_a2_0_a3)) + (net RW_000_i (joined + (portRef O (instanceRef I_198)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_a3)) + (portRef I1 (instanceRef un14_amiga_bus_data_dir_0_a2_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) + )) + (net CLK_030_H_i (joined + (portRef O (instanceRef CLK_030_H_i)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_a3)) + )) + (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined + (portRef O (instanceRef SM_AMIGA_i_6)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a3_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_4_0)) + )) + (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined + (portRef O (instanceRef SM_AMIGA_i_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a3_1)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_5_0)) + )) + (net AS_000_i (joined + (portRef O (instanceRef I_199)) + (portRef I0 (instanceRef un6_ds_030)) + (portRef I1 (instanceRef un6_as_030_i_0)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3_0_1)) + )) + (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined + (portRef O (instanceRef SM_AMIGA_i_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_4_0)) + )) + (net A1_i (joined + (portRef O (instanceRef A1_i)) + (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a3)) )) (net (rename A_i_31 "A_i[31]") (joined (portRef O (instanceRef A_i_31)) @@ -2501,24 +2468,26 @@ (portRef O (instanceRef CLK_OUT_PRE_50_i)) (portRef D (instanceRef CLK_OUT_PRE_50)) )) - (net DS_000_ENABLE_1_sqmuxa_i (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i)) - (portRef I0 (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_1)) - )) - (net N_98_i (joined - (portRef O (instanceRef N_98_i)) - (portRef I0 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0)) + (net N_275_i (joined + (portRef O (instanceRef N_275_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) )) (net un6_ds_030_i (joined (portRef O (instanceRef un6_ds_030_i)) (portRef I0 (instanceRef DS_030)) )) + (net DS_000_DMA_i (joined + (portRef O (instanceRef DS_000_DMA_i)) + (portRef I1 (instanceRef un6_ds_030)) + )) (net un4_as_000_i (joined (portRef O (instanceRef un4_as_000_i)) (portRef I0 (instanceRef AS_000)) )) + (net AS_000_INT_i (joined + (portRef O (instanceRef AS_000_INT_i)) + (portRef I0 (instanceRef un4_as_000)) + )) (net un4_lds_000_i (joined (portRef O (instanceRef un4_lds_000_i)) (portRef I0 (instanceRef LDS_000)) @@ -2527,17 +2496,9 @@ (portRef O (instanceRef un4_uds_000_i)) (portRef I0 (instanceRef UDS_000)) )) - (net LDS_000_INT_i (joined - (portRef O (instanceRef LDS_000_INT_i)) - (portRef I1 (instanceRef un4_lds_000)) - )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I1 (instanceRef un4_uds_000)) - )) (net AS_030_c (joined (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef I_196)) + (portRef I0 (instanceRef I_197)) )) (net AS_030 (joined (portRef AS_030) @@ -2545,8 +2506,8 @@ )) (net AS_000_c (joined (portRef O (instanceRef AS_000)) + (portRef I0 (instanceRef I_199)) (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) - (portRef I0 (instanceRef I_193)) )) (net AS_000 (joined (portRef AS_000) @@ -2554,8 +2515,8 @@ )) (net RW_000_c (joined (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_194)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) + (portRef I0 (instanceRef I_198)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3_0)) )) (net RW_000 (joined (portRef IO (instanceRef RW_000)) @@ -2567,8 +2528,8 @@ )) (net UDS_000_c (joined (portRef O (instanceRef UDS_000)) - (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a2_0_a3)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_a2)) + (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a3)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_a2)) (portRef I0 (instanceRef UDS_000_c_i)) )) (net UDS_000 (joined @@ -2577,7 +2538,7 @@ )) (net LDS_000_c (joined (portRef O (instanceRef LDS_000)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_a2)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_a2)) (portRef I0 (instanceRef LDS_000_c_i)) )) (net LDS_000 (joined @@ -2708,7 +2669,7 @@ )) (net (rename A_c_17 "A_c[17]") (joined (portRef O (instanceRef A_17)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a2_2)) )) (net (rename A_17 "A[17]") (joined (portRef (member a 14)) @@ -2837,8 +2798,8 @@ )) (net A1_c (joined (portRef O (instanceRef A1)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3)) (portRef I0 (instanceRef A1_i)) + (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a3)) )) (net A1 (joined (portRef A1) @@ -2854,9 +2815,11 @@ )) (net BERR_c (joined (portRef O (instanceRef BERR)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_3_0)) - (portRef I1 (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3)) - (portRef I0 (instanceRef I_195)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_5__m)) + (portRef I0 (instanceRef I_196)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_0_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) + (portRef I1 (instanceRef pos_clk_un3_as_030_d0_0_o3)) )) (net BERR (joined (portRef BERR) @@ -2886,9 +2849,9 @@ )) (net BGACK_000_c (joined (portRef O (instanceRef BGACK_000)) - (portRef I0 (instanceRef BGACK_030_INT_0_m)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a3_1)) (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0)) - (portRef I0 (instanceRef un22_berr_0_a2_0_a3_1)) + (portRef I0 (instanceRef BGACK_030_INT_0_m)) )) (net BGACK_000 (joined (portRef BGACK_000) @@ -2896,9 +2859,9 @@ )) (net CLK_030_c (joined (portRef O (instanceRef CLK_030)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2_0)) - (portRef I0 (instanceRef CLK_030_i)) (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_a3)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2_0)) + (portRef I0 (instanceRef CLK_030_i)) )) (net CLK_030 (joined (portRef CLK_030) @@ -2952,9 +2915,7 @@ (portRef CLK (instanceRef CLK_000_P_SYNC_8)) (portRef CLK (instanceRef CLK_000_P_SYNC_9)) (portRef CLK (instanceRef CLK_030_H)) - (portRef CLK (instanceRef CLK_OUT_EXP_INT)) (portRef CLK (instanceRef CLK_OUT_INT)) - (portRef CLK (instanceRef CLK_OUT_PRE_25)) (portRef CLK (instanceRef CLK_OUT_PRE_50)) (portRef CLK (instanceRef CLK_OUT_PRE_D)) (portRef CLK (instanceRef CYCLE_DMA_0)) @@ -3003,6 +2964,11 @@ (portRef O (instanceRef CLK_DIV_OUT)) (portRef CLK_DIV_OUT) )) + (net CLK_EXP_c (joined + (portRef Q (instanceRef CLK_OUT_INT)) + (portRef I0 (instanceRef CLK_DIV_OUT)) + (portRef I0 (instanceRef CLK_EXP)) + )) (net CLK_EXP (joined (portRef O (instanceRef CLK_EXP)) (portRef CLK_EXP) @@ -3014,7 +2980,7 @@ (net FPU_SENSE_c (joined (portRef O (instanceRef FPU_SENSE)) (portRef I0 (instanceRef FPU_SENSE_i)) - (portRef I1 (instanceRef un22_berr_0_a2_0_a3)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a3_1_0)) )) (net FPU_SENSE (joined (portRef FPU_SENSE) @@ -3059,8 +3025,8 @@ )) (net (rename IPL_c_1 "IPL_c[1]") (joined (portRef O (instanceRef IPL_1)) - (portRef I1 (instanceRef G_135)) (portRef I0 (instanceRef IPL_030_0_1__m)) + (portRef I1 (instanceRef G_135)) (portRef I0 (instanceRef IPL_c_i_1)) )) (net (rename IPL_1 "IPL[1]") (joined @@ -3111,53 +3077,51 @@ )) (net RST_c (joined (portRef O (instanceRef RST)) - (portRef I1 (instanceRef IPL_030_1_0)) - (portRef I1 (instanceRef IPL_D0_0_0)) - (portRef I1 (instanceRef DSACK1_INT_1)) + (portRef I1 (instanceRef DS_000_DMA_1)) + (portRef I1 (instanceRef AS_000_DMA_1)) (portRef I1 (instanceRef LDS_000_INT_1)) - (portRef I1 (instanceRef RW_000_INT_2)) + (portRef I1 (instanceRef RW_000_DMA_2)) + (portRef I1 (instanceRef A0_DMA_1)) (portRef I1 (instanceRef UDS_000_INT_1)) (portRef I1 (instanceRef VMA_INT_1)) - (portRef I1 (instanceRef BG_000_1)) - (portRef I1 (instanceRef DS_000_ENABLE_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i_0_0_o3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o3_0)) - (portRef I1 (instanceRef RESET_OUT_2_i_0)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_2)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_o2)) - (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0)) - (portRef I1 (instanceRef A0_DMA_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) - (portRef I1 (instanceRef AS_000_DMA_1)) - (portRef I1 (instanceRef BGACK_030_INT_1)) - (portRef I1 (instanceRef RW_000_DMA_2)) + (portRef I1 (instanceRef BG_000_1)) + (portRef I1 (instanceRef IPL_030_1_2)) + (portRef I1 (instanceRef IPL_030_1_1)) + (portRef I1 (instanceRef IPL_030_1_0)) + (portRef I1 (instanceRef IPL_D0_0_2)) + (portRef I1 (instanceRef IPL_D0_0_1)) + (portRef I1 (instanceRef IPL_D0_0_0)) + (portRef I1 (instanceRef DTACK_D0_0)) + (portRef I0 (instanceRef VPA_D_0)) + (portRef I0 (instanceRef nEXP_SPACE_D0_0)) + (portRef I1 (instanceRef RST_DLYlde_i_a4_0_a2)) + (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1)) + (portRef I1 (instanceRef RESET_OUT_2_i_0)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_o2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o3_0)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_o3)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) + (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i)) (portRef I1 (instanceRef AS_030_D0_0_i_a2_i)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + (portRef I1 (instanceRef DS_000_ENABLE_1)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (portRef I0 (instanceRef RST_i)) + (portRef I1 (instanceRef RW_000_INT_2)) + (portRef I1 (instanceRef BGACK_030_INT_1)) (portRef I1 (instanceRef AS_030_000_SYNC_1)) (portRef I1 (instanceRef AS_000_INT_1)) - (portRef I1 (instanceRef DS_000_DMA_1)) - (portRef I0 (instanceRef nEXP_SPACE_D0_0)) - (portRef I0 (instanceRef VPA_D_0)) - (portRef I1 (instanceRef DTACK_D0_0)) - (portRef I1 (instanceRef IPL_D0_0_1)) - (portRef I1 (instanceRef IPL_D0_0_2)) - (portRef I1 (instanceRef IPL_030_1_1)) - (portRef I1 (instanceRef IPL_030_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_2_2)) + (portRef I1 (instanceRef DSACK1_INT_1)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_2_3)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_2)) - (portRef I1 (instanceRef RST_DLY_e0_i_0_a3_0)) - (portRef I1 (instanceRef RST_DLY_e0_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a3_0_2_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_5)) (portRef I1 (instanceRef CLK_030_H_2_0_a2_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a3_1_2)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_6)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_4)) )) (net RST (joined (portRef RST) @@ -3169,7 +3133,7 @@ )) (net RW_c (joined (portRef O (instanceRef RW)) - (portRef I0 (instanceRef I_197)) + (portRef I0 (instanceRef I_195)) )) (net RW (joined (portRef IO (instanceRef RW)) @@ -3177,7 +3141,7 @@ )) (net (rename FC_c_0 "FC_c[0]") (joined (portRef O (instanceRef FC_0)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a2_1)) )) (net (rename FC_0 "FC[0]") (joined (portRef (member fc 1)) @@ -3185,7 +3149,7 @@ )) (net (rename FC_c_1 "FC_c[1]") (joined (portRef O (instanceRef FC_1)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a2_1)) )) (net (rename FC_1 "FC[1]") (joined (portRef (member fc 0)) @@ -3196,7 +3160,7 @@ (portRef AMIGA_ADDR_ENABLE) )) (net AMIGA_BUS_DATA_DIR_c (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_i)) + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR)) )) (net AMIGA_BUS_DATA_DIR (joined @@ -3215,11 +3179,35 @@ (portRef O (instanceRef CIIN)) (portRef CIIN) )) + (net N_24_i (joined + (portRef O (instanceRef N_24_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + )) + (net N_35_0 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) + )) + (net N_18_i (joined + (portRef O (instanceRef N_18_i)) + (portRef I0 (instanceRef RW_000_INT_2)) + )) + (net N_41_0 (joined + (portRef O (instanceRef RW_000_INT_2)) + (portRef I0 (instanceRef RW_000_INT_2_i)) + )) + (net N_10_i (joined + (portRef O (instanceRef N_10_i)) + (portRef I0 (instanceRef BGACK_030_INT_1)) + )) + (net N_43_0 (joined + (portRef O (instanceRef BGACK_030_INT_1)) + (portRef I0 (instanceRef BGACK_030_INT_1_i)) + )) (net N_7_i (joined (portRef O (instanceRef N_7_i)) (portRef I0 (instanceRef AS_030_000_SYNC_1)) )) - (net N_47_0 (joined + (net N_46_0 (joined (portRef O (instanceRef AS_030_000_SYNC_1)) (portRef I0 (instanceRef AS_030_000_SYNC_1_i)) )) @@ -3227,584 +3215,529 @@ (portRef O (instanceRef N_5_i)) (portRef I0 (instanceRef AS_000_INT_1)) )) - (net N_48_0 (joined + (net N_47_0 (joined (portRef O (instanceRef AS_000_INT_1)) (portRef I0 (instanceRef AS_000_INT_1_i)) )) - (net N_3_i (joined - (portRef O (instanceRef N_3_i)) - (portRef I0 (instanceRef DS_000_DMA_1)) + (net N_4_i (joined + (portRef O (instanceRef N_4_i)) + (portRef I0 (instanceRef DSACK1_INT_1)) )) - (net N_50_0 (joined - (portRef O (instanceRef DS_000_DMA_1)) - (portRef I0 (instanceRef DS_000_DMA_1_i)) + (net N_48_0 (joined + (portRef O (instanceRef DSACK1_INT_1)) + (portRef I0 (instanceRef DSACK1_INT_1_i)) )) - (net nEXP_SPACE_c_i (joined - (portRef O (instanceRef nEXP_SPACE_c_i)) - (portRef I1 (instanceRef nEXP_SPACE_D0_0)) + (net N_272_i (joined + (portRef O (instanceRef N_272_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i)) )) - (net N_55_0 (joined - (portRef O (instanceRef nEXP_SPACE_D0_0)) - (portRef I0 (instanceRef nEXP_SPACE_D0_0_i)) + (net N_271_i (joined + (portRef O (instanceRef N_271_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i)) )) - (net VPA_c_i (joined - (portRef O (instanceRef VPA_c_i)) - (portRef I1 (instanceRef VPA_D_0)) - )) - (net N_56_0 (joined - (portRef O (instanceRef VPA_D_0)) - (portRef I0 (instanceRef VPA_D_0_i)) - )) - (net DTACK_c_i (joined - (portRef O (instanceRef DTACK_c_i)) - (portRef I0 (instanceRef DTACK_D0_0)) - )) - (net N_57_0 (joined - (portRef O (instanceRef DTACK_D0_0)) - (portRef I0 (instanceRef DTACK_D0_0_i)) - )) - (net (rename IPL_c_i_1 "IPL_c_i[1]") (joined - (portRef O (instanceRef IPL_c_i_1)) - (portRef I0 (instanceRef IPL_D0_0_1)) - )) - (net N_53_0 (joined - (portRef O (instanceRef IPL_D0_0_1)) - (portRef I0 (instanceRef IPL_D0_0_i_1)) - )) - (net (rename IPL_c_i_2 "IPL_c_i[2]") (joined - (portRef O (instanceRef IPL_c_i_2)) - (portRef I0 (instanceRef IPL_D0_0_2)) - )) - (net N_54_0 (joined - (portRef O (instanceRef IPL_D0_0_2)) - (portRef I0 (instanceRef IPL_D0_0_i_2)) - )) - (net N_28_i (joined - (portRef O (instanceRef N_28_i)) - (portRef I0 (instanceRef IPL_030_1_1)) - )) - (net N_32_0 (joined - (portRef O (instanceRef IPL_030_1_1)) - (portRef I0 (instanceRef IPL_030_1_i_1)) - )) - (net N_29_i (joined - (portRef O (instanceRef N_29_i)) - (portRef I0 (instanceRef IPL_030_1_2)) - )) - (net N_33_0 (joined - (portRef O (instanceRef IPL_030_1_2)) - (portRef I0 (instanceRef IPL_030_1_i_2)) - )) - (net N_378_i (joined - (portRef O (instanceRef N_378_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_0)) - )) - (net N_132_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_0)) - (portRef D (instanceRef SM_AMIGA_0)) - )) - (net N_351_i (joined - (portRef O (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_i_0)) - (portRef D (instanceRef CLK_000_P_SYNC_0)) - )) - (net N_227_i (joined - (portRef O (instanceRef N_227_i)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) - )) - (net N_354_0 (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_i)) - )) - (net N_233_i (joined - (portRef O (instanceRef N_233_i)) + (net N_279_0 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i)) (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i)) )) - (net N_357_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_i)) + (net N_280_0 (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa_i)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_i)) )) - (net N_270_0 (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_0_i)) + (net N_281_0 (joined + (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i)) + (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_i)) )) - (net AS_000_DMA_i (joined - (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_o2)) - (portRef I0 (instanceRef un6_as_030_i_0)) - )) - (net N_137_0 (joined - (portRef O (instanceRef un6_as_030_i_0)) - (portRef I0 (instanceRef un6_as_030_i_0_i)) - )) - (net N_312_i (joined - (portRef O (instanceRef N_312_i)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_2)) - )) - (net (rename pos_clk_un3_as_030_d0_i "pos_clk.un3_as_030_d0_i") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_a2_0_a3)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3_i)) - )) - (net N_161_i (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_i)) - (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_1)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) - )) - (net N_179_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_1)) - )) - (net N_180_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_5)) - )) - (net N_184_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_0)) - )) - (net N_185_0 (joined - (portRef O (instanceRef CLK_030_H_2_0_a2_i_o2)) - (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_o2_i)) - )) - (net UDS_000_c_i (joined - (portRef O (instanceRef UDS_000_c_i)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) - )) - (net LDS_000_c_i (joined - (portRef O (instanceRef LDS_000_c_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) - )) - (net N_173_i (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_i_0)) - )) - (net N_358_0 (joined - (portRef O (instanceRef AS_030_D0_0_i_a2_i)) - (portRef I0 (instanceRef AS_030_D0_0_i_a2_i_i)) - )) - (net N_239_i (joined - (portRef O (instanceRef N_239_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_1)) - )) - (net N_238_i (joined - (portRef O (instanceRef N_238_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + (net N_298_i (joined + (portRef O (instanceRef N_298_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) )) (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_0)) + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) )) - (net N_237_i (joined - (portRef O (instanceRef N_237_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) + (net N_299_i (joined + (portRef O (instanceRef N_299_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) )) - (net N_236_i (joined - (portRef O (instanceRef N_236_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) + (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) )) - (net AMIGA_BUS_DATA_DIR_c_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_i)) + (net un1_as_000_i (joined + (portRef O (instanceRef un1_as_000_0_0)) + (portRef OE (instanceRef AS_000)) + (portRef OE (instanceRef LDS_000)) + (portRef OE (instanceRef RW_000)) + (portRef OE (instanceRef UDS_000)) )) - (net N_331_i (joined - (portRef O (instanceRef N_331_i)) + (net N_358_i (joined + (portRef O (instanceRef N_358_i)) (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0)) )) (net (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (joined (portRef O (instanceRef pos_clk_un6_bgack_000_0_0)) (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0_i)) )) - (net N_356_0 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i_i)) + (net N_284_i (joined + (portRef O (instanceRef un2_rw_i_a2_i)) + (portRef OE (instanceRef RW)) )) - (net N_352_0 (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_0_a2_i)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_0_a2_i_i)) + (net N_285_i (joined + (portRef O (instanceRef un3_as_030_i_a2_i)) + (portRef OE (instanceRef A0)) + (portRef OE (instanceRef AS_030)) + (portRef OE (instanceRef DS_030)) )) - (net N_8_i (joined - (portRef O (instanceRef N_8_i)) - (portRef I0 (instanceRef AS_000_DMA_1)) + (net N_286_0 (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i_i)) )) - (net N_46_0 (joined - (portRef O (instanceRef AS_000_DMA_1)) - (portRef I0 (instanceRef AS_000_DMA_1_i)) + (net N_88_0 (joined + (portRef O (instanceRef AS_030_D0_0_i_a2_i)) + (portRef I0 (instanceRef AS_030_D0_0_i_a2_i_i)) )) - (net N_10_i (joined - (portRef O (instanceRef N_10_i)) - (portRef I0 (instanceRef BGACK_030_INT_1)) + (net (rename pos_clk_un3_as_030_d0_i "pos_clk.un3_as_030_d0_i") (joined + (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o3)) + (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_i)) + (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a3)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a3_0)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_0_a3)) + (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o3_i)) )) - (net N_44_0 (joined - (portRef O (instanceRef BGACK_030_INT_1)) - (portRef I0 (instanceRef BGACK_030_INT_1_i)) + (net N_156_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_0_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_0_i_0)) )) - (net N_19_i (joined - (portRef O (instanceRef N_19_i)) - (portRef I0 (instanceRef RW_000_DMA_2)) + (net N_349_i (joined + (portRef O (instanceRef N_349_i)) + (portRef I1 (instanceRef un1_SM_AMIGA_5_0_o3_1)) )) - (net N_41_0 (joined - (portRef O (instanceRef RW_000_DMA_2)) - (portRef I0 (instanceRef RW_000_DMA_2_i)) + (net N_194_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_4_0)) + (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o3_2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_1_0)) )) - (net N_20_i (joined - (portRef O (instanceRef N_20_i)) - (portRef I0 (instanceRef A0_DMA_1)) + (net un1_SM_AMIGA_5_i (joined + (portRef O (instanceRef un1_SM_AMIGA_5_0_o3)) + (portRef I0 (instanceRef DS_000_ENABLE_0_n)) + (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o3_i)) )) - (net N_40_0 (joined - (portRef O (instanceRef A0_DMA_1)) - (portRef I0 (instanceRef A0_DMA_1_i)) + (net UDS_000_c_i (joined + (portRef O (instanceRef UDS_000_c_i)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) )) - (net N_24_i (joined - (portRef O (instanceRef N_24_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (net LDS_000_c_i (joined + (portRef O (instanceRef LDS_000_c_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) )) - (net N_36_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) + (net N_205_i (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a3_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) )) - (net N_25_i (joined - (portRef O (instanceRef N_25_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - )) - (net N_35_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) - )) - (net N_243_i (joined - (portRef O (instanceRef RST_DLY_e2_i_0)) - (portRef D (instanceRef RST_DLY_2)) - )) - (net N_198_i (joined - (portRef O (instanceRef N_198_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_2)) - )) - (net N_243_2_i (joined - (portRef O (instanceRef RST_DLY_e2_i_0_2)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_2_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_1)) - )) - (net N_196_i (joined - (portRef O (instanceRef N_196_i)) - (portRef I1 (instanceRef RST_DLY_e2_i_0)) - )) - (net N_195_i (joined - (portRef O (instanceRef N_195_i)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_1)) - )) - (net N_244_i (joined - (portRef O (instanceRef RST_DLY_e1_i_0)) - (portRef D (instanceRef RST_DLY_1)) - )) - (net N_201_i (joined - (portRef O (instanceRef N_201_i)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_2)) - )) - (net N_200_i (joined - (portRef O (instanceRef N_200_i)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_1)) - )) - (net N_199_i (joined - (portRef O (instanceRef N_199_i)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_1)) - )) - (net N_182_0 (joined - (portRef O (instanceRef RESET_OUT_2_i_0_o2)) - (portRef I0 (instanceRef RESET_OUT_2_i_0_o2_i)) - )) - (net N_158_i (joined - (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0_o2)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_0)) - (portRef I1 (instanceRef RESET_OUT_2_i_0_o2)) - (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0_o2_i)) - )) - (net N_148_i (joined - (portRef O (instanceRef RST_DLY_e2_i_0_o2_0)) - (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0_o2)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_a3)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0_i)) - )) - (net N_307_i (joined - (portRef O (instanceRef N_307_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_o2)) - )) - (net N_143_0 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_o2)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_i)) - )) - (net N_217_i (joined - (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_143_0)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_1)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_0_1)) - )) - (net N_235_i (joined - (portRef O (instanceRef N_235_i)) - (portRef I0 (instanceRef RESET_OUT_2_i_0)) - )) - (net N_266_i (joined - (portRef O (instanceRef RESET_OUT_2_i_0)) - (portRef D (instanceRef RESET_OUT)) - )) - (net N_210_i (joined - (portRef O (instanceRef N_210_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_0)) - )) - (net N_146_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_0)) - (portRef D (instanceRef SM_AMIGA_i_7)) - )) - (net N_207_i (joined - (portRef O (instanceRef N_207_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_2_0)) - )) - (net N_208_i (joined - (portRef O (instanceRef N_208_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_2_0)) - )) - (net N_206_i (joined - (portRef O (instanceRef N_206_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) - )) - (net N_138_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_3)) - (portRef D (instanceRef SM_AMIGA_3)) - )) - (net N_313_i (joined - (portRef O (instanceRef N_313_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_2_3)) - )) - (net N_211_i (joined - (portRef O (instanceRef N_211_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) - )) - (net N_212_i (joined - (portRef O (instanceRef N_212_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) - )) - (net N_183_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) - )) - (net N_181_0 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_i_0)) - )) - (net N_178_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_4)) - )) - (net N_69_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i_0_0_o3)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i_0_0_o3_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0)) - )) - (net N_329_i (joined - (portRef O (instanceRef N_329_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o3_0)) - )) - (net N_176_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o3_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) - )) - (net N_175_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_o2_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_o2_i_2)) - )) - (net N_174_0 (joined + (net N_206_0 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_o2_6)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_o2_i_6)) )) - (net N_171_0 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_i_0)) + (net N_207_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_i_2)) )) - (net un1_SM_AMIGA_5_i (joined - (portRef O (instanceRef un1_SM_AMIGA_5_0_o2_3_o3)) - (portRef I0 (instanceRef DS_000_ENABLE_0_n)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_i)) + (net N_354_i (joined + (portRef O (instanceRef N_354_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o3_0)) + )) + (net N_208_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o3_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_1_0)) + )) + (net N_210_0 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_o3)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_o3_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + )) + (net N_289_0 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2_i)) + )) + (net N_218_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_4)) + )) + (net N_259_i (joined + (portRef O (instanceRef RST_DLY_e2_i_0)) + (portRef D (instanceRef RST_DLY_2)) + )) + (net N_242_i (joined + (portRef O (instanceRef N_242_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_2)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_1)) + )) + (net N_246_i (joined + (portRef O (instanceRef N_246_i)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_2)) + )) + (net N_240_i (joined + (portRef O (instanceRef N_240_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_1)) + )) + (net N_241_i (joined + (portRef O (instanceRef N_241_i)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_1)) + )) + (net N_260_i (joined + (portRef O (instanceRef RST_DLY_e1_i_0)) + (portRef D (instanceRef RST_DLY_1)) + )) + (net N_266_i (joined + (portRef O (instanceRef N_266_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_2)) + )) + (net N_267_i (joined + (portRef O (instanceRef N_267_i)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_2)) + )) + (net N_254_i (joined + (portRef O (instanceRef N_254_i)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_1)) + )) + (net N_317_i (joined + (portRef O (instanceRef N_317_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0)) + )) + (net N_145_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0)) + (portRef D (instanceRef SM_AMIGA_i_7)) + )) + (net N_313_i (joined + (portRef O (instanceRef N_313_i)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_2_0)) + )) + (net N_316_i (joined + (portRef O (instanceRef N_316_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_2_0)) + )) + (net N_312_i (joined + (portRef O (instanceRef N_312_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_1_0)) + )) + (net N_137_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_3)) + (portRef D (instanceRef SM_AMIGA_3)) + )) + (net N_347_i (joined + (portRef O (instanceRef N_347_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_2_3)) + )) + (net N_323_i (joined + (portRef O (instanceRef N_323_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) )) (net N_324_i (joined (portRef O (instanceRef N_324_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) )) - (net N_326_i (joined - (portRef O (instanceRef N_326_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) + (net N_222_i (joined + (portRef O (instanceRef un1_as_030_i_a4_i_o3)) + (portRef I0 (instanceRef un3_as_030_i_a2_i)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3_0_1)) + (portRef OE (instanceRef SIZE_0)) + (portRef OE (instanceRef SIZE_1)) )) - (net N_168_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_i_3)) + (net AS_000_DMA_i (joined + (portRef O (instanceRef AS_000_DMA_i)) + (portRef I0 (instanceRef un6_as_030_i_0)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_o2)) + )) + (net N_221_0 (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i_o2)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_o2_i)) + )) + (net N_220_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) + )) + (net N_219_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_0)) + )) + (net N_216_0 (joined + (portRef O (instanceRef RESET_OUT_2_i_0_o2)) + (portRef I0 (instanceRef RESET_OUT_2_i_0_o2_i)) + )) + (net N_290_0 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_2_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_2_i_0)) + )) + (net N_212_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_1)) + )) + (net N_211_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_5)) + )) + (net N_204_0 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_1_i_0)) + )) + (net N_351_i (joined + (portRef O (instanceRef N_351_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_0_2)) + )) + (net N_353_i (joined + (portRef O (instanceRef N_353_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_o2_0_2)) + )) + (net N_201_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_0_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_0_i_2)) )) (net VMA_INT_i (joined (portRef O (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_2_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_1_2)) )) - (net N_165_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_i_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_2_0)) + (net N_197_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_0_i_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_2_0)) )) - (net N_164_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_6_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_2_0)) + (net N_196_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_5_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_2_0)) )) - (net N_162_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_5_0)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_1)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_1_0)) + (net N_193_i (joined + (portRef O (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) + )) + (net N_192_0 (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3)) + (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_i)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_1)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) )) (net (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (joined (portRef O (instanceRef CLK_000_N_SYNC_i_10)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2)) )) - (net N_321_i (joined - (portRef O (instanceRef N_321_i)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2)) + (net N_350_i (joined + (portRef O (instanceRef N_350_i)) + (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2)) )) - (net N_159_0 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2_i)) + (net N_188_0 (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2_i)) )) - (net N_318_i (joined - (portRef O (instanceRef N_318_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_2)) + (net N_187_i (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1_o2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_0)) + (portRef I1 (instanceRef RESET_OUT_2_i_0_o2)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1_o2_i)) )) - (net N_156_i (joined + (net N_185_i (joined (portRef O (instanceRef cpu_est_2_0_0_0_o2_2)) (portRef I0 (instanceRef cpu_est_2_0_0_0_a2_2)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_i_a3_3)) (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_i_2)) )) - (net N_155_i (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_o2)) - (portRef I0 (instanceRef un5_e_0_0_a3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_1_3)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_1)) + (net N_182_i (joined + (portRef O (instanceRef cpu_est_2_i_0_i_o2_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_i_o2_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_1_2)) )) - (net N_154_i (joined - (portRef O (instanceRef cpu_est_2_0_0_0_o2_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_i_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_1_3)) + (net N_181_i (joined + (portRef O (instanceRef un5_e_i_i_o2)) + (portRef I0 (instanceRef un5_e_i_i_a3)) + (portRef I0 (instanceRef cpu_est_2_i_0_i_o2_3)) )) (net CLK_OUT_PRE_D_i (joined (portRef O (instanceRef CLK_OUT_PRE_D_i)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2_0)) + (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2_0)) )) - (net N_152_0 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2_0)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_o2_0_i)) + (net N_175_0 (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2_0)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_o2_0_i)) )) - (net N_150_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_i_0)) + (net N_168_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_i_0)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_6)) )) (net AS_030_000_SYNC_i (joined (portRef O (instanceRef AS_030_000_SYNC_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0_0)) )) - (net N_147_i (joined - (portRef O (instanceRef pos_clk_CLK_000_N_SYNC_2_0_o3_i_o2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0_0)) + (net N_158_i (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_0)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1_o2)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0_i)) + )) + (net CLK_000_D0_i (joined + (portRef O (instanceRef CLK_000_D0_i)) + (portRef I0 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0_o2_0)) + )) + (net N_148_i (joined + (portRef O (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0_o2_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0_0)) (portRef D (instanceRef CLK_000_N_SYNC_0)) )) - (net N_145_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_3_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_3_i_0)) + (net N_345_i (joined + (portRef O (instanceRef N_345_i)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_2)) )) - (net N_281_i (joined - (portRef O (instanceRef N_281_i)) - (portRef I0 (instanceRef RST_DLY_e0_i_0_1)) + (net N_344_i (joined + (portRef O (instanceRef N_344_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2)) )) - (net N_302_i (joined - (portRef O (instanceRef N_302_i)) - (portRef I1 (instanceRef RST_DLY_e0_i_0_1)) + (net N_144_0 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_i)) )) - (net N_245_i (joined - (portRef O (instanceRef RST_DLY_e0_i_0)) - (portRef D (instanceRef RST_DLY_0)) + (net N_138_0 (joined + (portRef O (instanceRef un6_as_030_i_0)) + (portRef I0 (instanceRef un6_as_030_i_0_i)) )) - (net N_279_i (joined - (portRef O (instanceRef N_279_i)) - (portRef I0 (instanceRef un5_e_0_0)) + (net N_134_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + (portRef D (instanceRef CYCLE_DMA_1)) )) - (net N_280_i (joined - (portRef O (instanceRef N_280_i)) - (portRef I1 (instanceRef un5_e_0_0)) + (net N_342_i (joined + (portRef O (instanceRef N_342_i)) + (portRef I0 (instanceRef un5_e_i_i)) )) - (net un5_e_0 (joined - (portRef O (instanceRef un5_e_0_0)) - (portRef I0 (instanceRef un5_e_0_0_i)) + (net N_343_i (joined + (portRef O (instanceRef N_343_i)) + (portRef I1 (instanceRef un5_e_i_i)) )) - (net N_278_i (joined - (portRef O (instanceRef N_278_i)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_3)) + (net N_124_0 (joined + (portRef O (instanceRef un5_e_i_i)) + (portRef I0 (instanceRef un5_e_i_i_i)) )) - (net (rename cpu_est_2_0_3 "cpu_est_2_0[3]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_i_3)) + (net N_341_i (joined + (portRef O (instanceRef N_341_i)) + (portRef I1 (instanceRef cpu_est_2_i_0_i_3)) )) - (net N_277_i (joined - (portRef O (instanceRef N_277_i)) + (net N_119_0 (joined + (portRef O (instanceRef cpu_est_2_i_0_i_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_i_i_3)) + )) + (net N_340_i (joined + (portRef O (instanceRef N_340_i)) (portRef I0 (instanceRef cpu_est_2_0_0_0_2)) )) - (net N_348_i (joined - (portRef O (instanceRef N_348_i)) + (net N_361_i (joined + (portRef O (instanceRef N_361_i)) (portRef I1 (instanceRef cpu_est_2_0_0_0_2)) )) (net (rename cpu_est_2_0_2 "cpu_est_2_0[2]") (joined (portRef O (instanceRef cpu_est_2_0_0_0_2)) (portRef I0 (instanceRef cpu_est_2_0_0_0_i_2)) )) - (net N_128_i (joined - (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_i)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_i_i)) + (net N_338_i (joined + (portRef O (instanceRef N_338_i)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_1)) )) - (net N_193_i (joined - (portRef O (instanceRef N_193_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + (net N_339_i (joined + (portRef O (instanceRef N_339_i)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_1)) )) - (net N_241_i (joined - (portRef O (instanceRef N_241_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + (net (rename cpu_est_2_0_1 "cpu_est_2_0[1]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_1)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_i_1)) )) - (net N_134_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1)) - (portRef D (instanceRef SM_AMIGA_1)) + (net N_332_i (joined + (portRef O (instanceRef N_332_i)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0)) )) - (net N_240_i (joined - (portRef O (instanceRef N_240_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0_0_1)) + (net N_336_i (joined + (portRef O (instanceRef N_336_i)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0)) )) - (net N_124_0 (joined - (portRef O (instanceRef un1_SM_AMIGA_3_i_0_0)) - (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0_0_i)) + (net (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_i)) )) - (net N_267_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_0)) - (portRef D (instanceRef CYCLE_DMA_1)) + (net N_99_0 (joined + (portRef O (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i_i)) )) - (net N_268_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) - (portRef D (instanceRef CYCLE_DMA_0)) + (net N_331_i (joined + (portRef O (instanceRef N_331_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) )) - (net N_269_0 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_i)) + (net N_96_0 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_i)) + )) + (net N_330_i (joined + (portRef O (instanceRef N_330_i)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) + )) + (net N_90_0 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_i)) + )) + (net N_328_i (joined + (portRef O (instanceRef N_328_i)) + (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_1)) + )) + (net N_83_i (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i)) + (portRef D (instanceRef CLK_030_H)) + )) + (net N_80_0 (joined + (portRef O (instanceRef un14_amiga_bus_data_dir_0_a2_i)) + (portRef I0 (instanceRef un14_amiga_bus_data_dir_0_a2_i_i)) + )) + (net N_325_i (joined + (portRef O (instanceRef N_325_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_6)) + )) + (net N_326_i (joined + (portRef O (instanceRef N_326_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_6)) + )) + (net N_258_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_i_6)) + )) + (net N_217_i (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a2)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_143_1_i)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_1_0)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_0_1)) + )) + (net N_321_i (joined + (portRef O (instanceRef N_321_i)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_1)) + )) + (net N_322_i (joined + (portRef O (instanceRef N_322_i)) + (portRef I1 (instanceRef RST_DLY_e0_i_0)) + )) + (net N_261_i (joined + (portRef O (instanceRef RST_DLY_e0_i_0)) + (portRef D (instanceRef RST_DLY_0)) + )) + (net N_320_i (joined + (portRef O (instanceRef N_320_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_0)) + )) + (net N_262_i (joined + (portRef O (instanceRef RESET_OUT_2_i_0)) + (portRef D (instanceRef RESET_OUT)) )) (net un5_ciin_i (joined (portRef O (instanceRef un5_ciin_i)) @@ -3814,98 +3747,77 @@ (portRef O (instanceRef un8_ciin_i_0_0)) (portRef I0 (instanceRef un8_ciin_i_0_0_i)) )) - (net un1_as_030_i (joined - (portRef O (instanceRef un1_as_030_0_0)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) + (net N_310_i (joined + (portRef O (instanceRef N_310_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_5)) )) - (net N_228_i (joined - (portRef O (instanceRef N_228_i)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) + (net N_141_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_5)) + (portRef D (instanceRef SM_AMIGA_5)) )) - (net N_355_0 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_i)) + (net N_305_i (joined + (portRef O (instanceRef N_305_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_4)) )) - (net N_226_i (joined - (portRef O (instanceRef N_226_i)) - (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_1)) + (net N_307_i (joined + (portRef O (instanceRef N_307_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_4)) )) - (net N_353_i (joined - (portRef O (instanceRef CLK_030_H_2_0_a2_i)) - (portRef D (instanceRef CLK_030_H)) - )) - (net N_224_i (joined - (portRef O (instanceRef N_224_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_6)) - )) - (net N_225_i (joined - (portRef O (instanceRef N_225_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_6)) - )) - (net N_282_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_i_6)) - )) - (net N_221_i (joined - (portRef O (instanceRef N_221_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) - )) - (net N_222_i (joined - (portRef O (instanceRef N_222_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) - )) - (net N_140_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_4)) + (net N_139_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_4)) (portRef D (instanceRef SM_AMIGA_4)) )) - (net N_219_i (joined - (portRef O (instanceRef N_219_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_2)) + (net N_303_i (joined + (portRef O (instanceRef N_303_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_2)) )) - (net N_220_i (joined - (portRef O (instanceRef N_220_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_2)) + (net N_304_i (joined + (portRef O (instanceRef N_304_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_2)) )) (net N_283_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_i_2)) + (portRef O (instanceRef SM_AMIGA_srsts_i_i_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_i_2)) )) - (net N_216_i (joined - (portRef O (instanceRef N_216_i)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_1)) + (net N_301_i (joined + (portRef O (instanceRef N_301_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_1)) )) - (net N_218_i (joined - (portRef O (instanceRef N_218_i)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_1)) + (net N_133_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_1)) + (portRef D (instanceRef SM_AMIGA_1)) )) - (net (rename cpu_est_2_0_1 "cpu_est_2_0[1]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_1)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_i_1)) + (net N_300_i (joined + (portRef O (instanceRef N_300_i)) + (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0_1)) )) - (net N_373_i (joined - (portRef O (instanceRef N_373_i)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0)) + (net N_123_0 (joined + (portRef O (instanceRef un1_SM_AMIGA_3_i_0)) + (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0_i)) )) - (net N_375_i (joined - (portRef O (instanceRef N_375_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0)) + (net N_278_i (joined + (portRef O (instanceRef N_278_i)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) )) - (net (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_i)) + (net N_297_i (joined + (portRef O (instanceRef N_297_i)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) )) - (net N_188_i (joined - (portRef O (instanceRef N_188_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_5)) + (net AMIGA_BUS_DATA_DIR_c_0 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) )) - (net N_205_i (joined - (portRef O (instanceRef N_205_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_5)) + (net N_277_i (joined + (portRef O (instanceRef N_277_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0)) )) - (net N_142_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_5)) - (portRef D (instanceRef SM_AMIGA_5)) + (net N_131_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0)) + (portRef D (instanceRef SM_AMIGA_0)) + )) + (net N_282_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + (portRef D (instanceRef CYCLE_DMA_0)) )) (net (rename pos_clk_un8_sm_amiga_i "pos_clk.un8_sm_amiga_i") (joined (portRef O (instanceRef pos_clk_un8_sm_amiga)) @@ -3919,51 +3831,123 @@ (portRef O (instanceRef SIZE_c_i_1)) (portRef I0 (instanceRef pos_clk_un8_sm_amiga_1)) )) + (net N_29_i (joined + (portRef O (instanceRef N_29_i)) + (portRef I0 (instanceRef IPL_030_1_2)) + )) + (net N_32_0 (joined + (portRef O (instanceRef IPL_030_1_2)) + (portRef I0 (instanceRef IPL_030_1_i_2)) + )) + (net N_28_i (joined + (portRef O (instanceRef N_28_i)) + (portRef I0 (instanceRef IPL_030_1_1)) + )) + (net N_31_0 (joined + (portRef O (instanceRef IPL_030_1_1)) + (portRef I0 (instanceRef IPL_030_1_i_1)) + )) (net N_27_i (joined (portRef O (instanceRef N_27_i)) (portRef I0 (instanceRef IPL_030_1_0)) )) - (net N_31_0 (joined + (net N_30_0 (joined (portRef O (instanceRef IPL_030_1_0)) (portRef I0 (instanceRef IPL_030_1_i_0)) )) + (net (rename IPL_c_i_2 "IPL_c_i[2]") (joined + (portRef O (instanceRef IPL_c_i_2)) + (portRef I0 (instanceRef IPL_D0_0_2)) + )) + (net N_53_0 (joined + (portRef O (instanceRef IPL_D0_0_2)) + (portRef I0 (instanceRef IPL_D0_0_i_2)) + )) + (net (rename IPL_c_i_1 "IPL_c_i[1]") (joined + (portRef O (instanceRef IPL_c_i_1)) + (portRef I0 (instanceRef IPL_D0_0_1)) + )) + (net N_52_0 (joined + (portRef O (instanceRef IPL_D0_0_1)) + (portRef I0 (instanceRef IPL_D0_0_i_1)) + )) (net (rename IPL_c_i_0 "IPL_c_i[0]") (joined (portRef O (instanceRef IPL_c_i_0)) (portRef I0 (instanceRef IPL_D0_0_0)) )) - (net N_52_0 (joined + (net N_51_0 (joined (portRef O (instanceRef IPL_D0_0_0)) (portRef I0 (instanceRef IPL_D0_0_i_0)) )) - (net N_4_i (joined - (portRef O (instanceRef N_4_i)) - (portRef I0 (instanceRef DSACK1_INT_1)) + (net DTACK_c_i (joined + (portRef O (instanceRef DTACK_c_i)) + (portRef I0 (instanceRef DTACK_D0_0)) + )) + (net N_56_0 (joined + (portRef O (instanceRef DTACK_D0_0)) + (portRef I0 (instanceRef DTACK_D0_0_i)) + )) + (net VPA_c_i (joined + (portRef O (instanceRef VPA_c_i)) + (portRef I1 (instanceRef VPA_D_0)) + )) + (net N_55_0 (joined + (portRef O (instanceRef VPA_D_0)) + (portRef I0 (instanceRef VPA_D_0_i)) + )) + (net nEXP_SPACE_c_i (joined + (portRef O (instanceRef nEXP_SPACE_c_i)) + (portRef I1 (instanceRef nEXP_SPACE_D0_0)) + )) + (net N_54_0 (joined + (portRef O (instanceRef nEXP_SPACE_D0_0)) + (portRef I0 (instanceRef nEXP_SPACE_D0_0_i)) + )) + (net N_3_i (joined + (portRef O (instanceRef N_3_i)) + (portRef I0 (instanceRef DS_000_DMA_1)) )) (net N_49_0 (joined - (portRef O (instanceRef DSACK1_INT_1)) - (portRef I0 (instanceRef DSACK1_INT_1_i)) + (portRef O (instanceRef DS_000_DMA_1)) + (portRef I0 (instanceRef DS_000_DMA_1_i)) + )) + (net N_8_i (joined + (portRef O (instanceRef N_8_i)) + (portRef I0 (instanceRef AS_000_DMA_1)) + )) + (net N_45_0 (joined + (portRef O (instanceRef AS_000_DMA_1)) + (portRef I0 (instanceRef AS_000_DMA_1_i)) )) (net N_17_i (joined (portRef O (instanceRef N_17_i)) (portRef I0 (instanceRef LDS_000_INT_1)) )) - (net N_43_0 (joined + (net N_42_0 (joined (portRef O (instanceRef LDS_000_INT_1)) (portRef I0 (instanceRef LDS_000_INT_1_i)) )) - (net N_18_i (joined - (portRef O (instanceRef N_18_i)) - (portRef I0 (instanceRef RW_000_INT_2)) + (net N_19_i (joined + (portRef O (instanceRef N_19_i)) + (portRef I0 (instanceRef RW_000_DMA_2)) )) - (net N_42_0 (joined - (portRef O (instanceRef RW_000_INT_2)) - (portRef I0 (instanceRef RW_000_INT_2_i)) + (net N_40_0 (joined + (portRef O (instanceRef RW_000_DMA_2)) + (portRef I0 (instanceRef RW_000_DMA_2_i)) + )) + (net N_20_i (joined + (portRef O (instanceRef N_20_i)) + (portRef I0 (instanceRef A0_DMA_1)) + )) + (net N_39_0 (joined + (portRef O (instanceRef A0_DMA_1)) + (portRef I0 (instanceRef A0_DMA_1_i)) )) (net N_21_i (joined (portRef O (instanceRef N_21_i)) (portRef I0 (instanceRef UDS_000_INT_1)) )) - (net N_39_0 (joined + (net N_38_0 (joined (portRef O (instanceRef UDS_000_INT_1)) (portRef I0 (instanceRef UDS_000_INT_1_i)) )) @@ -3971,15 +3955,23 @@ (portRef O (instanceRef N_22_i)) (portRef I0 (instanceRef VMA_INT_1)) )) - (net N_38_0 (joined + (net N_37_0 (joined (portRef O (instanceRef VMA_INT_1)) (portRef I0 (instanceRef VMA_INT_1_i)) )) + (net N_25_i (joined + (portRef O (instanceRef N_25_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) + )) + (net N_34_0 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) + )) (net N_26_i (joined (portRef O (instanceRef N_26_i)) (portRef I0 (instanceRef BG_000_1)) )) - (net N_34_0 (joined + (net N_33_0 (joined (portRef O (instanceRef BG_000_1)) (portRef I0 (instanceRef BG_000_1_i)) )) @@ -3987,97 +3979,125 @@ (portRef O (instanceRef BG_030_c_i)) (portRef I0 (instanceRef pos_clk_un8_bg_030)) )) + (net (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (joined + (portRef O (instanceRef pos_clk_un6_bg_030_i)) + (portRef I1 (instanceRef pos_clk_un8_bg_030)) + )) (net (rename pos_clk_un8_bg_030_0 "pos_clk.un8_bg_030_0") (joined (portRef O (instanceRef pos_clk_un8_bg_030)) (portRef I0 (instanceRef pos_clk_un8_bg_030_i)) )) - (net N_161_i_1 (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_1)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3)) + (net N_289_0_1 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2)) )) - (net N_161_i_2 (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_2)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3)) + (net un1_SM_AMIGA_5_i_1 (joined + (portRef O (instanceRef un1_SM_AMIGA_5_0_o3_1)) + (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o3)) + )) + (net un1_SM_AMIGA_5_i_2 (joined + (portRef O (instanceRef un1_SM_AMIGA_5_0_o3_2)) + (portRef I1 (instanceRef un1_SM_AMIGA_5_0_o3)) )) (net (rename pos_clk_un8_sm_amiga_i_1 "pos_clk.un8_sm_amiga_i_1") (joined (portRef O (instanceRef pos_clk_un8_sm_amiga_1)) (portRef I0 (instanceRef pos_clk_un8_sm_amiga)) )) - (net N_324_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_1_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) + (net N_351_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2)) )) - (net N_324_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_2_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) + (net N_351_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2)) )) - (net N_150_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) + (net N_168_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) )) - (net un1_SM_AMIGA_5_i_1 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_1)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_0_o2_3_o3)) + (net N_192_0_1 (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_1)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3)) )) - (net un1_SM_AMIGA_5_i_2 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_0_o2_3_o3_2)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_0_o2_3_o3)) + (net N_192_0_2 (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3_2)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_i_a4_i_o3)) )) - (net N_138_i_1 (joined + (net N_137_i_1 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_3)) )) - (net N_138_i_2 (joined + (net N_137_i_2 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_2_3)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_3)) )) - (net N_146_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_3_0)) + (net N_145_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_3_0)) )) - (net N_146_i_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_3_0)) + (net N_145_i_2 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_2_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_3_0)) )) - (net N_146_i_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_0)) + (net N_145_i_3 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0)) )) - (net N_220_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_2)) + (net N_260_i_1 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e1_i_0)) )) - (net N_220_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_2_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_2)) + (net N_260_i_2 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_2)) + (portRef I1 (instanceRef RST_DLY_e1_i_0)) )) - (net N_375_1 (joined + (net N_259_i_1 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e2_i_0)) + )) + (net N_259_i_2 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0)) + )) + (net N_336_1 (joined (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_1)) (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0)) )) - (net N_375_2 (joined + (net N_336_2 (joined (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0_2)) (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_0)) )) - (net N_373_1 (joined + (net N_332_1 (joined (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_1)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_3)) + )) + (net N_332_2 (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_2)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_3)) + )) + (net N_332_3 (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_3)) (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3)) )) - (net N_373_2 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_0_a3_2)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_0_a3)) + (net N_317_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_2_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_3_0)) )) - (net N_210_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_3_0)) + (net N_317_2 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_2_2_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_3_0)) )) - (net N_210_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_3_0)) + (net N_317_3 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_2_3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_2_0)) )) - (net N_210_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_2_0)) + (net N_304_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a3_0_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a3_0_2)) + )) + (net N_304_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a3_0_2_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a3_0_2)) )) (net un5_ciin_1 (joined (portRef O (instanceRef un5_ciin_0_a2_0_a3_1)) @@ -4123,314 +4143,118 @@ (portRef O (instanceRef un5_ciin_0_a2_0_a3_11)) (portRef I1 (instanceRef un5_ciin_0_a2_0_a3)) )) - (net N_302_1 (joined - (portRef O (instanceRef RST_DLY_e0_i_0_a3_0_1)) - (portRef I0 (instanceRef RST_DLY_e0_i_0_a3_0)) - )) - (net N_244_i_1 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_1)) - (portRef I0 (instanceRef RST_DLY_e1_i_0)) - )) - (net N_244_i_2 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_2)) - (portRef I1 (instanceRef RST_DLY_e1_i_0)) - )) - (net N_243_i_1 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_1)) - (portRef I0 (instanceRef RST_DLY_e2_i_0)) - )) - (net N_410_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_410_2 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_410_3 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net N_410_4 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net N_237_1 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) - )) - (net N_237_2 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) + (net un22_berr_1_0 (joined + (portRef O (instanceRef un22_berr_0_a2_0_a3_1_0)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a3)) )) (net un21_fpu_cs_1 (joined (portRef O (instanceRef un21_fpu_cs_0_a2_0_a3_1)) (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a3)) )) - (net un22_berr_1_0 (joined - (portRef O (instanceRef un22_berr_0_a2_0_a3_1_0)) - (portRef I0 (instanceRef un22_berr_0_a2_0_a3)) + (net N_375_1 (joined + (portRef O (instanceRef un22_berr_0_a2_0_a2_1)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a2_4)) )) - (net N_233_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_a3_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_a3)) + (net N_375_2 (joined + (portRef O (instanceRef un22_berr_0_a2_0_a2_2)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a2_4)) )) - (net N_233_2 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_a3_2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i_a3)) + (net N_375_3 (joined + (portRef O (instanceRef un22_berr_0_a2_0_a2_3)) + (portRef I1 (instanceRef un22_berr_0_a2_0_a2)) )) - (net N_245_i_1 (joined - (portRef O (instanceRef RST_DLY_e0_i_0_1)) - (portRef I0 (instanceRef RST_DLY_e0_i_0)) - )) - (net N_128_i_1 (joined - (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_i_1)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_i)) + (net N_375_4 (joined + (portRef O (instanceRef un22_berr_0_a2_0_a2_4)) + (portRef I0 (instanceRef un22_berr_0_a2_0_a2)) )) (net N_134_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1)) + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) )) - (net N_124_0_1 (joined - (portRef O (instanceRef un1_SM_AMIGA_3_i_0_0_1)) - (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0_0)) - )) - (net N_267_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0)) - )) - (net N_268_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) - )) - (net N_355_0_1 (joined + (net N_96_0_1 (joined (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) )) - (net N_353_i_1 (joined + (net N_83_i_1 (joined (portRef O (instanceRef CLK_030_H_2_0_a2_i_1)) (portRef I0 (instanceRef CLK_030_H_2_0_a2_i)) )) - (net N_140_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_4)) + (net N_261_i_1 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e0_i_0)) )) - (net N_142_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_5)) + (net N_141_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_5)) )) - (net N_280_1 (joined - (portRef O (instanceRef un5_e_0_0_a3_0_1)) - (portRef I0 (instanceRef un5_e_0_0_a3_0)) + (net N_139_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_4)) )) - (net N_225_1 (joined + (net N_133_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1)) + )) + (net N_123_0_1 (joined + (portRef O (instanceRef un1_SM_AMIGA_3_i_0_1)) + (portRef I0 (instanceRef un1_SM_AMIGA_3_i_0)) + )) + (net N_282_i_1 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + )) + (net N_343_1 (joined + (portRef O (instanceRef un5_e_i_i_a3_0_1)) + (portRef I0 (instanceRef un5_e_i_i_a3_0)) + )) + (net N_339_1 (joined + (portRef O (instanceRef cpu_est_2_0_0_a3_1_1_1)) + (portRef I0 (instanceRef cpu_est_2_0_0_a3_1_1)) + )) + (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined + (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_0_a3_1)) + (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3)) + )) + (net N_326_1 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_6)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) )) - (net N_224_1 (joined + (net N_325_1 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_6)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_6)) )) - (net N_219_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_2)) - )) - (net N_218_1 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_0_1_1)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_0_1)) - )) - (net N_212_1 (joined + (net N_324_1 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_1_3)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_3)) )) - (net N_208_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_1_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_1_0)) + (net N_316_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_1_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_1_0)) )) - (net N_207_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_0)) + (net N_313_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a3_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a3_0_0)) )) - (net N_200_1 (joined + (net N_303_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_i_a3_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a3_2)) + )) + (net N_297_1 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3_0_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a3_0)) + )) + (net N_266_1 (joined (portRef O (instanceRef RST_DLY_e1_i_0_a3_0_1)) (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_0)) )) - (net N_195_1 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a3_1)) + (net N_240_1 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a3_1_0)) (portRef I0 (instanceRef RST_DLY_e2_i_0_a3)) )) (net (rename pos_clk_ipl_1 "pos_clk.ipl_1") (joined (portRef O (instanceRef G_137_1)) (portRef I0 (instanceRef G_137)) )) - (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined - (portRef O (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_1__n)) - )) - (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined - (portRef O (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined - (portRef O (instanceRef IPL_030_0_1__n)) - (portRef I1 (instanceRef IPL_030_0_1__p)) - )) - (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_r)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_n)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined - (portRef O (instanceRef AS_000_INT_0_r)) - (portRef I1 (instanceRef AS_000_INT_0_n)) - )) - (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined - (portRef O (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_p)) - )) - (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined - (portRef O (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef AS_000_INT_0_p)) - )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) - )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined - (portRef O (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_2__n)) - )) - (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined - (portRef O (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__p)) - )) - (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined - (portRef O (instanceRef IPL_030_0_2__n)) - (portRef I1 (instanceRef IPL_030_0_2__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.un3") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__r)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__n)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.un1") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.un0") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__n)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_0_m3_1__un3 "SM_AMIGA_srsts_i_0_0_m3_1_.un3") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__n)) - )) - (net (rename SM_AMIGA_srsts_i_0_0_m3_1__un1 "SM_AMIGA_srsts_i_0_0_m3_1_.un1") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_0_m3_1__un0 "SM_AMIGA_srsts_i_0_0_m3_1_.un0") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_m3_1__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_0_m3_5__un3 "SM_AMIGA_srsts_i_0_0_m3_5_.un3") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__n)) - )) - (net (rename SM_AMIGA_srsts_i_0_0_m3_5__un1 "SM_AMIGA_srsts_i_0_0_m3_5_.un1") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_0_m3_5__un0 "SM_AMIGA_srsts_i_0_0_m3_5_.un0") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_m3_5__p)) - )) - (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined - (portRef O (instanceRef SIZE_DMA_0_0__r)) - (portRef I1 (instanceRef SIZE_DMA_0_0__n)) - )) - (net (rename SIZE_DMA_0_0__un1 "SIZE_DMA_0_0_.un1") (joined - (portRef O (instanceRef SIZE_DMA_0_0__m)) - (portRef I0 (instanceRef SIZE_DMA_0_0__p)) - )) - (net (rename SIZE_DMA_0_0__un0 "SIZE_DMA_0_0_.un0") (joined - (portRef O (instanceRef SIZE_DMA_0_0__n)) - (portRef I1 (instanceRef SIZE_DMA_0_0__p)) - )) - (net (rename SIZE_DMA_0_1__un3 "SIZE_DMA_0_1_.un3") (joined - (portRef O (instanceRef SIZE_DMA_0_1__r)) - (portRef I1 (instanceRef SIZE_DMA_0_1__n)) - )) - (net (rename SIZE_DMA_0_1__un1 "SIZE_DMA_0_1_.un1") (joined - (portRef O (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef SIZE_DMA_0_1__p)) - )) - (net (rename SIZE_DMA_0_1__un0 "SIZE_DMA_0_1_.un0") (joined - (portRef O (instanceRef SIZE_DMA_0_1__n)) - (portRef I1 (instanceRef SIZE_DMA_0_1__p)) - )) - (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined - (portRef O (instanceRef AS_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined - (portRef O (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined - (portRef O (instanceRef AS_000_DMA_0_n)) - (portRef I1 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined - (portRef O (instanceRef BGACK_030_INT_0_r)) - (portRef I1 (instanceRef BGACK_030_INT_0_n)) - )) - (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined - (portRef O (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined - (portRef O (instanceRef BGACK_030_INT_0_n)) - (portRef I1 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined - (portRef O (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_n)) - )) - (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined - (portRef O (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined - (portRef O (instanceRef RW_000_DMA_0_n)) - (portRef I1 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined - (portRef O (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_n)) - )) - (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined - (portRef O (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_p)) - )) - (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined - (portRef O (instanceRef A0_DMA_0_n)) - (portRef I1 (instanceRef A0_DMA_0_p)) - )) (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) @@ -4443,17 +4267,149 @@ (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined + (portRef O (instanceRef RW_000_INT_0_r)) + (portRef I1 (instanceRef RW_000_INT_0_n)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined + (portRef O (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef RW_000_INT_0_p)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined + (portRef O (instanceRef RW_000_INT_0_n)) + (portRef I1 (instanceRef RW_000_INT_0_p)) + )) + (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined + (portRef O (instanceRef BGACK_030_INT_0_r)) + (portRef I1 (instanceRef BGACK_030_INT_0_n)) + )) + (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined + (portRef O (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined + (portRef O (instanceRef BGACK_030_INT_0_n)) + (portRef I1 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_r)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) + )) + (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) + )) + (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_n)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) + )) + (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined + (portRef O (instanceRef DS_000_ENABLE_0_r)) + (portRef I1 (instanceRef DS_000_ENABLE_0_n)) + )) + (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined + (portRef O (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_p)) + )) + (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined + (portRef O (instanceRef DS_000_ENABLE_0_n)) + (portRef I1 (instanceRef DS_000_ENABLE_0_p)) + )) + (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined + (portRef O (instanceRef AS_000_INT_0_r)) + (portRef I1 (instanceRef AS_000_INT_0_n)) + )) + (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined + (portRef O (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_p)) + )) + (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined + (portRef O (instanceRef AS_000_INT_0_n)) + (portRef I1 (instanceRef AS_000_INT_0_p)) + )) + (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined + (portRef O (instanceRef DSACK1_INT_0_r)) + (portRef I1 (instanceRef DSACK1_INT_0_n)) + )) + (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined + (portRef O (instanceRef DSACK1_INT_0_m)) + (portRef I0 (instanceRef DSACK1_INT_0_p)) + )) + (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined + (portRef O (instanceRef DSACK1_INT_0_n)) + (portRef I1 (instanceRef DSACK1_INT_0_p)) + )) + (net (rename SIZE_DMA_0_1__un3 "SIZE_DMA_0_1_.un3") (joined + (portRef O (instanceRef SIZE_DMA_0_1__r)) + (portRef I1 (instanceRef SIZE_DMA_0_1__n)) + )) + (net (rename SIZE_DMA_0_1__un1 "SIZE_DMA_0_1_.un1") (joined + (portRef O (instanceRef SIZE_DMA_0_1__m)) + (portRef I0 (instanceRef SIZE_DMA_0_1__p)) + )) + (net (rename SIZE_DMA_0_1__un0 "SIZE_DMA_0_1_.un0") (joined + (portRef O (instanceRef SIZE_DMA_0_1__n)) + (portRef I1 (instanceRef SIZE_DMA_0_1__p)) + )) + (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined + (portRef O (instanceRef SIZE_DMA_0_0__r)) + (portRef I1 (instanceRef SIZE_DMA_0_0__n)) + )) + (net (rename SIZE_DMA_0_0__un1 "SIZE_DMA_0_0_.un1") (joined + (portRef O (instanceRef SIZE_DMA_0_0__m)) + (portRef I0 (instanceRef SIZE_DMA_0_0__p)) + )) + (net (rename SIZE_DMA_0_0__un0 "SIZE_DMA_0_0_.un0") (joined + (portRef O (instanceRef SIZE_DMA_0_0__n)) + (portRef I1 (instanceRef SIZE_DMA_0_0__p)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_1__un3 "SM_AMIGA_srsts_i_0_m2_1_.un3") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__r)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_1__un1 "SM_AMIGA_srsts_i_0_m2_1_.un1") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_1__un0 "SM_AMIGA_srsts_i_0_m2_1_.un0") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_5__un3 "SM_AMIGA_srsts_i_0_m2_5_.un3") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_5__r)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_5__n)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_5__un1 "SM_AMIGA_srsts_i_0_m2_5_.un1") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_5__m)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_5__p)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_5__un0 "SM_AMIGA_srsts_i_0_m2_5_.un0") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_5__n)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_5__p)) + )) + (net (rename cpu_est_0_1__un3 "cpu_est_0_1_.un3") (joined + (portRef O (instanceRef cpu_est_0_1__r)) + (portRef I1 (instanceRef cpu_est_0_1__n)) + )) + (net (rename cpu_est_0_1__un1 "cpu_est_0_1_.un1") (joined + (portRef O (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_0_1__p)) + )) + (net (rename cpu_est_0_1__un0 "cpu_est_0_1_.un0") (joined + (portRef O (instanceRef cpu_est_0_1__n)) + (portRef I1 (instanceRef cpu_est_0_1__p)) )) (net (rename cpu_est_0_2__un3 "cpu_est_0_2_.un3") (joined (portRef O (instanceRef cpu_est_0_2__r)) @@ -4491,17 +4447,41 @@ (portRef O (instanceRef IPL_030_0_0__n)) (portRef I1 (instanceRef IPL_030_0_0__p)) )) - (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined - (portRef O (instanceRef RW_000_INT_0_r)) - (portRef I1 (instanceRef RW_000_INT_0_n)) + (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined + (portRef O (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_1__n)) )) - (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined - (portRef O (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_p)) + (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined + (portRef O (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__p)) )) - (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined - (portRef O (instanceRef RW_000_INT_0_n)) - (portRef I1 (instanceRef RW_000_INT_0_p)) + (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined + (portRef O (instanceRef IPL_030_0_1__n)) + (portRef I1 (instanceRef IPL_030_0_1__p)) + )) + (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined + (portRef O (instanceRef IPL_030_0_2__r)) + (portRef I1 (instanceRef IPL_030_0_2__n)) + )) + (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined + (portRef O (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__p)) + )) + (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined + (portRef O (instanceRef IPL_030_0_2__n)) + (portRef I1 (instanceRef IPL_030_0_2__p)) + )) + (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined + (portRef O (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef A0_DMA_0_n)) + )) + (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined + (portRef O (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_p)) + )) + (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined + (portRef O (instanceRef A0_DMA_0_n)) + (portRef I1 (instanceRef A0_DMA_0_p)) )) (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined (portRef O (instanceRef UDS_000_INT_0_r)) @@ -4527,6 +4507,18 @@ (portRef O (instanceRef VMA_INT_0_n)) (portRef I1 (instanceRef VMA_INT_0_p)) )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) (net (rename BG_000_0_un3 "BG_000_0.un3") (joined (portRef O (instanceRef BG_000_0_r)) (portRef I1 (instanceRef BG_000_0_n)) @@ -4539,41 +4531,29 @@ (portRef O (instanceRef BG_000_0_n)) (portRef I1 (instanceRef BG_000_0_p)) )) - (net (rename cpu_est_0_1__un3 "cpu_est_0_1_.un3") (joined - (portRef O (instanceRef cpu_est_0_1__r)) - (portRef I1 (instanceRef cpu_est_0_1__n)) + (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined + (portRef O (instanceRef DS_000_DMA_0_r)) + (portRef I1 (instanceRef DS_000_DMA_0_n)) )) - (net (rename cpu_est_0_1__un1 "cpu_est_0_1_.un1") (joined - (portRef O (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_0_1__p)) + (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined + (portRef O (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_p)) )) - (net (rename cpu_est_0_1__un0 "cpu_est_0_1_.un0") (joined - (portRef O (instanceRef cpu_est_0_1__n)) - (portRef I1 (instanceRef cpu_est_0_1__p)) + (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined + (portRef O (instanceRef DS_000_DMA_0_n)) + (portRef I1 (instanceRef DS_000_DMA_0_p)) )) - (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined - (portRef O (instanceRef DSACK1_INT_0_r)) - (portRef I1 (instanceRef DSACK1_INT_0_n)) + (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined + (portRef O (instanceRef AS_000_DMA_0_r)) + (portRef I1 (instanceRef AS_000_DMA_0_n)) )) - (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined - (portRef O (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_p)) + (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined + (portRef O (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_p)) )) - (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined - (portRef O (instanceRef DSACK1_INT_0_n)) - (portRef I1 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined - (portRef O (instanceRef DS_000_ENABLE_0_r)) - (portRef I1 (instanceRef DS_000_ENABLE_0_n)) - )) - (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined - (portRef O (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined - (portRef O (instanceRef DS_000_ENABLE_0_n)) - (portRef I1 (instanceRef DS_000_ENABLE_0_p)) + (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined + (portRef O (instanceRef AS_000_DMA_0_n)) + (portRef I1 (instanceRef AS_000_DMA_0_p)) )) (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined (portRef O (instanceRef LDS_000_INT_0_r)) @@ -4587,6 +4567,18 @@ (portRef O (instanceRef LDS_000_INT_0_n)) (portRef I1 (instanceRef LDS_000_INT_0_p)) )) + (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined + (portRef O (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_n)) + )) + (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined + (portRef O (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_p)) + )) + (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined + (portRef O (instanceRef RW_000_DMA_0_n)) + (portRef I1 (instanceRef RW_000_DMA_0_p)) + )) ) (property orig_inst_of (string "BUS68030")) ) diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index 6a87d09..2aa3740 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Sun Jan 24 16:20:40 2016 +#-- Written on Mon Jan 25 07:24:04 2016 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index 0fb8f8e..1f3c946 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -187,155 +187,153 @@ NR#3H_8PED;R4 RNP3ONsEDVHC;R( RNP38lFkVDCHRDC(N; P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\F\8OCklM\0#\0oHE\kL\jnUd j0\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; -POR3DMCNk#b_0.Cb_l0HC3RjjUcn(;6j +POR3DMCNk#b_0.Cb_l0HC3Rjj.d46;jj RNP3CODNbMk_C#0b04_HRlCjj3jjjjj;P NRF3OMN#0Ms0_C"oRABtqid_jjh_Qa)_u "R4;P -NR03#lH0D#H00ljCR36j4nj.6;P -NRHFsoM_H#F0_VAR"zU1nj"dj;P -NRs3FHNohl"CRAnz1Ujjd"N; -P#R3$lM_#_s##HC08;Rj -RNP3FVDI0_#NR0C{P -NRD3VFbI_s#Fb_bNbD8HCR -4;N3PRVIDF_HkMJVkHHRC84N; -PVR3D_FIbCNsMH0_bN#_#o#HMRC84N; -PVR3D_FIDbFF#s_LFM CR -j;}N; -P$R#M#_HlCHG8MDNo;R4 -RNP3M#$_#lV_FoskHb_8;Rj -RNP3M#$_lMkOsEN#(RU( -.;N3PR#_$MD HMC8sHR7"{6nB(g-w((Acj-(cng -q4.7-Uwgg4.64c}c4"N; -POR38#L_NRPC{P -NRM#$_VsCCMsCOOC_D FORN{ -P$R1#l0CRN{ -P#R3$sM_CDVOF_O 0C$bR -g;}}; +NR03#lH0D#H00ljCR3jjjj;jj +RNPFosH_#HM0V_FRz"A1jnUd;j" +RNP3HFsolhNCAR"zU1nj"dj;P +NR$3#M#_ls##_C80HR +j;N3PRVIDF_N#00{CR +RNP3FVDIs_bF_b#NDbbHRC84N; +PVR3D_FIkJMHkHHVC48R;P +NRD3VFbI_NMsC0b_H##_N#MHoC48R;P +NRD3VFDI_F#Fb_FLs RCMj}; +;P +NRM#$_lH#H8GCDoNMR +4;N3PR#_$Ml_V#oksFb8_HR +j;N3PR#_$MMOklE#NsRnUncN; +P#R3$DM_HCM sRH8"6{q.c6qg4c-c-qAc(qd-4g(Ag-j ( c4dwgc"d};P +NR83OLN_#P{CR +RNP#_$MsCCVsOCMCD_OFRO {P +NR#1$0RCl{P +NR$3#MC_sVFODO0 _$RbCg}; ;; } -RNP3#FLF8b0FRMC4L; -R(@@::4n4n:4:qn:1d_jj1Rq_jjd;H -NR03sDs_FHNoMl"CRqj1_d;j" -RNH#_$M0#sH0CN0R -4;oqbR1d_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::4(4(:4:qn:1j_jj1Rq_jjj;H -NR03sDs_FHNoMl"CRqj1_j;j" -RNH#_$M0#sH0CN0R -4;oqbR1j_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::4U4U:4:)n:Wj_jjWR)_jjj;H -NR03sDs_FHNoMl"CR)jW_j;j" -RNH#_$M0#sH0CN0R -4;o)bRWj_jjN; -b$R#MF_bsD0VNRo#UF; -R(@@::4g4g:4:7n:1d_jj1R7_jjd;H -NR03sDs_FHNoMl"CR7j1_d;j" -RNH3b#DFosH8RHs"FHMk;0" -RNH3sbF08NDH"sRF"k0;R -L@:@(.4j:::.j(7:z1j_jj7Rz1j_jjN; -HsR30FD_sMHoNRlC"1z7_jjj"N; -H$R#Ms_0HN#004CR;b -oR1z7_jjj;b + +};N3PRFFL#bF08M4CR;R +L@:@(44n:::4nn1:q_jjdR_q1j;dj +RNH3Ds0_HFsolMNCqR"1d_jj +";N#HR$0M_s0H#NR0C4o; +b1Rq_jjd;b NRM#$_sbF0NVDoU#R;R -L@:@(.44:::.4(7:p1j_jj7Rp1j_jjN; -HsR30FD_sMHoNRlC"1p7_jjj"N; -H$R#Ms_0HN#004CR;b -oR1p7_jjj;b +L@:@(44(:::4(n1:q_jjjR_q1j;jj +RNH3Ds0_HFsolMNCqR"1j_jj +";N#HR$0M_s0H#NR0C4o; +b1Rq_jjj;b NRM#$_sbF0NVDoU#R;R -L@:@(.4.:::..cQ:1Z4 r:Rj91 QZrj4:9QR1Z4 r:;j9 -RNH3Ds0_HFsolMNC1R"Q"Z ;H -NR83CHsVNsMN$NRlC'x#HC -';N#HR$0M_s0H#NR0C4o; -bQR1Zj r9N; -b$R#MF_bsD0VNRo#Uo; -bQR1Z4 r9N; -b$R#MF_bsD0VNRo#UH; -R(@@::.d4d:.:q4:r:d4.q9Rr:d4.q9Rr:d4. -9;N3HRs_0DFosHMCNlR""q;H -NR83CHsVNsMN$NRlC';N' -@LR@.(:c::4..c::Rqjq -j;N3HRs_0DFosHMCNlRj"q"N; -H$R#Ms_0HN#004CR;b -oR;qj -RNb#_$Mb0FsVoDN#;RU -@HR@.(:6::4..6::Rq4q -4;N3HRs_0DFosHMCNlR4"q"H; -R(@@::.n4n:.::4jMu X_q1uBM R _Xu1Buq N; -HsR30FD_sMHoNRlC"XM uu_1q"B ;R -L@:@(.4(:::.(c :A)A)R ;)) -RNH3Ds0_HFsolMNCAR" "));H -NRM#$_H0s#00NC;R4 -RobA) );b +L@:@(44U:::4UnW:)_jjjR_)Wj;jj +RNH3Ds0_HFsolMNC)R"Wj_jj +";N#HR$0M_s0H#NR0C4o; +bWR)_jjj;b NRM#$_sbF0NVDoU#R;R -H@:@(.4U:::.Unt:A_jjdR_Atj;dj -RNH3Ds0_HFsolMNCAR"td_jj -";F@R@(g:.:.4:g::nAjt_jAjRtj_jjN; -HsR30FD_sMHoNRlC"_Atj"jj;R -F@:@(d4j:::djgt:Aq_BijRdjABtqid_jjN; -HsR30FD_sMHoNRlC"qAtBji_d;j" -@HR@d(:4::4dg4::qAtBji_jAjRtiqB_jjj;H -NR03sDs_FHNoMl"CRABtqij_jj -";H@R@(.:d:d4:.::(B_pijRdjB_pij;dj -RNH3Ds0_HFsolMNCBR"pji_d;j" -@HR@d(:d::4d(d::iBp_jjjRiBp_jjj;H -NR03sDs_FHNoMl"CRB_pij"jj;R -H@:@(d4c:::dcUp:Bi1_mZBQRpmi_1;ZQ -RNH3Ds0_HFsolMNCBR"pmi_1"ZQ;R -F@:@(d46:::d64B4:p7i_Qme_zBaRp7i_Qme_z -a;N3HRs_0DFosHMCNlRp"BiQ_7ez_ma -";F@R@(n:d:d4:n::(B_pi RXuB_pi ;Xu -RNH3Ds0_HFsolMNCBR"p i_X;u" -@FR@d(:(::4dn(::zwu_RB1w_uzB -1;N3HRs_0DFosHMCNlRu"wz1_B"H; -R(@@::dU4U:d:wg:u1z_ h1Rzwu_h1 1 - ;N3HRs_0DFosHMCNlRu"wz _1h"1 ;R -F@:@(d4g:::dg(u:Qpd_jj:r.jQ9Rujp_d.jr:Rj9Q_upjrdj.9:j;H -NR03sDs_FHNoMl"CRQ_upj"dj;H -NR83CHsVNsMN$NRlC'DHb_jjd'H; -R(@@::cj4j:c:Qd:u.pr:Rj9Qrup.9:jRpQurj.:9N; -HsR30FD_sMHoNRlC"pQu"N; -HCR38NHVs$sNMCNlRb'HD -';F@R@(4:c:c4:4::n7B1qi74R1iqB4N; -HsR30FD_sMHoNRlC"q71B"i4;H -NRD3#bHFsos8HRM"HF"k0;H -NRF3bsD0N8RHs"0Fk"H; -R(@@::c.4.:c:76:aiqBRq7aB -i;N3HRs_0DFosHMCNlRa"7q"Bi;H -NRs3FHHo8sHR'M0Fk'F; -R(@@::cd4d:c:qc:eR BqBe ;H -NR03sDs_FHNoMl"CRqBe "F; -R(@@::cc4c:c: 4:R - ;N3HRs_0DFosHMCNlR"" ;R -H@:@(c46:::c6du:equReqN; -HsR30FD_sMHoNRlC"qeu"F; -R(@@::cn4n:c:ed:veqRv -q;N3HRs_0DFosHMCNlRv"eq -";H@R@((:c:c4:(::d)R1a);1a -RNH3Ds0_HFsolMNC)R"1;a" -@FR@c(:U::4c6U::1) )aR a1 ;H -NR03sDs_FHNoMl"CR) 1a +F@:@(44g:::4gn1:7_jjdR_71j;dj +RNH3Ds0_HFsolMNC7R"1d_jj ";N3HR#FDbs8HoH"sRHkMF0 ";N3HRb0FsNHD8sFR"k;0" -@LR@c(:g::4c.g::R)W) -W;N3HRs_0DFosHMCNlRW")"N; -H$R#Ms_0HN#004CR;b -oR;)W +@LR@.(:j::4.(j::1z7_jjjR1z7_jjj;H +NR03sDs_FHNoMl"CRz_71j"jj;H +NRM#$_H0s#00NC;R4 +Robz_71j;jj RNb#_$Mb0FsVoDN#;RU -@HR@6(:4::46.4::rwB49:jRrwB49:jRrwB49:j;H -NR03sDs_FHNoMl"CRw;B" -RNH3HC8VsNsNN$Ml'CRV;O' -@FR@6(:.::464.:(v:qQ_tqq)77_q hARp qtvQq7_q7 )_hpqA N; -HsR30FD_sMHoNRlC"Qqvtqq_7_7) Ahqp; " -@FR@6(:d::464d:Uv:qQ_tqA_z17qqa_)7QRQqvtAq_z71_q_aq7;Q) -RNH3Ds0_HFsolMNCqR"vqQt_1Az_a7qqQ_7) -";F@R@(c:6:64:cj:.:QqvtAq_z 1_hpqA m_pWvRqQ_tqA_z1 Ahqpp _m -W;N3HRs_0DFosHMCNlRv"qQ_tqA_z1 Ahqpp _m;W" -@FR@6(:6::46.6:4v:qQ_tqA_z1 Ahqp] _QRt]qtvQqz_A1h_ q Ap_t]Q]N; -HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA Q_]t;]" -@FR@6(:n::46cn::QBQhQRBQ -h;N3HRs_0DFosHMCNlRQ"BQ;h" -RoMk_M6CN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMN4_lNHo_#Lk_NCML_DCD;FI +@LR@.(:4::4.(4::1p7_jjjR1p7_jjj;H +NR03sDs_FHNoMl"CRp_71j"jj;H +NRM#$_H0s#00NC;R4 +Robp_71j;jj +RNb#_$Mb0FsVoDN#;RU +@LR@.(:.::4.c.::Z1Q :r4j19RQrZ 49:jRZ1Q :r4j +9;N3HRs_0DFosHMCNlRQ"1Z; " +RNH3HC8VsNsNN$Ml'CR#CHx'N; +H$R#Ms_0HN#004CR;b +oRZ1Q 9rj;b +NRM#$_sbF0NVDoU#R;b +oRZ1Q 9r4;b +NRM#$_sbF0NVDoU#R;R +H@:@(.4d:::.d4r:qd.4:9rRqd.4:9rRqd.4:9N; +HsR30FD_sMHoNRlC";q" +RNH3HC8VsNsNN$Ml'CRN +';L@R@(c:.:.4:c::.qqjRjN; +HsR30FD_sMHoNRlC""qj;H +NRM#$_H0s#00NC;R4 +Robq +j;N#bR$bM_FVs0D#NoR +U;H@R@(6:.:.4:6::.qq4R4N; +HsR30FD_sMHoNRlC""q4;R +H@:@(.4n:::.n4Mj: _Xu1Buq RMX1u_u qB;H +NR03sDs_FHNoMl"CRMu X_q1uB; " +@LR@.(:(::4.c(::)A ) RA) +);N3HRs_0DFosHMCNlR "A);)" +RNH#_$M0#sH0CN0R +4;oAbR ;)) +RNb#_$Mb0FsVoDN#;RU +@HR@.(:U::4.nU::_AtjRdjAjt_d +j;N3HRs_0DFosHMCNlRt"A_jjd"F; +R(@@::.g4g:.:An:tj_jjtRA_jjj;H +NR03sDs_FHNoMl"CRAjt_j;j" +@FR@d(:j::4dgj::qAtBji_dAjRtiqB_jjd;H +NR03sDs_FHNoMl"CRABtqid_jj +";H@R@(4:d:d4:4::gABtqij_jjtRAq_Bij;jj +RNH3Ds0_HFsolMNCAR"tiqB_jjj"H; +R(@@::d.4.:d:B(:pji_dBjRpji_d +j;N3HRs_0DFosHMCNlRp"Bid_jj +";H@R@(d:d:d4:d::(B_pijRjjB_pij;jj +RNH3Ds0_HFsolMNCBR"pji_j;j" +@HR@d(:c::4dUc::iBp_Zm1QpRBi1_mZ +Q;N3HRs_0DFosHMCNlRp"Bi1_mZ;Q" +@FR@d(:6::4d46:4p:BiQ_7ez_mapRBiQ_7ez_maN; +HsR30FD_sMHoNRlC"iBp_e7Q_amz"F; +R(@@::dn4n:d:B(:p i_XBuRp i_X +u;N3HRs_0DFosHMCNlRp"BiX_ u +";F@R@((:d:d4:(::nw_uzBw1RuBz_1N; +HsR30FD_sMHoNRlC"zwu_"B1;R +H@:@(d4U:::dUgu:wz _1hR1 w_uz11 h N; +HsR30FD_sMHoNRlC"zwu_h1 1; " +@FR@d(:g::4d(g::pQu_jjdrj.:9uRQpd_jj:r.jQ9Rujp_d.jr:;j9 +RNH3Ds0_HFsolMNCQR"ujp_d;j" +RNH3HC8VsNsNN$Ml'CRH_bDj'dj;R +H@:@(c4j:::cjdu:Qp:r.jQ9Ru.pr:Rj9Qrup.9:j;H +NR03sDs_FHNoMl"CRQ"up;H +NR83CHsVNsMN$NRlC'DHb'F; +R(@@::c444:c:7n:1iqB41R7q4Bi;H +NR03sDs_FHNoMl"CR7B1qi;4" +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +H@:@(c4.:::c.6a:7qRBi7BaqiN; +HsR30FD_sMHoNRlC"q7aB;i" +RNH3HFsos8HRM'HF'k0;R +F@:@(c4d:::cdce:q qBRe; B +RNH3Ds0_HFsolMNCqR"e" B;R +F@:@(c4c:::cc4R: N; +HsR30FD_sMHoNRlC"; " +@HR@c(:6::4cd6::qeuRqeu;H +NR03sDs_FHNoMl"CRe"uq;R +F@:@(c4n:::cndv:eqvReqN; +HsR30FD_sMHoNRlC"qev"H; +R(@@::c(4(:c:)d:1)aR1 +a;N3HRs_0DFosHMCNlR1")a +";F@R@(U:c:c4:U::6) 1a R)1; a +RNH3Ds0_HFsolMNC)R" a1 "N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";L@R@(g:c:c4:g::.))WRWN; +HsR30FD_sMHoNRlC"")W;H +NRM#$_H0s#00NC;R4 +Rob) +W;N#bR$bM_FVs0D#NoR +U;H@R@(4:6:64:4::.w4Br:Rj9w4Br:Rj9w4Br:;j9 +RNH3Ds0_HFsolMNCwR"B +";N3HRCV8HNNss$lMNCVR'O +';F@R@(.:6:64:.(:4:Qqvtqq_7_7) Ahqpq RvqQt_7q7)h_ q Ap;H +NR03sDs_FHNoMl"CRqtvQq7_q7 )_hpqA +";F@R@(d:6:64:dU:4:QqvtAq_z71_q_aq7RQ)qtvQqz_A1q_7a7q_Q +);N3HRs_0DFosHMCNlRv"qQ_tqA_z17qqa_)7Q"F; +R(@@::6c4c:6::.jqtvQqz_A1h_ q Ap_WpmRQqvtAq_z 1_hpqA m_pWN; +HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA m_pW +";F@R@(6:6:64:64:.:QqvtAq_z 1_hpqA Q_]tq]RvqQt_1Az_q hA_p ]]Qt;H +NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap_t]Q] +";F@R@(n:6:64:n::cBhQQRQBQhN; +HsR30FD_sMHoNRlC"QBQh +";okMRMN4_lNHo_#Lk_NCML_DCD;FI RNM3P#NCC_M0D_VN4o#Rn.6;M oRdkM_x#HCN; M#R3N_PCM_C0VoDN#.4R6 @@ -349,17 +347,15 @@ n;okMRMO6_H;HM RNM3P#NCC_M0D_VN4o#Rn.6;M oRckM__N#j;jj RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM__1vqtvQq;_6 -RNM3P#NCC_M0D_VN4o#Rn.6;M oR.kM4b_Vk#_O;M NRN3#PMC_CV0_D#No46R.no; MMRk.L._C;ss RNM3P#NCC_M0D_VN4o#Rn.6;M oRnkM__8#j;dj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_;M +oRdh_;M NRN3#PMC_CV0_D#No46R.no; -M_RhnN; +M_RhUN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;44 RNM3P#NCC_M0D_VN4o#Rn.6;M @@ -373,16 +369,24 @@ n;ohMR_;4n RNM3P#NCC_M0D_VN4o#Rn.6;M oR4h_(N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4U +n;ohMR_;4g RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_4N; +oR.h_jN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.. +n;ohMR_;.4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;.6 RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_nN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;.( RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_UN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;.g +RNM3P#NCC_M0D_VN4o#Rn.6;M oRdh_jN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;d4 @@ -395,7 +399,7 @@ oRdh_cN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;d6 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_nN; +oRdh_(N; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;dU RNM3P#NCC_M0D_VN4o#Rn.6;M @@ -409,7 +413,7 @@ n;ohMR_;c. RNM3P#NCC_M0D_VN4o#Rn.6;M oRch_dN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cc +n;ohMR_;c6 RNM3P#NCC_M0D_VN4o#Rn.6;M oRch_nN; M#R3N_PCM_C0VoDN#.4R6 @@ -419,7 +423,7 @@ oRch_UN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;cg RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_jN; +oR6h_4N; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;6. RNM3P#NCC_M0D_VN4o#Rn.6;M @@ -431,9 +435,9 @@ oR6h_6N; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;6n RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_(N; +oR6h_gN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;nj +n;ohMR_;(4 RNM3P#NCC_M0D_VN4o#Rn.6;M oR(h_.N; M#R3N_PCM_C0VoDN#.4R6 @@ -449,95 +453,109 @@ n;ohMR_;(( RNM3P#NCC_M0D_VN4o#Rn.6;M oR(h_UN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;(g -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_. -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_.dN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_c.4;M +n;ohMR_d4.;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;46 +M_Rh.;jg RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_n +oR.h_4 +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_.cN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_6.4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;4( +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_6 +U;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhU_.dN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_j.g;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;g( +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_j +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhj_d4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ddj;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;jc +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_j +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhj_d(N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_jd4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;4. +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_4 +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_dnN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_(d4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;.j +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_. +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._d.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_dd.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;.c +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_. +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._dnN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_Ud.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;dj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_d +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_d.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ndd;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;dU +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_d g;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhU_..N; +RoMhc_djN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_d.U;M +n;ohMR_4dc;M NRN3#PMC_CV0_D#No46R.no; -M_Rhd;66 +M_Rhd;c. +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_c +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhc_dcN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_6dc;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;c( RNM3P#NCC_M0D_VN4o#Rn.6;M oRdh_6 -U;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh(_ddN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_6d(;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__434kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_djj_j1j_Y_hBjM3kdN; +RoMh6_d4N; M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1d_jjj_jjY_1hjB_34kM;M +n;ohMR_dd6;M NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjd_jjj_h1YB3_jk;Mj +M_Rhd;n4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jjQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjh_Qa3_jk;M4 +oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jjQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Md +oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Mj +oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3kdN; +oR_)Wj_jjQ_hajM3kdN; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3._k;M4 +n;o)MRWj_jjh_Qa3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__jlj.__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__jlj.__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__jlj.__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj__jl4d__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj__jl4d__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj__jl4d__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj__jl6d__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj__jl6d__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj__jl6d__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRQ_Z 7_vqj__j3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__3j_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRZ1Q v_7q__jjk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1 QZ_q7v_4j__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRQ_Z 7_vqj__434kM;M -NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__34_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kjN; +oR_)Wj_jjQ_hajM3kjN; M#R3N_PCM_C0VoDN#.4R6 n;oAMRtiqB_jjd_aQh_kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n @@ -545,71 +563,59 @@ RoMABtqid_jjh_Qa3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M oRqAtBji_dQj_hja_3jkM;M NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_q7v_kj3M +M1Rq_jjd_jjj_h1YB3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_djj_jj1BYh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_djj_j1j_Y_hBjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1j_jjh_ q Ap_kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_j7j_vjq_34kM;M +RoM7j1_j j_hpqA 3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj Ahqpj _3jkM;M NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_q7v_kj3M +M1Rq_jjj_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_aQh_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMq7j_vjq_3dkM;M +RoM7B1qiQ4_hja_3dkM;M NRN3#PMC_CV0_D#No46R.no; -MjRq_q7v_kj3M +M1R7q4Bi_aQh_kj3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMq7j_vjq_3jkM;M +RoM7B1qiQ4_hja_3jkM;M NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M +MQR1Z7 _vjq__34_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRZ1Q v_7q__j4k_3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_.j__M3kdN; +RoM1 QZ_q7v_4j__M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3._k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_.j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3d_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_dj__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3d_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3j_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1z7_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMz_71j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ozMR7j1_jQj_hja_3jkM;M +n;o1MRQ_Z 7_vqj__j3dkM;M NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Md +MQR1Z7 _vjq__3j_k;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqev_aQh_kj3M +oRZ1Q v_7q__jjk_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1qv_vqQt_##s0H#__lj_.__43dkM;M +NRN3#PMC_CV0_D#No46R.no; +MvR1_Qqvt#q_s##0_jH___l.4k_3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtj_jj3_jk;Md +RoM1qv_vqQt_##s0H#__lj_.__43jkM;M +NRN3#PMC_CV0_D#No46R.no; +MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_Atj_jjjM3k4N; +oR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtj_jj3_jk;Mj +n;o1MRvv_qQ_tq#0s##__Hj._l_36_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_1vqtvQqs_##_0#H__jl6.__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o1MRvv_qQ_tq#0s##__Hj._l_36_k;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M oRkOb_0C#_4j__M3kdN; M#R3N_PCM_C0VoDN#.4R6 @@ -617,28 +623,94 @@ n;oOMRbCk_#j0__34_k;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M oRkOb_0C#_4j__M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1iqB4h_Qa3_jk;Md +n;oOMRbCk_#j0__3._k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oRq71B_i4Q_hajM3k4N; +oRkOb_0C#_.j__M3k4N; M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1iqB4h_Qa3_jk;Mj +n;oOMRbCk_#j0__3._k;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj Ahqpj _3dkM;M +oRkOb_0C#_dj__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3d_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_dj__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_jj__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__34_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_.j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_qj7_vqjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRjv_7q3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_qj7_vqjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;ozMR7j1_jQj_hja_3dkM;M NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q hA_p jM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjh_ q Ap_kj3M +M7Rz1j_jjh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1z7_jjj_aQh_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3kdN; +RoMe_vqQ_hajM3kdN; M#R3N_PCM_C0VoDN#.4R6 -n;opMR7j1_jQj_hja_34kM;M +n;oeMRvQq_hja_34kM;M NRN3#PMC_CV0_D#No46R.no; -M7Rp1j_jjh_Qa3_jk;Mj +MvReqh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRQqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMAjt_jjj_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MtRA_jjj_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMAjt_jjj_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q7v_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7j1_j7j_vjq_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q7v_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_j7j_vjq_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_q7v_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_j7j_vjq_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rp1j_jjh_Qa3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1p7_jjj_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;o)MRWj_jjv_7q3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jj7_vqjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o)MRWj_jjv_7q3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;R b@:@j4::44+:.40j:sRkCfjj:Rk0sCsR0keCRB B;b@R@j::44::4.j+4:DVN#fCRjR:jV#NDCNRVDR#Ct;h7 -@bR@4(:ddU:(d:4Ug:d+:4j1qv_vqQtr(j:9jRf:ojRE0F#R_1vqtvQq:rj(h9R_,(.hd_(,(h_c_,h(h6,_,(nh(_(,(h_U_,h( -g;ombRr;j9 +@bR@4(:ddU:(d:4Ug:d+:4j1qv_vqQtr(j:9jRf:ojRE0F#R_1vqtvQq:rj(h9R_,(4h._(,(h_d_,h(hc,_,(6hn_(,(h_(_,h( +U;ombRr;j9 RNb#_$Mb0FsVoDN#cRn;b oR4mr9N; b$R#MF_bsD0VNRo#n @@ -663,9 +735,9 @@ RNH3lV#_#0F01R"vv_qQRtqU ";N3HRV_#lFosHMCNlRv"1_Qqvt;q" RNH3lV#_N#00CCso;R4 RNH3N#00lC_NHbbM"oRRjRRjjjjjRj4-j>Rjjjjj\jjMRRRjjjjjjj4RR->jjjjj4j4\RMRRjjjjjj4j>R-Rjjjjjj44R\MRjRjjjj4j-jR>jRjjjj4jM4\RjRRjjj4jRjj-j>Rjjj4j\j4MRRRjjj4jjjjRR->jjj4j4jj\RMRRjj4jjjjj>R-Rjj4jjjj4R\MRjR4jjjjj-jR>jR4jjjjjM4\"s; -R(@@:U4d::d(4:dUd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQ_tqH9r( -=ST1qv_vqQt_(Hr97 -S=4h_cHn_ +R(@@:U4d::d(4:dUd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtq6S9 +Tv=1_Qqvt6qr97 +S=4h_cH4_ pSBip=Bi1_mZOQ_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(U4ddd(4U;4" @@ -676,278 +748,214 @@ NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsj NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -RNH3PHM_k#FsROC'_1vqtvQq9r('N; -H#R3$HM_MPH0N"DRj -";s@R@(d:4U(:d:U4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -n9S1T=vv_qQrtqnS9 -7_=h. -U.SiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddU(U4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:4U(:d:U4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -69S1T=vv_qQrtq6S9 -7_=h4_c.HB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4U4d(d"U4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:dUd4(:ddU:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtcqr9T -S=_1vqtvQq9rc -=S7hc_4j -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddU(U4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:4U(:d:U4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -d9S1T=vv_qQrtqdS9 -7_=h4_dUHB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4U4d(d"U4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:dUd4(:ddU:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt.qr9T -S=_1vqtvQq9r. -=S7hU_.dB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4U4d(d"U4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:dUd4(:ddU:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt4qr9T -S=_1vqtvQq9r4 -=S7hd_4c -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddU(U4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:4U(:d:U4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -j9S1T=vv_qQrtqjS9 -7_=h4_d.HB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4U4d(d"U4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:dUd4(:ddU:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r -.9SOT=bCk_#.0r97 -S=4h_6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"kOb_0C#"N; -HkR3MNVsOM_H8RCG.s; -R(@@:U4d::d(4:dUd4g+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09rd -=STO_bkCr#0dS9 -7_=h4Sn +@sR@4(:ddU:(d:4Ug:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rc +=ST1qv_vqQtr +c9Sh7=_g4d_SH B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRb"Ok#_C0 -";N3HRksMVNHO_MG8CR -d;s@R@(d:4U(:d:U4d:+dg4Qj:ujp_d.jr:Rj9fjj:ROlNEwR7wsRbHQlRujp_dwj7w9rj -=STQ_upj_djO9rj -=S7h4_d +O;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(d(Ud44dU"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +R(@@:U4d::d(4:dUd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqdS9 +Tv=1_Qqvtdqr97 +S=4h_dH(_ pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRQ_upj"dj;H -NRM3kVOsN_8HMCjGR;R -s@:@(4:dUd4(:ddU:gj+4:pQu_jjdrj.:9jRf:ljRNROE7RwwblsHRpQu_jjd7rww4S9 -Tu=Qpd_jjr_O4S9 -7_=hdS. +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(U4ddd(4U;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@4(:ddU:(d:4Ug:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r. +=ST1qv_vqQtr +.9Sh7=_d.U +pSBip=Bi1_mZOQ_;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(U4ddd(4U;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@4(:ddU:(d:4Ug:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r4 +=ST1qv_vqQtr +49Sh7=_d4d_SH B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRu"Qpd_jj -";N3HRksMVNHO_MG8CR -4;s@R@(d:4U(:d:U4d:+dg4Qj:ujp_d.jr:Rj9fjj:ROlNEwR7wsRbHQlRujp_dwj7w9r. -=STQ_upj_djO9r. -=S7hd_d +O;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(d(Ud44dU"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +R(@@:U4d::d(4:dUd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqjS9 +Tv=1_Qqvtjqr97 +S=4h_dH4_ pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRQ_upj"dj;H -NRM3kVOsN_8HMC.GR;R -s@:@(4:dUd4(:ddU:gj+4:pQu_r7j.9:jR:fjjNRlO7ERwbwRsRHlQ_up7jjr9T -S=pQu_r7jjS9 -7_=h6S. -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRu"Qpj_7"N; -HkR3MNVsOM_H8RCGjN; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4Qj:u7p_j:r.jf9RjR:jlENORw7wRHbsluRQpj_7r -49SQT=u7p_j9r4 -=S7hd_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRQ_up7;j" +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(U4ddd(4U;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@4(:ddU:(d:4Ug:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djwjwr9T +S=pQu_jjd_jOr97 +S=dh_jB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"pQu_jjd"N; +HkR3MNVsOM_H8RCGjs; +R(@@:U4d::d(4:dUd4g+ju:Qpd_jj:r.jf9RjR:jlENORw7wRHbsluRQpd_jjw7wr +49SQT=ujp_dOj_r +49Sh7=_ +d4SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCQR"ujp_d;j" RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dUd4(:ddU:gj+4:pQu_r7j.9:jR:fjjNRlO7ERwbwRsRHlQ_up7.jr9T -S=pQu_r7j.S9 -7_=h6Sc +@sR@4(:ddU:(d:4Ug:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djw.wr9T +S=pQu_jjd_.Or97 +S=dh_.B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"pQu_jjd"N; +HkR3MNVsOM_H8RCG.s; +R(@@:U4d::d(4:dUd4g+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7jjS9 +Tu=Qpj_7r +j9Sh7=_ +64SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCQR"u7p_j +";N3HRksMVNHO_MG8CR +j;N3HR#_$MH0MHPRND";4" +@sR@4(:ddU:(d:4Ug:d+:4jQ_up7.jr:Rj9fjj:ROlNEwR7wsRbHQlRu7p_j9r4 +=STQ_up74jr97 +S=6h_.B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"pQu_"7j;H +NRM3kVOsN_8HMC4GR;H +NR$3#MM_HHN0PD4R""s; +R(@@:U4d::d(4:dUd4g+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7j.S9 +Tu=Qpj_7r +.9Sh7=_ +6dSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCQR"u7p_j +";N3HRksMVNHO_MG8CR +.;N3HR#_$MH0MHPRND";4" +@sR@4(:ddU:(d:4Ug:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQqr_H(S9 +Tv=1_QqvtHq_r +(9Sh7=_64c_SH B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRu"Qpj_7"N; -HkR3MNVsOM_H8RCG.N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4c4:n:c4d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9r6 -=STB_pij_jjhY_1h6Br97 -S=iBp_jjj_1h_YrhBcS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -6;N3HR#_$MH0MHPRND";j" -@sR@4(:dnc:4d:4c6:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1hnBr9T -S=iBp_jjj_1h_YrhBnS9 -7p=Bij_jj__h1BYhr -69SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rn +O;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(d(Ud44dU"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('N; +HHR3M#P_FOksC1R'vv_qQrtq(;9' RNH3M#$_HHM0DPNR""j;R -s@:@(4:dcn44:d(c:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhB(S9 +s@:@(4:dUd4(:ddU:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtnqr9T +S=_1vqtvQq9rn +=S7h6_.UB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(4U4d(d"U4;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:dcn44:d(c:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBgS9 Tp=Bij_jj__h1BYhr -(9SB7=pji_jhj__h1YB9rn +g9SB7=pji_jhj__h1YB9rU pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC(GR;H +NRM3kVOsN_8HMCgGR;H NR$3#MM_HHN0PDjR""s; -R(@@:c4d::n44:dc(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr -U9SBT=pji_jhj__h1YB9rU -=S7B_pij_jjhY_1h(Br9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGUN; +R(@@:c4d::n44:dc(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr94j +=STB_pij_jjhY_1h4BrjS9 +7p=Bij_jj__h1BYhr +g9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8GjR4;H +NR$3#MM_HHN0PDjR""s; +R(@@:c4d::n44:dc(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr944 +=STB_pij_jjhY_1h4Br4S9 +7p=Bij_jj__h1BYhr94j +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMC4GR4N; H#R3$HM_MPH0N"DRj -";s@R@(d:4c4:n:c4d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rg -=STB_pij_jjhY_1hgBr97 -S=iBp_jjj_1h_YrhBUS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -g;N3HR#_$MH0MHPRND";j" -@sR@4(:dnc:4d:4c6:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h4BrjS9 -Tp=Bij_jj__h1BYhr94j -=S7B_pij_jjhY_1hgBr9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG4 +";s@R@(d:4U(:d:U4d:+dg4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _vjqr9T +S=BBYp7 _vjqr97 +S=.h_UH._ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRBpYB v_7q +";N3HRksMVNHO_MG8CR j;N3HR#_$MH0MHPRND";j" -@sR@4(:dnc:4d:4c6:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h4Br4S9 -Tp=Bij_jj__h1BYhr944 -=S7B_pij_jjhY_1h4BrjS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR;44 +@sR@4(:ddU:(d:4Ug:d+:4jBpYB v_7q:r4jf9RjR:jlENORw7wRHbslYRBB_p 7rvq4S9 +TY=BB_p 7rvq4S9 +7_=h4_dcHB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"BBYp7 _v;q" +RNH3VkMs_NOHCM8G;R4 RNH3M#$_HHM0DPNR""j;R -s@:@(4:dUd4(:ddU:gj+4:BBYp7 _v4qr:Rj9fjj:ROlNEwR7wsRbHBlRY Bp_q7vr -j9SBT=Y Bp_q7vr -j9Sh7=_U.n_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRY"BB_p 7"vq;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:U4d::d(4:dUd4g+jY:BB_p 7rvq49:jR:fjjNRlO7ERwbwRsRHlBpYB v_7q9r4 -=STBpYB v_7q9r4 -=S7hn_.( -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"Y Bp_q7v"N; -HkR3MNVsOM_H8RCG4N; -H#R3$HM_MPH0N"DRj -";s@R@(d:4U(:d:U4d:+dg41j:Q_Z 7rvq49:jR:fjjNRlO7ERwbwRsRHl1 QZ_q7vr -j9S1T=Q_Z 7rvqjS9 -7_=h4S4 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRQ"1Z7 _v;q" -RNH3VkMs_NOHCM8G;Rj -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dUd4(:ddU:gj+4:Z1Q v_7q:r4jf9RjR:jlENORw7wRHbslQR1Z7 _v4qr9T -S=Z1Q v_7q9r4 -=S7h._4 +s@:@(4:dUd4(:ddU:gj+4:Z1Q v_7q:r4jf9RjR:jlENORw7wRHbslQR1Z7 _vjqr9T +S=Z1Q v_7q9rj +=S7h4_4 pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CR1 QZ_q7v"N; -HkR3MNVsOM_H8RCG4N; +HkR3MNVsOM_H8RCGjN; H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#j0r9T -S=kOb_0C#r -j9Sh7=_g4U_SH +";s@R@(d:4U(:d:U4d:+dg41j:Q_Z 7rvq49:jR:fjjNRlO7ERwbwRsRHl1 QZ_q7vr +49S1T=Q_Z 7rvq4S9 +7_=h4S. +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRQ"1Z7 _v;q" +RNH3VkMs_NOHCM8G;R4 +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dUd4(:ddU:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r +j9SOT=bCk_#j0r97 +S=.h_dH4_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRO_bkC"#0;H +NRM3kVOsN_8HMCjGR;R +s@:@(4:dUd4(:ddU:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r +49SOT=bCk_#40r97 +S=4h_cB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"kOb_0C#"N; +HkR3MNVsOM_H8RCG4s; +R(@@:U4d::d(4:dUd4g+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09r. +=STO_bkCr#0.S9 +7_=h4S6 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRb"Ok#_C0 ";N3HRksMVNHO_MG8CR -j;s@R@(d:4U(:d:U4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#40r9T +.;s@R@(d:4U(:d:U4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#d0r9T S=kOb_0C#r -49Sh7=_ -4cSiBp=iBp_Zm1Q;_O +d9Sh7=_ +4nSiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCOR"bCk_#;0" -RNH3VkMs_NOHCM8G;R4 -@sR@4(:ddd:cd:4dn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1h4Br9T -S=iBp_jjj_1u_YrhB4S9 -7p=Bij_jj__u1BYhr -j9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""j;R -s@:@(4:ddd4c:ddd:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhB.S9 -Tp=Bij_jj__u1BYhr -.9SB7=pji_juj__h1YB9r4 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC.GR;H -NR$3#MM_HHN0PDjR""s; -R(@@:d4d::dc4:ddd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -d9SBT=pji_juj__h1YB9rd -=S7B_pij_jjuY_1h.Br9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGdN; -H#R3$HM_MPH0N"DRj -";s@R@(d:4dc:d:d4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rc -=STB_pij_jjuY_1hcBr97 -S=iBp_jjj_1u_YrhBdS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -c;N3HR#_$MH0MHPRND";j" +RNH3VkMs_NOHCM8G;Rd @sR@4(:ddd:cd:4dn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1h6Br9T S=iBp_jjj_1u_YrhB6S9 7p=Bij_jj__u1BYhr @@ -990,7 +998,7 @@ RNH3VkMs_NOHCM8G;Rg RNH3M#$_HHM0DPNR""j;R s@:@(4:dcn44:d(c:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBjS9 Tp=Bij_jj__h1BYhr -j9Sh7=_(4c_SH +j9Sh7=_U4c_SH B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; HIR3NCsM8kbsMoHMR @@ -1028,827 +1036,794 @@ O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR c;N3HR#_$MH0MHPRND";j" -@sR@4(:ddU:(d:4Ug:d+:4j)_1a7rpY.9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpYjS9 -T1=)ap_7Y9rj -=S7hc_.6 +@sR@4(:dnc:4d:4c6:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h6Br9T +S=iBp_jjj_1h_YrhB6S9 +7p=Bij_jj__h1BYhr +c9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;R6 +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dcn44:d(c:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBnS9 +Tp=Bij_jj__h1BYhr +n9SB7=pji_jhj__h1YB9r6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCnGR;H +NR$3#MM_HHN0PDjR""s; +R(@@:c4d::n44:dc(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr +(9SBT=pji_jhj__h1YB9r( +=S7B_pij_jjhY_1hnBr9B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCG(N; +H#R3$HM_MPH0N"DRj +";s@R@(d:4c4:n:c4d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rU +=STB_pij_jjhY_1hUBr97 +S=iBp_jjj_1h_YrhB(S9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +U;N3HR#_$MH0MHPRND";j" +@sR@4(:ddU:(d:4Ug:d+:4j)_1a7rpY.9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpY4S9 +T1=)ap_7Y9r4 +=S7hn_.j _HSiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNC)R"17a_p;Y" RNH3M#$_HHM0DPNR""j;R s@:@(4:dUd4(:ddU:gj+4:a)1_Y7prj.:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -49S)T=17a_p4Yr97 -S=.h_cHc_ +.9S)T=17a_p.Yr97 +S=.h_6Hg_ pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CR)_1a7"pY;H NR$3#MM_HHN0PDjR""s; -R(@@:U4d::d(4:dUd4g+j1:)ap_7Y:r.jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r. -=ST)_1a7rpY.S9 -7_=h._cdHB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"a)1_Y7p"N; -H#R3$HM_MPH0N"DRj -";s@R@(d:4dc:d:d4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rj -=STB_pij_jjuY_1hjBr97 -S=dh_6H4_ +R(@@:d4d::dc4:ddd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr +j9SBT=pji_juj__h1YB9rj +=S7b_F#O\D 3iBp_jjj_1u_Y_hB.9rj pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" RNH3sINMbC8sHkMM4oR;H NRM3kVOsN_8HMCjGR;H NR$3#MM_HHN0PDjR""s; -R(@@:U4d::d(4:dUd4g+j1:7_jjj_q hARp fjj:ROlNEwR7wsRbH7lR1j_jjh_ q Ap -=ST7j1_j j_hpqA 7 -S=nh_jB +R(@@:d4d::dc4:ddd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr +49SBT=pji_juj__h1YB9r4 +=S7B_pij_jjuY_1hjBr9B SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_71j_jj Ahqp; " +HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCG4N; +H#R3$HM_MPH0N"DRj +";s@R@(d:4dc:d:d4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9r. +=STB_pij_jjuY_1h.Br97 +S=iBp_jjj_1u_YrhB4S9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +.;N3HR#_$MH0MHPRND";j" +@sR@4(:ddd:cd:4dn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1hdBr9T +S=iBp_jjj_1u_YrhBdS9 +7p=Bij_jj__u1BYhr +.9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_juj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;Rd RNH3M#$_HHM0DPNR""j;R -s@:@(4:dUd4(:ddU:gj+4:_)Wj_jj7Rvqfjj:ROlNEwR7wsRbH)lRWj_jjv_7qT -S=_)Wj_jj7 -vqSh7=_ -c4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"Wj_jjv_7q -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddU:(d:4Ug:d+:4j)jW_jQj_hfaRjR:jlENORw7wRHbslWR)_jjj_aQh -=ST)jW_jQj_hSa -7_=hcS. -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRW")_jjj_aQh"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4pj:7j1_jQj_hfaRjR:jlENORw7wRHbsl7Rp1j_jjh_QaT -S=1p7_jjj_aQh -=S7hd_c +s@:@(4:ddd4c:ddd:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhBcS9 +Tp=Bij_jj__u1BYhr +c9SB7=pji_juj__h1YB9rd pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRp_71j_jjQ"ha;H -NR$3#MM_HHN0PD4R""s; -R(@@:U4d::d(4:dUd4g+jt:Aq_Bij_djQRhafjj:ROlNEwR7wsRbHAlRtiqB_jjd_aQh -=STABtqid_jjh_Qa7 -S=ch_cB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"qAtBji_dQj_h;a" -RNH3_HMDbFFR -4;N3HR#_$MH0MHPRND";4" -@sR@4(:ddU:(d:4Ug:d+:4jqj1_j7j_vfqRjR:jlENORw7wRHbsl1Rq_jjj_q7v -=STqj1_j7j_vSq -7_=hcSn -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"q_jjj_q7v"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4qj:1d_jjj_jjY_1hfBRjR:jlENORw7wRHbsl1Rq_jjd_jjj_h1YBT -S=_q1j_djj_jj1BYh -=S7h(_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqj1_djj_j1j_Y"hB;H -NR$3#MM_HHN0PD4R""s; -R(@@:U4d::d(4:dUd4g+j1:q_jjj_aQhR:fjjNRlO7ERwbwRsRHlqj1_jQj_hSa -T1=q_jjj_aQh -=S7hU_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqj1_jQj_h;a" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dUd4(:ddU:gj+4:q71B_i4QRhafjj:ROlNEwR7wsRbH7lR1iqB4h_QaT -S=q71B_i4Q -haSh7=_ -cgSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"1iqB4h_Qa -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddU:(d:4Ug:d+:4j7j1_j7j_vfqRjR:jlENORw7wRHbsl1R7_jjj_q7v -=ST7j1_j7j_vSq -7_=h6Sj -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"7_jjj_q7v"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4qj:1d_jjj_7R:fjjNRlO7ERwbwRsRHlqj1_d7j_jT -S=_q1j_dj7Sj -7_=hd -6USiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"1d_jjj_7"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4Mj: _Xu1Buq j_7R:fjjNRlO7ERwbwRsRHlMu X_q1uB7 _jT -S=XM uu_1q_B 7Sj -7_=h6S6 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR "MX1u_u qB_"7j;H +NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCcGR;H NR$3#MM_HHN0PDjR""s; -R(@@:U4d::d(4:dUd4g+ju:eqR_7fjj:ROlNEwR7wsRbHelRu7q_ -=STe_uq77 -S=6h_nB +R(@@:U4d::d(4:dUd4g+j1:)ap_7Y:r.jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rj +=ST)_1a7rpYjS9 +7_=h._n4HB SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"qeu_;7" +HsR30FD_sMHoNRlC"a)1_Y7p"N; +H#R3$HM_MPH0N"DRj +";s@R@(d:4U(:d:U4d:+dg4qj:1j_jjv_7qjRf:ljRNROE7RwwblsHR_q1j_jj7 +vqSqT=1j_jjv_7q7 +S=ch_6B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_jj7"vq;H +NR$3#MM_HHN0PD4R""s; +R(@@:U4d::d(4:dUd4g+j1:q_jjd_jjj_h1YBjRf:ljRNROE7RwwblsHR_q1j_djj_jj1BYh +=STqj1_djj_j1j_Y +hBSh7=_ +cnSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" RNH3M#$_HHM0DPNR""4;R -s@:@(4:dUd4(:ddU:gj+4:q7aB7i_jjRf:ljRNROE7RwwblsHRq7aB7i_jT -S=q7aB7i_j7 -S=6h_(B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"q7aB7i_j +s@:@(4:dUd4(:ddU:gj+4:_q1j_jjQRhafjj:ROlNEwR7wsRbHqlR1j_jjh_QaT +S=_q1j_jjQ +haSh7=_ +c(SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"1j_jjh_Qa ";N3HR#_$MH0MHPRND";4" -@sR@4(:ddU:(d:4Ug:d+:4jB_pij_dj]jRf:ljRNROE7RwwblsHRiBp_jjd_S] -Tp=Bid_jj -_]Sh7=_dd6_SH +@sR@4(:ddU:(d:4Ug:d+:4j7B1qiQ4_hfaRjR:jlENORw7wRHbsl1R7q4Bi_aQh +=ST7B1qiQ4_hSa +7_=hcSU B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bid_jj"_];H +O;N3HRs_0DFosHMCNlR1"7q4Bi_aQh"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4U(:d:U4d:+dg47j:1j_jjv_7qjRf:ljRNROE7RwwblsHR_71j_jj7 +vqS7T=1j_jjv_7q7 +S=ch_gB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_71j_jj7"vq;H NR$3#MM_HHN0PD4R""s; -R(@@:U4d::d(4:dUd4g+j :)1_ amRzafjj:ROlNEwR7wsRbH)lR a1 _amz -=ST) 1az_ma7 -S=.h_nHn_ +R(@@:U4d::d(4:dUd4g+j1:q_jjd_R7jfjj:ROlNEwR7wsRbHqlR1d_jjj_7 +=STqj1_d7j_j7 +S=Uh_UB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_dj7;j" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dUd4(:ddU:gj+4:XM uu_1q_B 7fjRjR:jlENORw7wRHbsl RMX1u_u qB_ +7jSMT= _Xu1Buq j_7 +=S7hc_6 pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR) 1az_ma +NR03sDs_FHNoMl"CRMu X_q1uB7 _j ";N3HR#_$MH0MHPRND";j" -@sR@4(:.d.:n.:4.U:d+:4jB_pim_zau_) .f6RjR:jlENORw7wRHbslpRBiz_ma)_u 6_. -=STB_pim_zau_) .S6 -7_=hdSj -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Biz_ma)_u 6_."N; -HHR3MF_DFdbR;H +@sR@4(:ddU:(d:4Ug:d+:4je_uq7jRf:ljRNROE7RwwblsHRqeu_S7 +Tu=eq +_7Sh7=_ +66SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCeR"u7q_"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4U(:d:U4d:+dg47j:aiqB_R7jfjj:ROlNEwR7wsRbH7lRaiqB_ +7jS7T=aiqB_ +7jSh7=_ +6nSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC7R"aiqB_"7j;H NR$3#MM_HHN0PD4R""s; -R(@@:U4d::d(4:dUd4g+jt:A_jjjR:fjjNRlO7ERwbwRsRHlAjt_jwj7wT -S=_Atj_jjO7 +R(@@:U4d::d(4:dUd4g+jp:Bid_jjR_]fjj:ROlNEwR7wsRbHBlRpji_d]j_ +=STB_pij_dj]7 +S=Uh_d +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_d]j_"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4U(:d:U4d:+dg4)j: a1 _amzR:fjjNRlO7ERwbwRsRHl) 1az_maT +S=1) ma_zSa +7_=h._n.HB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"1) ma_z;a" +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dUd4(:ddU:gj+4:_71j_jj Ahqpf RjR:jlENORw7wRHbsl1R7_jjj_q hA +p S7T=1j_jjh_ q Ap +=S7hg_6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7j1_j j_hpqA +";N3HR#_$MH0MHPRND";j" +@sR@4(:ddU:(d:4Ug:d+:4jAjt_jfjRjR:jlENORw7wRHbsltRA_jjj7 +wwSAT=tj_jj +_OSh7=_ +ddSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCAR"tj_jj +";N3HRHDM_FRFbjs; +R(@@:U4d::d(4:dUd4g+jv:qQ_tqA_z1 Ahqp7 _v]q_QRt]fjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt +=STqtvQqz_A1h_ q Ap_q7v_t]Q]7 S=dh_cB SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_Atj"jj;H -NRM3H_FDFb;Rj -@sR@4(:ddU:(d:4Ug:d+:4jqtvQqz_A1h_ q Ap_q7v_t]Q]jRf:ljRNROE7RwwblsHRQqvtAq_z 1_hpqA v_7qQ_]tS] -Tv=qQ_tqA_z1 Ahqp7 _v]q_Q -t]Sh7=_ -d6SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vq]]Qt"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4qj:vqQt_1Az_q hA_p 7_vqpRmWfjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA_p 7_vqp -mWSqT=vqQt_1Az_q hA_p 7_vqp -mWSh7=_ -dnSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vqp"mW;H +HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA v_7qQ_]t;]" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dUd4(:ddU:gj+4:QqvtAq_z 1_hpqA v_7qm_pWjRf:ljRNROE7RwwblsHRQqvtAq_z 1_hpqA v_7qm_pWT +S=QqvtAq_z 1_hpqA v_7qm_pW7 +S=dh_6B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA v_7qm_pW +";N3HR#_$MH0MHPRND";4" +@sR@4(:ddU:(d:4Ug:d+:4je_vqQRhafjj:ROlNEwR7wsRbHelRvQq_hSa +Tv=eqh_Qa7 +S=dh_(B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"qev_aQh"N; +HHR3MF_DFdbR;H NR$3#MM_HHN0PD4R""s; -R(@@:U4d::d(4:dUd4g+jv:eqh_QajRf:ljRNROE7RwwblsHRqev_aQh -=STe_vqQ +R(@@:U4d::d(4:dUd4g+j7:z1j_jjh_QajRf:ljRNROE7RwwblsHR1z7_jjj_aQh +=STz_71j_jjQ haSh7=_ dUSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCeR"vQq_h;a" -RNH3_HMDbFFR -c;N3HR#_$MH0MHPRND";4" -@sR@4(:ddU:(d:4Ug:d+:4jz_71j_jjQRhafjj:ROlNEwR7wsRbHzlR7j1_jQj_hSa -T7=z1j_jjh_Qa7 -S=dh_gB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"1z7_jjj_aQh"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4U(:d:U4d:+dg4qj:jv_7qjRf:ljRNROE7RwwblsHR_qj7 -vqSqT=jv_7q7 -S=ch_jB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_qj7"vq;H -NR$3#MM_HHN0PD4R""s; -R(@@:U4d::d(4:dUd4g+jt:Aq_Bij_djQ_ha7jRf:ljRNROE7RwwblsHRqAtBji_dQj_h7a_ -=STABtqid_jjh_Qa -_7Sh7=_ -ngSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCAR"tiqB_jjd_aQh_;7" +RNH3Ds0_HFsolMNCzR"7j1_jQj_h;a" RNH3M#$_HHM0DPNR""4;R +s@:@(4:dUd4(:ddU:gj+4:_qj7Rvqfjj:ROlNEwR7wsRbHqlRjv_7qT +S=_qj7 +vqSh7=_ +dgSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"jv_7q +";N3HR#_$MH0MHPRND";4" +@sR@4(:ddU:(d:4Ug:d+:4j)jW_j7j_vfqRjR:jlENORw7wRHbslWR)_jjj_q7v +=ST)jW_j7j_vSq +7_=hcSj +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRW")_jjj_q7v"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4U(:d:U4d:+dg4)j:Wj_jjh_QajRf:ljRNROE7RwwblsHR_)Wj_jjQ +haS)T=Wj_jjh_Qa7 +S=ch_4B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_)Wj_jjQ"ha;H +NR$3#MM_HHN0PD4R""s; +R(@@:U4d::d(4:dUd4g+j7:p1j_jjh_QajRf:ljRNROE7RwwblsHR1p7_jjj_aQh +=STp_71j_jjQ +haSh7=_ +c.SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCpR"7j1_jQj_h;a" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dUd4(:ddU:gj+4:qAtBji_dQj_hfaRjR:jlENORw7wRHbsltRAq_Bij_djQ +haSAT=tiqB_jjd_aQh +=S7hd_c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRABtqid_jjh_Qa +";N3HRHDM_FRFb4N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4U(:d:U4d:+dg4Aj:tiqB_jjd_aQh_f7RjR:jlENORw7wRHbsltRAq_Bij_djQ_ha7T +S=qAtBji_dQj_h7a_ +=S7h4_.jB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"qAtBji_dQj_h7a_"N; +H#R3$HM_MPH0N"DR4 +";s@R@(c:44c:d:44c:+dn4Bj:pmi_zua_)6 _jjRf:ljRNROE7RwwblsHRiBp_amz_ u)_ +6jSBT=pmi_zua_)6 _j7 +S=iBp_amz_ u)__6jHB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_amz_ u)_"6j;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4.::dc4:.gd4n+jp:Bij_jj4_7R:fjjNRlO7ERwbwRsRHlB_pij_jj7S4 +Tp=Bij_jj4_7 +=S7B_pij_jj7Sj +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj4_7"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4(c:d:(4d:+dn4Bj:pji_jhj_ j_7R:fjjNRlO7ERwbwRsRHlB_pij_jjh7 _jT +S=iBp_jjj__h 7Sj +7p=Bij_jj _h +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjh7 _j +";N3HR#_$MH0MHPRND";j" +@sR@4(:.d.:n.:4.U:d+:4jB_pim_zau_) 7jRf:ljRNROE7RwwblsHRiBp_amz_ u)_S7 +Tp=Biz_ma)_u +_7SB7=pmi_zua_)6 _jB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_amz_ u)_;7" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:.6d46:.d6:(j+4:iBp_amz_aQhR:fjjNRlO7ERwbwRsRHlB_pim_zaQ +haSBT=p i_XOu_ +=S7B_pim_zau_) 7B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_amz_aQh"N; +H#R3$HM_MPH0N"DR4 +";s@R@(.:4(U:d:(4.:+cj4Bj:pji_j7j_jjRf:ljRNROE7RwwblsHRiBp_jjj_ +7jSBT=pji_j7j_j7 +S=iBp_jjj_SO +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jjj_7"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4c4:n:c4d:+(64Bj:pji_juj_ jRf:ljRNROE7RwwblsHRiBp_jjj_ +u SBT=pji_juj_ 7 +S=iBp_jjj_1u_YrhBgS9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj _u"N; +H#R3$HM_MPH0N"DRj +";N3HR8_kbMCNl#BR"pji_juj__h1YBjr49"Rj;R s@:@(4:dnd4c:ddn:nj+4:iBp_jjj_Rh fjj:ROlNEwR7wsRbHBlRpji_jhj_ T S=iBp_jjj_ h SB7=pji_jhj__h1YB4r49B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"iBp_jjj_"h ;H NR$3#MM_HHN0PDjR""s; -R(@@:44c::dc4:c4d4n+jp:Biz_ma)_u j_6R:fjjNRlO7ERwbwRsRHlB_pim_zau_) 6Sj -Tp=Biz_ma)_u j_6 -=S7B_pim_zau_) 6Hj_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pim_zau_) 6;j" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:.6d46:.d6:(j+4:iBp_amz_aQhR:fjjNRlO7ERwbwRsRHlB_pim_zaQ -haSBT=pmi_zQa_hSa -7p=Biz_ma)_u -_7SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pmi_zQa_h;a" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:.gd4c:.dg:nj+4:iBp_jjj_R74fjj:ROlNEwR7wsRbHBlRpji_j7j_4T -S=iBp_jjj_ -74SB7=pji_j7j_jB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_"74;H -NR$3#MM_HHN0PD4R""s; -R(@@:(4d::dc4:d(d4n+jp:Bij_jj _h_R7jfjj:ROlNEwR7wsRbHBlRpji_jhj_ j_7 -=STB_pij_jjh7 _j7 -S=iBp_jjj_ -h SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj_ j_7"N; -H#R3$HM_MPH0N"DRj -";s@R@(.:4nc:d:n4.:+dn4Bj:pmi_z a_XQu_hfaRjR:jlENORw7wRHbslpRBiz_maX_ uh_QaT -S=iBp_amz_u X_aQh -=S7B_pim_zau_) 6Sj -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Biz_maX_ uh_Qa -";N3HR#_$MH0MHPRND";4" -@sR@4(:.d.:n.:4.U:d+:4jB_pim_zau_) 7jRf:ljRNROE7RwwblsHRiBp_amz_ u)_S7 -Tp=Biz_ma)_u -_7SB7=pmi_zua_). _6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_amz_ u)_;7" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:.(d4U:.c(:jj+4:iBp_jjj_R7jfjj:ROlNEwR7wsRbHBlRpji_j7j_jT -S=iBp_jjj_ -7jSB7=pji_jOj_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jj7;j" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dcn44:d(c:6j+4:iBp_jjj_Ru fjj:ROlNEwR7wsRbHBlRpji_juj_ T -S=iBp_jjj_ -u SB7=pji_juj__h1YB9rg -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jju; " -RNH3M#$_HHM0DPNR""j;H -NRk38bN_MlRC#"iBp_jjj_1u_YrhB4Rj9j -";sjRf:ljRNROEA7Q_Qb)RsRHlqj1_dSj -m1=q_jjd_SO -Qhj=_(4d -mSQ=_q1j -djS=m hd_.4o; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbsl1Rq_jjj -=Smqj1_jOj_ -jSQ=ckM__N#j_jjHQ -Sm1=q_jjj - Sm=.h_d -j;oQbRmN; +R:fjjNRlOAERQQ_7)sRbHqlR1d_jjm +S=_q1j_djOQ +Sj_=h4 +dUS=Qmqj1_dSj +mh =_6.U_ +H;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOAERQQ_7)sRbHqlR1j_jjm +S=_q1j_jjOQ +SjM=kc#_N_jjj_SH +Qqm=1j_jjm +S M=k4#_N_jjj_ +H;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbH)lRWj_jjm S=_)Wj_jjOQ SjW=)_jjj_aQh mSQ=_)Wj -jjS=m hd_.jo; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEzRAwRa]blsHR_71j -djS7m=1d_jjQ -SjM=kn#_8_jjd_SH -mh =_4.d;b -oR -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHlz_71j -jjSzm=7j1_jOj_ -jSQ=ckM_#k8_jjj_SH -Qzm=7j1_jSj -mh =_j.d;b +jjS=m k_M4Nj#_jHj_;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENORwAzab]RsRHl7j1_dSj +m1=7_jjd +jSQ=nkM__8#j_djHm +S _=h._U6Ho; +b;Rm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHR1z7_jjj +=Smz_71j_jjOQ +SjM=kc8_k#j_jj +_HS=Qmz_71j +jjS=m k_M4Nj#_jHj_;b oR;Qm RNb#_$Mb0FsVoDN#;RU fsRjR:jlENOR_AQ7RQ)blsHR1p7_jjj =Smp_71j_jjOQ SjM=kc8_D#j_jj _HS=Qmp_71j -jjS=m hd_.jo; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbslQR1Zj r9m -S=Z1Q r_OjS9 -Qkj=M#c_H -xCS=Qm1 QZr -j9S=m k_M4Nj#_dHj_;b +jjS=m k_M4Nj#_jHj_;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHRZ1Q 9rj +=Sm1 QZ_jOr9Q +SjM=kcH_#xSC +Q1m=QrZ jS9 +mh =_..._ +H;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOAERQQ_7)sRbH1lRQrZ 4S9 +mQ=1ZO _r +49S=Qjk_Md#CHx +mSQ=Z1Q 9r4 + Sm=.h_.H._;b oR;Qm RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHRZ1Q 9r4 -=Sm1 QZ_4Or9Q -SjM=kdH_#xSC -Q1m=QrZ 4S9 -mk =MN4_#d_jj;_H -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEQwAzRHbslrRq.S9 -m_=qO9r. -jSQ=.qr9s; -R:fjjNRlOQERARzwblsHRdqr9m -S=Oq_r -d9S=Qjq9rd;R -sfjj:ROlNEARQzbwRsRHlq9rc -=Smqr_OcS9 -Qqj=r;c9 fsRjR:jlENORzQAwsRbHqlRr -69Sqm=_6Or9Q -Sjr=q6 -9;sjRf:ljRNROEQwAzRHbslrRqnS9 -m_=qO9rn -jSQ=nqr9s; -R:fjjNRlOQERARzwblsHR(qr9m +.9Sqm=_.Or9Q +Sjr=q. +9;sjRf:ljRNROEQwAzRHbslrRqdS9 +m_=qO9rd +jSQ=dqr9s; +R:fjjNRlOQERARzwblsHRcqr9m S=Oq_r -(9S=Qjq9r(;R -sfjj:ROlNEARQzbwRsRHlq9rU -=Smqr_OUS9 -Qqj=r;U9 +c9S=Qjq9rc;R +sfjj:ROlNEARQzbwRsRHlq9r6 +=Smqr_O6S9 +Qqj=r;69 fsRjR:jlENORzQAwsRbHqlRr -g9Sqm=_gOr9Q -Sjr=qg -9;sjRf:ljRNROEQwAzRHbslrRq4 -j9Sqm=_4OrjS9 -Qqj=r94j;R -sfjj:ROlNEARQzbwRsRHlq4r49m -S=Oq_r944 -jSQ=4qr4 -9;sjRf:ljRNROEQwAzRHbslrRq4 -.9Sqm=_4Or.S9 -Qqj=r94.;R -sfjj:ROlNEARQzbwRsRHlqdr49m -S=Oq_r94d -jSQ=4qrd -9;sjRf:ljRNROEQwAzRHbslrRq4 -c9Sqm=_4OrcS9 -Qqj=r94c;R -sfjj:ROlNEARQzbwRsRHlq6r49m -S=Oq_r946 -jSQ=4qr6 -9;sjRf:ljRNROEQwAzRHbslrRq4 -n9Sqm=_4OrnS9 -Qqj=r94n;R -sfjj:ROlNEARQzbwRsRHlq(r49m -S=Oq_r94( -jSQ=4qr( -9;sjRf:ljRNROEQwAzRHbslrRq4 -U9Sqm=_4OrUS9 -Qqj=r94U;R -sfjj:ROlNEARQzbwRsRHlqgr49m -S=Oq_r94g -jSQ=4qrg -9;sjRf:ljRNROEQwAzRHbslrRq. -j9Sqm=_.OrjS9 -Qqj=r9.j;R -sfjj:ROlNEARQzbwRsRHlq4r.9m -S=Oq_r9.4 -jSQ=.qr4 -9;sjRf:ljRNROEQwAzRHbslrRq. -.9Sqm=_.Or.S9 -Qqj=r9..;R -sfjj:ROlNEARQzbwRsRHlqdr.9m -S=Oq_r9.d -jSQ=.qrd -9;sjRf:ljRNROEQwAzRHbslrRq. -c9Sqm=_.OrcS9 -Qqj=r9.c;R -sfjj:ROlNEARQzbwRsRHlq6r.9m -S=Oq_r9.6 -jSQ=.qr6 -9;sjRf:ljRNROEQwAzRHbslrRq. -n9Sqm=_.OrnS9 -Qqj=r9.n;R -sfjj:ROlNEARQzbwRsRHlq(r.9m -S=Oq_r9.( -jSQ=.qr( -9;sjRf:ljRNROEQwAzRHbslrRq. -U9Sqm=_.OrUS9 -Qqj=r9.U;R -sfjj:ROlNEARQzbwRsRHlqgr.9m -S=Oq_r9.g -jSQ=.qrg -9;sjRf:ljRNROEQwAzRHbslrRqd -j9Sqm=_dOrjS9 -Qqj=r9dj;R -sfjj:ROlNEARQzbwRsRHlq4rd9m -S=Oq_r9d4 -jSQ=dqr4 -9;sjRf:ljRNROEA7Q_Qb)RsRHlqSj -mj=q_SO -Qqj=jv_7qQ -Smj=q - Sm=.h_d -4;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOQERARzwblsHR -q4Sqm=4 -_OS=Qjq -4;sjRf:ljRNROEQwAzRHbsl RMX1u_u qB -=SmMu X_q1uBO _ -jSQ=XM uu_1q;B -fsRjR:jlENOR_AQ7RQ)blsHR)A )m -S=)A ) -_OS=Qjt -h7S=QmA) ) - Sm=.kM.C_Ls -s;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOQERARzwblsHR_Atj -djSAm=td_jj -_OS=QjAjt_d -j;sjRf:ljRNROEmwAzRHbsltRA_jjj -=SmAjt_jSj -QAj=tj_jj;_O -fsRjR:jlENORzmAwsRbHAlRtiqB_jjd -=SmABtqid_jjQ -Sjt=Aq_Bij_djQ;ha -fsRjR:jlENORzQAwsRbHAlRtiqB_jjj -=SmABtqij_jj -_OS=QjABtqij_jjs; -R:fjjNRlOQERARzwblsHRiBp_jjd -=SmB_pij_djOQ -Sjp=Bid_jjs; -R:fjjNRlOQERARzwblsHRiBp_jjj -=SmB_pij_jjOQ -Sjp=Bij_jjs; -R:fjjNRlOQERARzwblsHRiBp_Zm1Qm -S=iBp_Zm1Q -_OS=QjB_pimQ1Z;R -sfjj:ROlNEARmzbwRsRHlB_pi7_Qem -zaSBm=p7i_Qme_zSa -QBj=pmi_zQa_h -a;sjRf:ljRNROEmwAzRHbslpRBiX_ um -S=iBp_u X -jSQ=iBp_amz_u X_aQh_ -H;sjRf:ljRNROEmwAzRHbsluRwz1_B -=Smw_uzBS1 -Qkj=M_.4V_bkOH#_;R -sfjj:ROlNEARQzbwRsRHlw_uz11 h m -S=zwu_h1 1O _ -jSQ=zwu_h1 1 - ;sjRf:ljRNROEmwAzRHbsluRQpd_jj9rj -=SmQ_upjrdjjS9 -QQj=ujp_dOj_r;j9 -fsRjR:jlENORzmAwsRbHQlRujp_d4jr9m -S=pQu_jjdr -49S=QjQ_upj_djO9r4;R -sfjj:ROlNEARmzbwRsRHlQ_upjrdj.S9 -mu=Qpd_jj9r. -jSQ=pQu_jjd_.Or9s; -R:fjjNRlOQERARzwblsHRpQur -j9SQm=uOp_r -j9S=QjQrupj -9;sjRf:ljRNROEQwAzRHbsluRQp9r4 -=SmQ_upO9r4 -jSQ=pQur;49 -fsRjR:jlENORzQAwsRbHQlRu.pr9m -S=pQu_.Or9Q -Sju=Qp9r.;R -sfjj:ROlNEzRAwRa]blsHRq71B -i4S7m=1iqB4Q -Sj1=7q4Bi_aQh - Sm=XM uu_1q_B 7 -j;ombR;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEARQzbwRsRHl7Baqim -S=q7aBOi_ -jSQ=q7aB -i;sjRf:ljRNROEmwAzRHbsleRq SB -me=q SB -Qej=B -B;sjRf:ljRNROEmwAzRHbsl -R S m= -jSQ=6kM_ -C;sjRf:ljRNROEQwAzRHbsluReqm -S=qeu_SO -Qej=u -q;sjRf:ljRNROEmwAzRHbslvReqm -S=qev -jSQ=qev_aQh;R -sfjj:ROlNEARQzbwRsRHl) -1aS)m=1Oa_ -jSQ=a)1;R -sfjj:ROlNEzRAwRa]blsHR1) Sa -m =)1 - aS=Qjt -h7S=m ) 1az_ma;_H -RobmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbH)lRWm -S=_)WOQ -SjW=)_jjj_q7v -mSQ= -)WS=m hd_d.o; +n9Sqm=_nOr9Q +Sjr=qn +9;sjRf:ljRNROEQwAzRHbslrRq(S9 +m_=qO9r( +jSQ=(qr9s; +R:fjjNRlOQERARzwblsHRUqr9m +S=Oq_r +U9S=Qjq9rU;R +sfjj:ROlNEARQzbwRsRHlq9rg +=Smqr_OgS9 +Qqj=r;g9 +fsRjR:jlENORzQAwsRbHqlRr94j +=Smqr_O4 +j9S=Qjqjr49s; +R:fjjNRlOQERARzwblsHR4qr4S9 +m_=qO4r49Q +Sjr=q4;49 +fsRjR:jlENORzQAwsRbHqlRr94. +=Smqr_O4 +.9S=Qjq.r49s; +R:fjjNRlOQERARzwblsHR4qrdS9 +m_=qOdr49Q +Sjr=q4;d9 +fsRjR:jlENORzQAwsRbHqlRr94c +=Smqr_O4 +c9S=Qjqcr49s; +R:fjjNRlOQERARzwblsHR4qr6S9 +m_=qO6r49Q +Sjr=q4;69 +fsRjR:jlENORzQAwsRbHqlRr94n +=Smqr_O4 +n9S=Qjqnr49s; +R:fjjNRlOQERARzwblsHR4qr(S9 +m_=qO(r49Q +Sjr=q4;(9 +fsRjR:jlENORzQAwsRbHqlRr94U +=Smqr_O4 +U9S=QjqUr49s; +R:fjjNRlOQERARzwblsHR4qrgS9 +m_=qOgr49Q +Sjr=q4;g9 +fsRjR:jlENORzQAwsRbHqlRr9.j +=Smqr_O. +j9S=Qjqjr.9s; +R:fjjNRlOQERARzwblsHR.qr4S9 +m_=qO4r.9Q +Sjr=q.;49 +fsRjR:jlENORzQAwsRbHqlRr9.. +=Smqr_O. +.9S=Qjq.r.9s; +R:fjjNRlOQERARzwblsHR.qrdS9 +m_=qOdr.9Q +Sjr=q.;d9 +fsRjR:jlENORzQAwsRbHqlRr9.c +=Smqr_O. +c9S=Qjqcr.9s; +R:fjjNRlOQERARzwblsHR.qr6S9 +m_=qO6r.9Q +Sjr=q.;69 +fsRjR:jlENORzQAwsRbHqlRr9.n +=Smqr_O. +n9S=Qjqnr.9s; +R:fjjNRlOQERARzwblsHR.qr(S9 +m_=qO(r.9Q +Sjr=q.;(9 +fsRjR:jlENORzQAwsRbHqlRr9.U +=Smqr_O. +U9S=QjqUr.9s; +R:fjjNRlOQERARzwblsHR.qrgS9 +m_=qOgr.9Q +Sjr=q.;g9 +fsRjR:jlENORzQAwsRbHqlRr9dj +=Smqr_Od +j9S=Qjqjrd9s; +R:fjjNRlOQERARzwblsHRdqr4S9 +m_=qO4rd9Q +Sjr=qd;49 +fsRjR:jlENOR_AQ7RQ)blsHR +qjSqm=j +_OS=Qjq7j_vSq +Qqm=jm +S _=h._U6Ho; bmRQ;b NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEARQzbwRsRHlwjBr9m -S=_wBO9rj -jSQ=rwBj -9;sjRf:ljRNROEQwAzRHbslBRwr -49Swm=Br_O4S9 -Qwj=B9r4;R -sfjj:ROlNEARmzbwRsRHlqtvQq7_q7 )_hpqA m -S=Qqvtqq_7_7) AhqpS -Qtj=h -7;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z17qqa_)7Q -=SmqtvQqz_A1q_7a7q_QS) -Qqj=vqQt_1Az_a7qqQ_7);_O -fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_q hA_p p -mWSqm=vqQt_1Az_q hA_p p -mWS=Qjk_M4NolHNk_L#M_CNCLD_IDF_ -H;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 Ahqp] _Q -t]Sqm=vqQt_1Az_q hA_p ]]Qt -jSQ=4h_gHj_;R -sfjj:ROlNEzRAwRa]blsHRQBQhm -S=QBQhQ -SjM=k6H_OHSM -mh =_;n4 +sfjj:ROlNEARQzbwRsRHlqS4 +m4=q_SO +Qqj=4s; +R:fjjNRlOQERARzwblsHRXM uu_1q +B SMm= _Xu1Buq +_OS=QjMu X_q1uB + ;sjRf:ljRNROEA7Q_Qb)RsRHlA) ) +=SmA) )_SO +Qtj=hS7 +QAm= +))S=m k.M._sLCso; +bmRQ;b +NRM#$_sbF0NVDoU#R;R +sfjj:ROlNEARQzbwRsRHlAjt_dSj +mt=A_jjd_SO +QAj=td_jjs; +R:fjjNRlOmERARzwblsHR_Atj +jjSAm=tj_jjQ +Sjt=A_jjj_ +O;sjRf:ljRNROEmwAzRHbsltRAq_Bij +djSAm=tiqB_jjd +jSQ=qAtBji_dQj_h +a;sjRf:ljRNROEQwAzRHbsltRAq_Bij +jjSAm=tiqB_jjj_SO +QAj=tiqB_jjj;R +sfjj:ROlNEARQzbwRsRHlB_pij +djSBm=pji_dOj_ +jSQ=iBp_jjd;R +sfjj:ROlNEARQzbwRsRHlB_pij +jjSBm=pji_jOj_ +jSQ=iBp_jjj;R +sfjj:ROlNEARQzbwRsRHlB_pimQ1Z +=SmB_pimQ1Z_SO +QBj=pmi_1;ZQ +fsRjR:jlENORzmAwsRbHBlRp7i_Qme_zSa +mp=BiQ_7ez_maQ +Sjp=BiX_ u;_O +fsRjR:jlENORzmAwsRbHBlRp i_XSu +mp=BiX_ uQ +Sjp=BiX_ u;_O +fsRjR:jlENORzmAwsRbHwlRuBz_1m +S=zwu_ +B1S=Qjk4M._kVb__O#Hs; +R:fjjNRlOQERARzwblsHRzwu_h1 1S +mu=wz _1h_1 OQ +Sju=wz _1h;1 +fsRjR:jlENORzmAwsRbHQlRujp_djjr9m +S=pQu_jjdr +j9S=QjQ_upj_djO9rj;R +sfjj:ROlNEARmzbwRsRHlQ_upjrdj4S9 +mu=Qpd_jj9r4 +jSQ=pQu_jjd_4Or9s; +R:fjjNRlOmERARzwblsHRpQu_jjdr +.9SQm=ujp_d.jr9Q +Sju=Qpd_jjr_O. +9;sjRf:ljRNROEQwAzRHbsluRQp9rj +=SmQ_upO9rj +jSQ=pQur;j9 +fsRjR:jlENORzQAwsRbHQlRu4pr9m +S=pQu_4Or9Q +Sju=Qp9r4;R +sfjj:ROlNEARQzbwRsRHlQrup.S9 +mu=Qpr_O.S9 +QQj=u.pr9s; +R:fjjNRlOAERz]waRHbsl1R7q4Bi +=Sm7B1qiS4 +Q7j=1iqB4h_Qam +S =MX1u_u qB_;7j RobmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jjd_N_44_r -j9Shm=_U.j_S4 -Qhj=_64n -4SQ=)A );_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj__jN4d_r -j9Shm=_U.j -jSQ=.h_j4U_ -4SQ=iBp_jjj__h Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jjd_N_4j_r -j9Shm=_(.j_S4 -Qhj=_44( -4SQ=)A );_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj__jNjd_r -j9Shm=_(.j -jSQ=.h_j4(_ -4SQ=iBp_jjj__u Hs; +R:fjjNRlOQERARzwblsHRq7aBSi +ma=7q_BiOQ +Sja=7q;Bi +fsRjR:jlENORzmAwsRbHqlRe + BSqm=e + BS=Qje;BB +fsRjR:jlENORzmAwsRbH lR +=Sm Q +Sj_=h4;.c +fsRjR:jlENORzQAwsRbHelRuSq +mu=eq +_OS=Qje;uq +fsRjR:jlENORzmAwsRbHelRvSq +mv=eqQ +Sjv=eqh_Qas; +R:fjjNRlOQERARzwblsHRa)1 +=Sm)_1aOQ +Sj1=)as; +R:fjjNRlOAERz]waRHbsl R)1 + aS)m= a1 +jSQ=7th + Sm=1) ma_zHa_;b +oR +m;N#bR$bM_FVs0D#NoR +U;sjRf:ljRNROEA7Q_Qb)RsRHl)SW +mW=)_SO +Q)j=Wj_jjv_7qQ +SmW=) + Sm=.h_UHc_;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENORzQAwsRbHwlRB9rj +=SmwOB_r +j9S=QjwjBr9s; +R:fjjNRlOQERARzwblsHRrwB4S9 +mB=w_4Or9Q +SjB=wr;49 +fsRjR:jlENORzmAwsRbHqlRvqQt_7q7)h_ q Ap +=SmqtvQq7_q7 )_hpqA Q +Sjh=t7s; +R:fjjNRlOmERARzwblsHRQqvtAq_z71_q_aq7 +Q)Sqm=vqQt_1Az_a7qqQ_7)Q +Sjv=qQ_tqA_z17qqa_)7Q_ +O;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 Ahqpp _mSW +mv=qQ_tqA_z1 Ahqpp _mSW +Qkj=MN4_lNHo_#Lk_NCML_DCD_FIHs; +R:fjjNRlOmERARzwblsHRQqvtAq_z 1_hpqA Q_]tS] +mv=qQ_tqA_z1 Ahqp] _Q +t]S=Qjh._.(s; +R:fjjNRlOAERz]waRHbslQRBQSh +mQ=BQSh +Qkj=MO6_H +HMS=m h4_n;b +oR +m;N#bR$bM_FVs0D#NoR +U;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__rNd.S9 +m_=hd +jdS=Qjhj_dd +_4S=Q41qv_vqQtr;.9 +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jjd_N_4j_ +=Smhg_.( +_4S=Qjqj1_jHj_ +4SQ=.h_.H._;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__Nj_d +_jShm=_(.g +jSQ=.h_g4(_ +4SQ=_)Wj_jjOs; R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__jNjd__S4 -m_=h._jj4Q +m_=h._nn4Q Sj_=h._4(HQ S41=)ap_7Yr_Hj 9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH___Ndjm -S=.h_jSj -Qhj=_j.j_S4 +S=.h_nSn +Qhj=_n.n_S4 Q)4=17a_pHY_r;49 -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hjd_N_S4 -m_=h4_g64Q -Sj_=h4 -cUS=Q4h4_.(;_H -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hjd_N -=Smhg_46Q -Sj_=h4_g64Q -S41=)ap_7Yr_H. -9;sjRf:ljRNROEq.h7RHbsl_Rt4_d(4m -S=#bF_ OD\b3HD -_4S=Qjh4_.6 -_HS=Q4h4_.d;_H -fsRjR:jlENOR7qh.sRbHtlR_(4d -=Smb_F#O\D 3DHb -jSQ=#bF_ OD\b3HD -_4S=Q4h4_.c;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_jcS9 -m_=h4_cjHQ -Sj_=h4_cjH -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjr_46S9 -m_=h4_c.H -_4S=QjhU_4U -_HS=Q4hj_.6;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_j6S9 -m_=h4_c.HQ -Sj_=h4_c.H -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR6kM_jC__Nj_d__j4m -S=.h_U4j_ -jSQ=kOb_0C#r -49S=Q4O_bkCr#0. -9;sjRf:ljRNROEq.h7RHbslMRk6__Cj__jNjd_ -=SmhU_.jQ -Sj_=h._Uj4Q +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hjd_N_j4_ +=Smhc_.j +_4S=Qjh6_4UQ +S4_=h._4(Hs; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jNSd +m_=h. +cjS=Qjhc_.j +_4S=Q4)_1a7_pYH9r.;R +sfjj:ROlNEhRq7b.RsRHltd_4( +_4Sbm=FO#_D3 \H_bD4Q +Sj_=h._46HQ +S4_=h._4dHs; +R:fjjNRlOqERhR7.blsHR4t_dS( +mF=b#D_O H\3bSD +Qbj=FO#_D3 \H_bD4Q +S4_=h._4cHs; +R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__Nj_d__449r4 +=Smhd_dg +_4S=QjO_bkCr#0jS9 +QO4=bCk_#H0_r;49 +fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj___Nd49r4 +=Smhd_dgQ +Sj_=hd_dg4Q S4b=Ok#_C0r_Hd -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__Nj_d__j49rn -=Smh._.6 -_4S=Qjh6_4j -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__Hjd_N_njr9m -S=.h_.S6 -Qhj=_6.._S4 -Q14=vv_qQ_tqHr_H( -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__Nj_dr_4nS9 -m_=h._.c4Q -Sj_=h4 -(cS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__Hjd_Nr -n9Shm=_c.. -jSQ=.h_.4c_ -4SQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jH___Nd49r. -=Smh4_.g -_4S=Qjh(_46Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH__jN.dr9m -S=.h_4Sg -Qhj=_g.4_S4 -Q14=vv_qQrtq. -9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jNjd__44r9m -S=.h_44U_ -jSQ=kOb_0C#r -j9S=Q4O_bkC_#0H9r4;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jjd_N_4jr9m -S=.h_4SU -Qhj=_U.4_S4 -QO4=bCk_#H0_r;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jNjd__d4r9m -S=.h_44._ -jSQ=iBp_jjj__h 7Sj -Qh4=_U4n;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___Ndj9rd -=Smh4_..Q -Sj_=h._4.4Q -S4v=1_QqvtHq_r;c9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLjo_djj___N.H -_4Shm=_U4._4H_ -jSQ=_q1j_dj7Sj -QB4=pji_j7j_js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_L_jjd_Nj_. -_HShm=_U4._SH -Qhj=_U4._4H_ -4SQ=XM uu_1q_B 7 -j;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__4j_r -49Shm=_c4d_4H_ -jSQ=4h_gHd_ -4SQ=.h_cH4_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj_r -49Shm=_c4d_SH -Qhj=_c4d_4H_ +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_od_jj__jNj.___Nd4m +S=#bF_ OD\M3kno_L_jjd_S4 +QMj= _Xu1Buq j_7 +4SQ=_q1j_dj7 +j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_od_jj__jNj.__ +NdSbm=FO#_D3 \k_MnLjo_dSj +Qbj=FO#_D3 \k_MnLjo_d4j_ +4SQ=iBp_jjj_;7j +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH__jNjd__n4r9m +S=dh_.4n_ +jSQ=4h_nHU_ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvtdq__jH__4j_ -=Smh._4c__j4Q -Sj_=h._cjHQ -S4v=1_QqvtHq_r;69 -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tqd__Hj -_jShm=_c4._Sj -Qhj=_c4._4j_ -4SQ=_1vqtvQqr_H( -9;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__jH__S4 -m_=h._n(H -_4S=Qjhg_4. -_HS=Q4qj1_jHj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__H4__Sj -m_=h._n(HQ -Sj_=h._n(H -_4S=Q4hg_n_ -j;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__jH__S4 -m_=h._nUH -_4S=Qjhg_44 -_HS=Q4qj1_jHj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__Sj -m_=h._nUHQ -Sj_=h._nUH -_4S=Q4hg_n_ -j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#._JGlkN__jNH.__S4 -m_=hd_66j -_4S=Qjhn_44 -_HS=Q4h._.U;_H -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q__.#kJlGjN___N.Hm -S=dh_6j6_ -jSQ=dh_6j6__S4 -Q)4=Wj_jj;_H -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__j.___N.H -_4Shm=_dd6_4H_ -jSQ=4h_nH4_ -4SQ=.h_.Hn_;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__.j._N_SH -m_=hd_6dHQ -Sj_=hd_6dH +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__Nj_dr_jnS9 +m_=hd +.nS=Qjh._dn +_4S=Q41qv_vqQt_HH_r;(9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH__jN4d_r +n9Shm=_6d._S4 +Qhj=_n.j +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__Nj_d9rn +=Smh._d6Q +Sj_=hd_.64Q +S4v=1_Qqvtnqr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjd_N_4j_r +d9Shm=_cd._S4 +QBj=pji_jhj_ j_7 +4SQ=.h_j +4;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Nj_dr_jdS9 +m_=hd +.cS=Qjh._dc +_4S=Q41qv_vqQt_cHr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jN4d__j4r9m +S=dh_44n_ +jSQ=4h_gS( +QA4= _))Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jN4d_r +j9Shm=_nd4 +jSQ=dh_44n_ +4SQ=iBp_jjj__h Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jNjd__j4r9m +S=dh_44d_ +jSQ=.h_jSc +QA4= _))Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jNjd_r +j9Shm=_dd4 +jSQ=dh_44d_ +4SQ=iBp_jjj__u Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HN4d_r +.9Shm=_ddj_S4 +Qhj=_(.j +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslpRBid_jj__].__jNH._ +=Smhd_U_SH +Qhj=__UdH _4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjr_4cS9 -m_=h4_cjH -_4S=Qjh._.4 -_HS=Q4h._..;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 -_dShm=_jc4_Sd -Qqj=_4HrUS9 -Qq4=_4Hrg -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__Sc -m_=hc_4jcQ -Sj_=hc_4j4Q -S4_=hc_4j.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_. -_4Shm=_jc4 -jSQ=ch_4cj_ -4SQ=ch_4dj_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__jj___Ndj -_4Shm=_(.d_S4 -Qqj=1j_jj -_HS=Q4ABtqid_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj__jNjd__S. -m_=h._d(.Q -SjW=)_jjj_SO -QM4= _Xu1Buq j_7_ -H;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_jj__Nj_d -_jShm=_(.d -jSQ=.h_d4(_ -4SQ=.h_d.(_;R -sfjj:ROlNEhRq7b.RsRHlk4M._kVb__O#j._N_Nj_d -_4Skm=M_.4V_bkO4#_ -jSQ=_q1j_djHQ -S4u=wz _1h_1 Hs; -R:fjjNRlOqERhR7.blsHR.kM4b_Vk#_O_Nj_.__jNSd -mM=k.V4_bOk_#Q -SjM=k.V4_bOk_# -_4S=Q4k.M._sLCs;_4 -fsRjR:jlENOR7qh.sRbHklRM_..LsCs_Nj_.__jN4d__Sj -mM=k.L._C_ss4 -_jS=Qjk.M._sLCs -_4S=Q4qj1_dHj_;R -sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jNj.__ -NdSkm=M_..LsCs -jSQ=.kM.C_Ls4s__Sj -Qw4=u1z_ h1_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HHd_N_S4 -m_=h._dd4Q -Sj_=hd -.(S=Q4h4_cj__Hjs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_HH___Nd.m -S=.h_d.d_ -jSQ=_1vqtvQq__HH9r( -4SQ=XM uu_1q_B 7 -j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HHd_N -=Smhd_.dQ -Sj_=h._dd4Q -S4_=h._dd.s; R:fjjNRlOqERhR7.blsHRa)1_Y7p__CjH__j4m -S=.h_cH6__S4 -Qhj=_4.U_SH -Qh4=_.dj_ +S=.h_nH4__S4 +Qhj=_..c_SH +Qh4=_4d._ H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Yj_C_jH_ -=Smhc_.6 -_HS=Qjhc_.6__H4Q +=Smhn_.4 +_HS=Qjhn_.4__H4Q +S4_=hd_..Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__j49r6 +=Smhc_44__H4Q +Sj_=h. +djS=Q4h4_dj;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9r6 +=Smhc_44 +_HS=Qjhc_44__H4Q S41=)a;_O -fsRjR:jlENOR7qh.sRbHklRMO6_H_HMj._N_Nj_d -_(Skm=MO6_H_HM(Q -SjM=k6H_OH4M_ -4SQ=6kM_HOHM;_. -fsRjR:jlENOR7qh.sRbHklRMO6_H_HMj._N_Nj_d -_USkm=MO6_H_HMUQ -SjM=k6H_OHdM_ -4SQ=6kM_HOHM;_c -fsRjR:jlENOR7qh.sRbHklRMO6_H_HMj._N_Nj_d -_gSkm=MO6_H_HMgQ -SjM=k6H_OH6M_ -4SQ=6kM_HOHM;_n -fsRjR:jlENOR7qh.sRbHklRMO6_H_HMj._N_Nj_dj_4 -=Smk_M6OMHH_ -4jS=Qjk_M6OMHH_S( -Qk4=MO6_H_HMUs; -R:fjjNRlOqERhR7.blsHR6kM_HOHM__jNj.___Nd4S4 -mM=k6H_OH4M_4Q -SjM=k6H_OHgM_ -4SQ=Hq_r9dj;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_.__jNSd -mM=k6H_OHSM -Qkj=MO6_H_HM4Sj -Qk4=MO6_H_HM4 -4;sjRf:ljRNROEq.h7RHbsl1R)ap_7Yj_C_jH___Ndj -_4Shm=_.dj_S4 -QBj=pji_jhj_ -_HS=Q4)_1a7_pYH9rj;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCHj__Nj_d -_jShm=_.dj -jSQ=dh_j4._ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH__S4 -m_=h._ccH -_4S=Qjhg_4g -_HS=Q4hj_.j;_H -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_4__Hj -_.Shm=_c.c_.H_ -jSQ=.h_jH4_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH_ -=Smhc_.c -_HS=Qjhc_.c__H4Q -S4_=h._ccH;_. -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj -_4Shm=_d.c_4H_ -jSQ=.h_c.d__SH -Qh4=_64g_ -H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH_ -=Smhc_.d -_HS=Qjhc_.d__H4Q -S4_=h4_gnHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.__44m -S=ch_44j_ -jSQ=_wBO9rj -4SQ=_wBO9r4;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_.4_ -=Smh4_cj -_.S=Qjqr_O4 -(9S=Q4qr_H4;n9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jjbjC__Nj_d__j.m -S=dh_(.6_ -jSQ=qeu_H7_ -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjC_b_jj___Ndjm -S=dh_(S6 -Qhj=_6d(_S4 -Qh4=_6d(_ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jjd_N_S4 -m_=hd_(d4Q -Sjp=Bij_jj _u -4SQ=4h_6H6_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3(kM_ OD_jjj__bCj__jN.d_ -=Smh(_dd -_.S=QjO_bkC_#0H9rj -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjC_b_jj__ -NdShm=_dd( -jSQ=dh_(4d_ -4SQ=dh_(.d_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj___Nd.r_4jS9 -m_=h._4j4Q -Sj_=h4 -6jS=Q4hn_4.;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj__jN.d__j.r9m -S=.h_4.j_ -jSQ=4h_nHc_ -4SQ=4h_nH6_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj___Nd.r_djS9 -m_=h._4jdQ -Sj_=h._4j4Q -S4_=h._4j.s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jjd_N_j.r9m -S=.h_4Sj -Qhj=_j.4_Sd -Q14=vv_qQ_tqH9rd;R +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_4cS9 +m_=h4_dgH +_4S=Qjhj_d6 +_HS=Q4hj_d(;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9rc +=Smhd_4g +_HS=Qjhd_4g__H4Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_44S9 +m_=h4_ddH +_4S=Qjh._.gQ +S4_=hd_j4Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_j4S9 +m_=h4_ddHQ +Sj_=h4_ddH +_4S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__dH__j4m +S=4h_.jd__S4 +Qhj=_jdj_SH +Q14=vv_qQ_tqH9r6;R +sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_Hd__Sj +m_=h4_.djQ +Sj_=h4_.dj +_4S=Q41qv_vqQt_(Hr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__jH +_4Shm=_..U_4H_ +jSQ=.h_.HU_ +4SQ=_q1j_jjHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__jHm +S=.h_UH._ +jSQ=.h_UH.__S4 +Qh4=_j.4_ +j;sjRf:ljRNROEq.h7RHbslMRk6__CH__HNjd__S4 +m_=hd_cd4Q +Sjb=Ok#_C09r4 +4SQ=kOb_0C#r;.9 +fsRjR:jlENOR7qh.sRbHklRMC6__HH___Ndjm +S=dh_cSd +Qhj=_ddc_S4 +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbHklRMO6_H_HMj._N_Nj_dm +S=6kM_HOHMQ +SjM=k6H_OH4M_jQ +S4M=k6H_OH4M_4s; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.jd_N_j4_ +=Smk.M._sLCs__4jQ +SjM=k.L._C_ss4Q +S4u=wz _1h_1 Os; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.jd_N +=Smk.M._sLCsQ +SjM=k.L._C_ss4 +_jS=Q4h(_d6s; +R:fjjNRlOqERhR7.blsHR.kM4b_Vk#_O_Nj_.__jN4d_ +=Smk4M._kVb__O#4Q +Sju=wz _1h_1 HQ +S4_=hd;(6 +fsRjR:jlENOR7qh.sRbHklRM_.4V_bkOj#___N.jd_N +=Smk4M._kVb_ +O#S=Qjk4M._kVb__O#4Q +S4M=k.L._C_ss4s; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.j._N_S4 +m_=hd_(64Q +SjB=w_jOr9Q +S4B=w_4Or9s; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.j._N_S. +m_=hd_(6.Q +Sj_=qO(r49Q +S4_=qHnr49s; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.j._N_Sd +m_=hd_(6dQ +Sj_=qHUr49Q +S4_=qHgr49s; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.j._N_Sc +m_=hd_(6cQ +Sj_=hd_(64Q +S4_=hd_(6.s; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.j._N +=Smh(_d6Q +Sj_=hd_(6cQ +S4_=hd_(6ds; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__4H +_4Shm=_c4d_4H_ +jSQ=_q1j_jjHQ +S4_=h._4jjs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__4Hm +S=4h_dHc_ +jSQ=4h_dHc__S4 +Qh4=_d.d_ +H;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#._JGlkN__jNH.__S4 +m_=hgjn__S4 +Qhj=_.4g_Sj +Qh4=_4dd_ +H;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#._JGlkN__jNH._ +=Smhn_g_Sj +Qhj=__gnj +_4S=Q4)jW_jHj_;R +sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__.j._N_4H_ +=Smhd_U_4H_ +jSQ=4h_gj._ +4SQ=dh_.HU_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__Nj_dr_.jS9 +m_=hd +4(S=Qjh4_d( +_dS=Q41qv_vqQt_dHr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HNjd__.4r9m +S=dh_j4c_ +jSQ=iBp_jjj__h 7Sj +Qh4=_4.j;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__NH_d__j.9r. +=Smhj_dc +_.S=Qj)_1aOQ +S4v=1_Qqvtdqr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HNjd_r +.9Shm=_cdj +jSQ=dh_j4c_ +4SQ=dh_j.c_;R sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_.__jN4d_ =Smk_M6OMHH_S4 Qqj=1d_jjj_7_SH @@ -1873,770 +1848,1646 @@ Qq4=_.Hr( mM=k6H_OHnM_ jSQ=Hq_r9.U 4SQ=Hq_r9.g;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj__rF.jS9 -m_=h4_6jHQ -Sj_=h4_6jH -_4S=Q4Mu X_q1uB7 _js; -R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__6j._F_Fd_d -_4Skm=M14_vv_qQ_tq6__H4Q -Sj1=7_jjj_q hA_p 4J_#lNkG_SH -Qh4=_.4n_ -H;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvt6q__Fj_.__dF.d_ -=Smk_M41qv_vqQt_H6__S. -Qhj=_Ud4_SH -Q14=vv_qQ_tqH9r(;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_j6___F.dd_F -=Smk_M41qv_vqQt_H6_ -jSQ=4kM__1vqtvQq__6H -_4S=Q4k_M41qv_vqQt_H6__ -.;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__4j_r -d9Shm=_U4d_4H_ -jSQ=.h_4H4_ -4SQ=.h_4H._;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__d.r9m -S=4h_dHU__S. -Qhj=_dd4_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj_r -d9Shm=_U4d_SH -Qhj=_U4d_4H_ -4SQ=4h_dHU__ -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__4j_r -j9Shm=_n4c_4H_ -jSQ=4h_(Hn_ -4SQ=.h_jHn_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj__j.r9m -S=4h_cHn__S. -Qhj=_(.j_SH -Qh4=_U.j_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__dj_r -j9Shm=_n4c_dH_ -jSQ=4h_cHn__S4 -Qh4=_n4c_.H_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj_r -j9Shm=_n4c_SH -Qhj=_n4c_dH_ -4SQ=.h_4Hj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jH___Ndjr_4.S9 -m_=h._.j4Q -Sjp=Bij_jj _h_ -7jS=Q4hn_4Us; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__Hjd_N_.j_r -.9Shm=_j.._S. -Q)j=1Oa_ -4SQ=_1vqtvQq9rd;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jH___Ndj9r. -=Smh._.jQ -Sj_=h._.j4Q -S4_=h._.j.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjC_b_jj___Ndj -_4Shm=_6d(_S4 -QBj=pji_jhj_ Q -S4_=hd;cU -fsRjR:jlENOReQhRHbsl_Rh.H._ -=Smh._._SH -Qhj=_;.. -fsRjR:jlENOReQhRHbslvReqh_Qa__4Hm -S=dh_UQ -Sj_=hdjU_;R -sfjj:ROlNEhRQesRbHhlR__.nHm -S=.h_n -_HS=Qjhn_.;R -sfjj:ROlNEhRQesRbHAlRtj_jj__4Hm -S=dh_cQ -Sj_=hdjc_;R -sfjj:ROlNEhRQesRbHAlRtd_jj__OHm -S=_Atj_djO -_HS=QjAjt_dOj_;R +sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_.__jN(d_ +=Smk_M6OMHH_S( +Qkj=MO6_H_HM4Q +S4M=k6H_OH.M_;R +sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_.__jNUd_ +=Smk_M6OMHH_SU +Qkj=MO6_H_HMdQ +S4M=k6H_OHcM_;R +sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_.__jNgd_ +=Smk_M6OMHH_Sg +Qkj=MO6_H_HM6Q +S4M=k6H_OHnM_;R +sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_.__jN4d_jm +S=6kM_HOHMj_4 +jSQ=6kM_HOHM +_(S=Q4k_M6OMHH_ +U;sjRf:ljRNROEq.h7RHbslMRk6H_OHjM___N.jd_N_ +44Skm=MO6_H_HM4S4 +Qkj=MO6_H_HMgQ +S4_=qHjrd9s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__j.m +S=.h_nHj__S. +Qhj=_n.n_SH +Qh4=_(.n_ +H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH_ +=Smhn_.j +_HS=Qjhn_.j__H4Q +S4_=h._njH;_. +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj +_4Shm=_g.6_4H_ +jSQ=.h_cHj_ +4SQ=.h_cH4_;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__.j_ +=Smh6_.g__H.Q +Sj_=h._c.HQ +S4_=h._cnHs; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H +_jShm=_g.6_SH +Qhj=_g.6_4H_ +4SQ=.h_6Hg__ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jjd_N_4j_ +=Smhd_dn +_4S=QjB_pij_jjhS +Qh4=_4dn;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3(kM_ OD_jjj__bCj__jNjd__S. +m_=hd_dn.Q +Sju=eq__7HQ +S4b=Ok#_C0r_Hd +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jjd_N_Sj +m_=hd +dnS=Qjhd_dn +_4S=Q4hd_dn;_. +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jjbjC__Nj_d +_4Shm=_.dd_S4 +QBj=pji_juj_ Q +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jjd_N_S. +m_=hd_d..Q +Sjb=Ok#_C0r_H4S9 +QO4=bCk_#H0_r;.9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jjbjC__Nj_d +_dShm=_.dd_Sd +Qhj=_.dd_S4 +Qh4=_.dd_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jjd_N +=Smhd_d.Q +Sj_=hd_d.dQ +S4b=Ok#_C0r_Hd +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH___Nd.r_4jS9 +m_=hd_4(4Q +Sj_=h4 +nUS=Q4hg_4c;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hjd_N_.._r +j9Shm=_(d4_S. +Qhj=_n4g_SH +Qh4=_(4g_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH___Nd.r_djS9 +m_=hd_4(dQ +Sj_=hd_4(4Q +S4_=hd_4(.s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HN.._r +.9Shm=_4d6_S. +Qej=u7q__SH +QO4=bCk_#H0_r;49 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH._Nr +.9Shm=_4d6 +jSQ=dh_644_ +4SQ=dh_6.4_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__Fj_.__4j9rj +=Smhn_4U__H4Q +Sj1=q_jjd_jjj_h1YB +_HS=Q4hc_4U;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._Fr +j9Shm=_U4n_SH +Qhj=_U4n_4H_ +4SQ=XM uu_1q_B 7 +j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.cLOoN d_jjM_H0__HHc_N_FH_d +_4Shm=_.4g_4j_ +jSQ=_q1j_jjHQ +S4t=Aq_Bij_djQ_haHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.Lc_o NO_jjd_0HM_HH___NcHd_F_S. +m_=h4_g.j +_.S=Qjhc_4j +_HS=Q4hc_d6;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__NH_c__HFSd +m_=h4_g.jQ +Sj_=h4_g.j +_4S=Q4hg_4.__j.s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjr_4dS9 +m_=h4_d(H +_4S=Qjh._dd +_HS=Q4h._dc;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__j.9rd +=Smhd_4(__H.Q +Sj_=hd_c(HQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_jdS9 +m_=h4_d(HQ +Sj_=h4_d(H +_4S=Q4hd_4(__H.s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__j49rj +=Smhc_46__H4Q +Sj_=h._jUjQ +S4_=hd_4.Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__j.9rj +=Smhc_46__H.Q +Sj_=hd_4dHQ +S4_=hd_4nHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jd9rj +=Smhc_46__HdQ +Sj_=h4_c6H +_4S=Q4hc_46__H.s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHr_jjS9 +m_=h4_c6HQ +Sj_=h4_c6H +_dS=Q4h4_d(;_H +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_4__Hj +_4Shm=_j.n_4H_ +jSQ=.h_cH._ +4SQ=.h_6Hc_;R +sfjj:ROlNEhRQesRbHhlR__.6Hm +S=.h_6 +_HS=Qjh6_.;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_H4_ +=Smhc_d +jSQ=dh_c;_j +fsRjR:jlENOReQhRHbsl_Rh.Hn_ +=Smhn_._SH +Qhj=_;.n +fsRjR:jlENOReQhRHbsltRA_jjj_H4_ +=Smhd_d +jSQ=dh_d;_j +fsRjR:jlENOReQhRHbsltRA_jjd_HO_ +=SmAjt_dOj__SH +QAj=td_jj;_O +fsRjR:jlENOReQhRHbslFRb#D_O k\3MLn_od_jj +_HSbm=FO#_D3 \k_MnLjo_dHj_ +jSQ=#bF_ OD\M3kno_L_jjd;R sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MULjo_dHj_ =Smb_F#O\D 3UkM__Loj djS=Qjb_F#O\D 3UkM__Loj_djjs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH__NH_d__HF4d_ -=Smhn_44__H4Q -Sj1=q_jjj_SH -Qh4=_g4d_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.cLOoN d_jjM_H0__Hj__HNHd___Fd.m -S=4h_nH4__S. -QAj=tiqB_jjd_aQh_SH -Qh4=_.d4_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.cLOoN d_jjM_H0__Hj__HNHd__ -FdShm=_44n_SH -Qhj=_44n_4H_ -4SQ=4h_nH4__ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M#U_ll_NH_oN4m -S=#bF_ OD\M3kUl_#_HNloHN__S4 -Q1j=Q_Z Or_H4S9 -Qq4=j__OHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kUl_#_HNloSN -mF=b#D_O k\3M#U_ll_NH_oNHQ -SjF=b#D_O k\3M#U_ll_NH_oNH -_4S=Q41 QZ_jOr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_4j_r -d9Shm=_cd._S4 -Qhj=_c46_SH -Qh4=_646_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Nj_.__j.9rd -=Smh._dc -_.S=Qje_vqQ_haHQ -S4u=eq__7Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_djr9m -S=dh_.Sc -Qhj=_cd._S4 -Qh4=_cd._ -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__Fj_.__4j9rj -=Smh6_4j__H4Q -Sj1=q_jjd_jjj_h1YB -_HS=Q4hc_4(;_H -fsRjR:jlENOReQhRHbsl_Rh._j6Hm -S=.h_jH6_ -jSQ=.h_j -6;sjRf:ljRNROEQRheblsHR_qjO +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_. +_4Shm=_g.U_4j_ +jSQ=4h_gHd_ +4SQ=_1vqtvQq__HH9r(;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._F +=SmhU_.g +_jS=QjhU_.g__j4Q +S4 =MX1u_u qB_;7j +fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq6__jF4d_ +=Smk_M41qv_vqQt_H6__S4 +Q7j=1j_jjh_ q Ap_#4_JGlkN +_HS=Q4hc_dg;_H +fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq6__jF.d_ +=Smk_M41qv_vqQt_H6__S. +Qhj=_c4g_SH +Q14=vv_qQ_tqH9r(;R +sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_j6__ +FdSkm=M14_vv_qQ_tq6 +_HS=Qjk_M41qv_vqQt_H6__S4 +Qk4=M14_vv_qQ_tq6__H.s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kUl_#_HNlo4N_ +=Smb_F#O\D 3UkM__#lNolHN__H4Q +SjQ=1ZO __4Hr9Q +S4j=q_HO_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3UkM__#lNolHNm +S=#bF_ OD\M3kUl_#_HNloHN_ +jSQ=#bF_ OD\M3kUl_#_HNloHN__S4 +Q14=Q_Z O9rj;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__NH_.r_4.S9 +m_=hd_644Q +Sj_=h4_U.HQ +S4v=eqh_Qa;_H +fsRjR:jlENOReQhRHbsl RMX1u_u qB__7jj +_HShm=_ +6cS=Qjhc_6_ +j;sjRf:ljRNROEQRheblsHRdh__SH +m_=hd +_HS=Qjh;_d +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_H4_ +=Smhg_c +jSQ=ch_g;_j +fsRjR:jlENOReQhRHbsl_RhU +_HShm=_HU_ +jSQ=Uh_;R +sfjj:ROlNEhRQesRbHqlR1j_jjv_7q__4Hm +S=ch_6Q +Sj_=hcj6_;R +sfjj:ROlNEhRQesRbHhlR__4(Hm +S=4h_( +_HS=Qjh(_4;R +sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH +m_=hcS. +Qhj=__c.js; +R:fjjNRlOQERhbeRsRHlhg_4_SH +m_=h4Hg_ +jSQ=4h_gs; +R:fjjNRlOQERhbeRsRHl)jW_j7j_v.q__SH +m_=hcSj +Qhj=__cjjs; +R:fjjNRlOQERhbeRsRHlhj_._SH +m_=h.Hj_ +jSQ=.h_js; +R:fjjNRlOQERhbeRsRHlq7j_v4q__SH +m_=hdSg +Qhj=__dgjs; +R:fjjNRlOQERhbeRsRHlh4_._SH +m_=h.H4_ +jSQ=.h_4s; +R:fjjNRlOQERhbeRsRHlz_71j_jjQ_ha4 +_HShm=_ +dUS=QjhU_d_ +j;sjRf:ljRNROEQRheblsHR.h_. +_HShm=__..HQ +Sj_=h. +.;sjRf:ljRNROEQRheblsHRqev_aQh_H4_ +=Smh(_d +jSQ=dh_(;_j +fsRjR:jlENOReQhRHbsl_Rh.HU_ +=SmhU_._SH +Qhj=_;.U +fsRjR:jlENOReQhRHbsluRQpd_jj__4H9r4 +=Smh4_d +jSQ=dh_4;_j +fsRjR:jlENOReQhRHbsl_Rh.H(_ +=Smh(_._SH +Qhj=_;.( +fsRjR:jlENOReQhRHbsluRQpd_jj__4H9rj +=Smhj_d +jSQ=dh_j;_j +fsRjR:jlENOReQhRHbsluRQp__OH9r. +=SmQ_upOr_H.S9 +QQj=uOp_r;.9 +fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r +.9Shm=_ +6dS=Qjhd_6_ +j;sjRf:ljRNROEQRheblsHRpQu_HO_r +49SQm=uOp__4Hr9Q +Sju=Qpr_O4 +9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H4S9 +m_=h6S. +Qhj=__6.js; +R:fjjNRlOQERhbeRsRHlQ_upOr_HjS9 +mu=Qp__OH9rj +jSQ=pQu_jOr9s; +R:fjjNRlOQERhbeRsRHlQ_up7jj__jHr9m +S=6h_4Q +Sj_=h6j4_;R +sfjj:ROlNEhRQesRbH7lRaiqB_HO_ +=Sm7Baqi__OHQ +Sja=7q_BiOs; +R:fjjNRlOQERhbeRsRHl7Baqij_7_Hj_ +=Smhn_6 +jSQ=6h_n;_j +fsRjR:jlENOReQhRHbsluReq__OHm +S=qeu_HO_ +jSQ=qeu_ +O;sjRf:ljRNROEQRheblsHRqeu_j7__SH +m_=h6S6 +Qhj=__66js; +R:fjjNRlOQERhbeRsRHlMu X_q1uBO __SH +m =MX1u_u qB_HO_ +jSQ=XM uu_1q_B Os; +R:fjjNRlOQERhbeRsRHlhj_d( +_HShm=_(dj_SH +Qhj=_(dj;R +sfjj:ROlNEhRQesRbHhlR_ddj_SH +m_=hd_jdHQ +Sj_=hd;jd +fsRjR:jlENOReQhRHbsl_Rhd_jcHm +S=dh_jHc_ +jSQ=dh_j +c;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__HH9r. +=SmhU_.dQ +Sj_=h._Udjs; +R:fjjNRlOQERhbeRsRHlhj_d4 +_HShm=_4dj_SH +Qhj=_4dj;R +sfjj:ROlNEhRQesRbHhlR_jdj_SH +m_=hd_jjHQ +Sj_=hd;jj +fsRjR:jlENOReQhRHbslMRk4v_1_Qqvtdq__jH__SH +m_=h4 +.dS=Qjh._4d;_j +fsRjR:jlENOReQhRHbsl_Rh._(UHm +S=.h_(HU_ +jSQ=.h_( +U;sjRf:ljRNROEQRheblsHR.h_gH(_ +=Smhg_.( +_HS=Qjhg_.(s; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1q_7a7q_Qj)__Hj_ +=SmqtvQqz_A1q_7a7q_QO)_ +jSQ=QqvtAq_z71_q_aq7_Q)O;_j +fsRjR:jlENOReQhRHbsl_Rh._((Hm +S=.h_(H(_ +jSQ=.h_( +(;sjRf:ljRNROEQRheblsHR_qjO _HSqm=j__OHQ Sjj=q_ O;sjRf:ljRNROEQRheblsHRZ1Q __OH9r4 =Sm1 QZ_HO_r 49S=Qj1 QZ_4Or9s; -R:fjjNRlOQERhbeRsRHlh(_._SH -m_=h.H(_ -jSQ=.h_(s; -R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_HjS9 -m_=hdS4 -Qhj=__d4js; -R:fjjNRlOQERhbeRsRHlQ_upOr_HjS9 -mu=Qp__OH9rj -jSQ=pQu_jOr9s; -R:fjjNRlOQERhbeRsRHlQ_up7jj__jHr9m -S=6h_.Q -Sj_=h6j._;R -sfjj:ROlNEhRQesRbHhlR_Hc_ -=Smh__cHQ -Sj_=hcs; -R:fjjNRlOQERhbeRsRHl7B1qiQ4_h4a__SH -m_=hcSg -Qhj=__cgjs; -R:fjjNRlOQERhbeRsRHlh(_4_SH -m_=h4H(_ -jSQ=4h_(s; -R:fjjNRlOQERhbeRsRHlp_71j_jjQ_ha4 -_HShm=_ -cdS=Qjhd_c_ -j;sjRf:ljRNROEQRheblsHR4h_U -_HShm=__4UHQ -Sj_=h4 -U;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_ha. -_HShm=_ -c.S=Qjh._c_ -j;sjRf:ljRNROEQRheblsHR.h_4 -_HShm=__.4HQ -Sj_=h. -4;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_H4_ -=Smhg_d -jSQ=dh_g;_j -fsRjR:jlENOReQhRHbsl_Rh._.cHm -S=.h_.Hc_ -jSQ=.h_. -c;sjRf:ljRNROEQRheblsHR.h_.H6_ -=Smh._.6 -_HS=Qjh._.6s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__jH__nHr9m -S=.h_US. -Qhj=_..U_ -j;sjRf:ljRNROEQRheblsHR.h_.H4_ -=Smh._.4 -_HS=Qjh._.4s; -R:fjjNRlOQERhbeRsRHlh._.. -_HShm=_..._SH -Qhj=_...;R -sfjj:ROlNEhRQesRbHhlR_g.4_SH -m_=h._4gHQ -Sj_=h.;4g -fsRjR:jlENOReQhRHbsl_Rh._.jHm -S=.h_.Hj_ -jSQ=.h_. -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__Hjr_H.S9 -m_=h. -UdS=QjhU_.d;_j -fsRjR:jlENOReQhRHbsl_Rh._4nHm -S=.h_4Hn_ -jSQ=.h_4 -n;sjRf:ljRNROEQRheblsHR.h_4HU_ -=Smh4_.U -_HS=Qjh4_.Us; -R:fjjNRlOQERhbeRsRHlO_bkC_#0.__jj__jH9r4 -=SmO_bkC_#0.9r4 -jSQ=kOb_0C#_j._r;49 -fsRjR:jlENOReQhRHbsl_Rhd_(dHm -S=dh_(Hd_ -jSQ=dh_( -d;sjRf:ljRNROEQRheblsHRdh_(H6_ -=Smh(_d6 -_HS=Qjh(_d6s; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3(kM_ OD_jjj__bCj__jHm -S=#bF_ OD\M3k(D_O j_jjC_b -jSQ=#bF_ OD\M3k(D_O j_jjC_b_ -j;sjRf:ljRNROEQRheblsHR4h_UHU_ -=SmhU_4U -_HS=QjhU_4Us; -R:fjjNRlOQERhbeRsRHlO_bkC_#0.__jj__jH9rd -=SmO_bkC_#0.9rd -jSQ=kOb_0C#_j._r;d9 -fsRjR:jlENOReQhRHbsl_Rh._((Hm -S=.h_(H(_ -jSQ=.h_( -(;sjRf:ljRNROEQRheblsHRdh_cHU_ -=Smhc_dU -_HS=Qjhc_dUs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0.__jj__jH9r. -=SmO_bkC_#0.9r. -jSQ=kOb_0C#_j._r;.9 -fsRjR:jlENOReQhRHbslFRb#D_O k\3MLn_od_jj__jNH.__SH -m_=h4 -.US=Qjh._4U;_H -fsRjR:jlENOReQhRHbsl_Rh4_gdHm -S=4h_gHd_ -jSQ=4h_g -d;sjRf:ljRNROEQRheblsHR.h_cH4_ -=Smhc_.4 -_HS=Qjhc_.4s; -R:fjjNRlOQERhbeRsRHlhc_.j -_HShm=_j.c_SH -Qhj=_j.c;R -sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tqd__Hj__jHm -S=4h_.Sc -Qhj=_c4._ -j;sjRf:ljRNROEQRheblsHRq71B_i4Q_ha4J_#lNkG_jH__SH -m_=h. -ngS=Qjhn_.g;_j -fsRjR:jlENOReQhRHbslMRk6H_OHHM_ -=Smk_M6OMHH_SH -Qkj=MO6_H;HM -fsRjR:jlENOReQhRHbslMRkUH_OHHM__jj__SH -m_=hnS4 -Qhj=__n4js; -R:fjjNRlOQERhbeRsRHlh._.U -_HShm=_U.._SH -Qhj=_U..;R -sfjj:ROlNEhRQesRbH7lR1j_jjv_7q__.#kJlGjN___N.H -_HShm=_6d6 -jSQ=dh_6j6_;R -sfjj:ROlNEhRQesRbHhlR_n.._SH -m_=h._.nHQ -Sj_=h.;.n -fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_#4_JGlkN__Hj._F_SH -m_=h4 -6gS=Qjh6_4g;_j -fsRjR:jlENOReQhRHbsl_Rhd_4UHm -S=dh_4HU_ -jSQ=dh_4 -U;sjRf:ljRNROEQRheblsHRkOb_0C#_j.__jj___F.H9r. -=Smh6_4nQ -Sj_=h4_6nHs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0.__jj__jFH._r -d9Shm=_c46 -jSQ=4h_6Hc_;R -sfjj:ROlNEhRQesRbHBlRpmi_zua_)7 __SH -mp=Biz_ma)_u __7HQ -Sjp=Biz_ma)_u ;_7 -fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_#4_JGlkN__Hj._F_Hj_ -=Smh6_4.Q -Sj_=h4_6.js; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH__jj___F.H9rj -=Smh6_4jQ -Sj_=h4_6jHs; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBHm -S=_q1j_djj_jj1BYh_SH -Qqj=1d_jjj_jjY_1h -B;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HH__jj._F_Hd_r -j9Shm=_64c -jSQ=4h_cH6_;R -sfjj:ROlNEhRQesRbHhlR_4.U_SH -m_=h._U4HQ -Sj_=h.;U4 -fsRjR:jlENOReQhRHbsl_Rhd_j.Hm -S=dh_jH._ -jSQ=dh_j -.;sjRf:ljRNROEQRheblsHR.h_(Hg_ -=Smh(_.g -_HS=Qjh(_.gs; -R:fjjNRlOQERhbeRsRHlhU_.j -_HShm=_j.U_SH -Qhj=_j.U;R -sfjj:ROlNEhRQesRbHklRMC6__jj__SH -mM=k6 -_CS=Qjk_M6C;_j -fsRjR:jlENOReQhRHbsl_Rh._(UHm -S=.h_(HU_ -jSQ=.h_( -U;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HH__jj._F_H._r -j9Shm=_44U -jSQ=4h_Uj4_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jFH._r -c9Shm=_U4( -jSQ=4h_(jU_;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_#j_JGlkN__Hj__jFHd_ -=Smhg_n -jSQ=nh_g;_j -fsRjR:jlENOReQhRHbsl_Rhd_.gHm -S=dh_.Hg_ +R:fjjNRlOQERhbeRsRHlhg_._SH +m_=h.Hg_ +jSQ=.h_gs; +R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_H.S9 +m_=hdS. +Qhj=__d.js; +R:fjjNRlOQERhbeRsRHlhd_dj +_HShm=_jdd_SH +Qhj=_jdd;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \7j1_j7j_vcq___VjH._N_HH_ +=Smhj_g +jSQ=gh_j;_j +fsRjR:jlENOReQhRHbsl_Rhd_.UHm +S=dh_.HU_ jSQ=dh_. -g;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__Hj._F_.Hr9m -S=4h_(S6 -Qhj=_64(_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__Hj._F_nHr9m -S=4h_(Sc -Qhj=_c4(_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HH__jj._F_H4_r -j9Shm=_44( -jSQ=4h_(j4_;R -sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tq6__jFd.___FdHm -S=4kM__1vqtvQq -_6S=Qjk_M41qv_vqQt_H6_;R -sfjj:ROlNEhRQesRbHhlR_cd._SH -m_=hd_.cHQ -Sj_=hd;.c +U;sjRf:ljRNROEQRheblsHR4kMcl_NH_oNL_k#8NN0_s8H_Nj_.__HHm +S=Uh_jQ +Sj_=hUjj_;R +sfjj:ROlNEhRQesRbHhlR_6d._SH +m_=hd_.6HQ +Sj_=hd;.6 fsRjR:jlENOReQhRHbsl_Rhd_.nHm S=dh_.Hn_ jSQ=dh_. -n;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jj._F_Hj_r -d9Shm=_U4n -jSQ=4h_nHU_;R -sfjj:ROlNEhRQesRbHelRvQq_hHa_ -=Sme_vqQ_haHQ -Sjv=eqh_Qas; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH__jj___F.jr_HjS9 -m_=h4 -n6S=Qjhn_46;_H -fsRjR:jlENOReQhRHbslpRBij_jj__h1BYh_4HrjS9 -mp=Bij_jj__h1BYh_4HrjS9 -QBj=pji_jhj__h1YBjr49s; +n;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__Hjr_HnS9 +m_=h. +6US=Qjh6_.U;_j +fsRjR:jlENOReQhRHbsl R)1_ am_za4J_#lNkG_jH__d4c_H4_ +=Smh4_.(Q +Sj_=h._4(Hs; R:fjjNRlOQERhbeRsRHlh._d4 _HShm=_4d._SH Qhj=_4d.;R -sfjj:ROlNEhRQesRbHhlR_g4g_SH -m_=h4_ggHQ -Sj_=h4;gg +sfjj:ROlNEhRQesRbHhlR_.d._SH +m_=hd_..HQ +Sj_=hd;.. +fsRjR:jlENOReQhRHbsl_Rhd_.jHm +S=dh_.Hj_ +jSQ=dh_. +j;sjRf:ljRNROEQRheblsHR6kM_HOHM +_HSkm=MO6_H_HMHQ +SjM=k6H_OH +M;sjRf:ljRNROEQRheblsHRUkM_HOHM__Hj__jHm +S=nh_4Q +Sj_=hnj4_;R +sfjj:ROlNEhRQesRbHhlR_jd4_SH +m_=hd_4jHQ +Sj_=hd;4j +fsRjR:jlENOReQhRHbsl_Rhd_j6Hm +S=dh_jH6_ +jSQ=dh_j +6;sjRf:ljRNROEQRheblsHR6kM_HC__HH_ +=Smh._4cQ +Sj_=h4_.cjs; +R:fjjNRlOQERhbeRsRHlhc_d4 +_HShm=_4dc_SH +Qhj=_4dc;R +sfjj:ROlNEhRQesRbHOlRbCk_#.0__jH__HH_r +d9Shm=_g44 +jSQ=4h_4jg_;R +sfjj:ROlNEhRQesRbHhlR_jdc_SH +m_=hd_cjHQ +Sj_=hd;cj +fsRjR:jlENOReQhRHbsl_Rhd_n4Hm +S=dh_nH4_ +jSQ=dh_n +4;sjRf:ljRNROEQRheblsHRkOb_0C#_j.__jj__.Hr9m +S=kOb_0C#_..r9Q +Sjb=Ok#_C0__.j9r.;R +sfjj:ROlNEhRQesRbHhlR_Udd_SH +m_=hd_dUHQ +Sj_=hd;dU +fsRjR:jlENOReQhRHbsl_Rhd_dgHm +S=dh_dHg_ +jSQ=dh_d +g;sjRf:ljRNROEQRheblsHRkOb_0C#_j.__jj__4Hr9m +S=kOb_0C#_4.r9Q +Sjb=Ok#_C0__.j9r4;R +sfjj:ROlNEhRQesRbHhlR_.dd_SH +m_=hd_d.HQ +Sj_=hd;d. +fsRjR:jlENOReQhRHbsl_Rhd_dnHm +S=dh_dHn_ +jSQ=dh_d +n;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k(D_O j_jjC_b_jj__SH +mF=b#D_O k\3MO(_Dj _jbj_CQ +SjF=b#D_O k\3MO(_Dj _jbj_C;_j +fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_#4_JGlkN__jNH.__SH +m_=hgSg +Qhj=__ggjs; +R:fjjNRlOQERhbeRsRHlhd_d4 +_HShm=_4dd_SH +Qhj=_4dd;R +sfjj:ROlNEhRQesRbH7lR1j_jjv_7q__.#kJlGjN___N.H +_HShm=_ +gnS=Qjhn_g_ +j;sjRf:ljRNROEQRheblsHR1) ma_z4a__l#Jk_GNH__j4_cd4._F_SH +m_=h4 +U(S=QjhU_4(;_H +fsRjR:jlENOReQhRHbslbROk#_C0__.j__jj._F_.Hr9m +S=4h_US6 +Qhj=_64U_ +H;sjRf:ljRNROEQRheblsHRkOb_0C#_H.__Hj___F.H9rd +=SmhU_4.Q +Sj_=h4_U.Hs; +R:fjjNRlOQERhbeRsRHlB_pim_zau_) 7 +_HSBm=pmi_zua_)7 __SH +QBj=pmi_zua_)7 _;R +sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa__j#kJlGHN__FH_.__jHm +S=4h_(S6 +Qhj=_64(_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HH__jFH._r +j9Shm=_U4n +jSQ=4h_nHU_;R +sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hHB_ +=Smqj1_djj_j1j_Y_hBHQ +Sj1=q_jjd_jjj_h1YBs; +R:fjjNRlOQERhbeRsRHl)_1a7_pYCH.__Fj_.__jHm +S=4h_6SU +Qhj=_U46_ +H;sjRf:ljRNROEQRheblsHRiBp_jjj__7jHm +S=iBp_jjj__7jHQ +Sjp=Bij_jjj_7;R +sfjj:ROlNEhRQesRbHhlR_6dc_SH +m_=hd_c6HQ +Sj_=hd;c6 +fsRjR:jlENOReQhRHbsl_Rhd_ccHm +S=dh_cHc_ +jSQ=dh_c +c;sjRf:ljRNROEQRheblsHRa)1_Y7p__C.H__jFH._ +=Smhc_4cQ +Sj_=h4_ccjs; +R:fjjNRlOQERhbeRsRHlk_MnNj#_dHj__Hj_ +=Smhd_4UQ +Sj_=h4_dUjs; +R:fjjNRlOQERhbeRsRHlhc_d. +_HShm=_.dc_SH +Qhj=_.dc;R +sfjj:ROlNEhRQesRbHhlR_ddc_SH +m_=hd_cdHQ +Sj_=hd;cd fsRjR:jlENOReQhRHbsl R)1_ am_za.__Hj._F_SH -m_=h4 -U.S=QjhU_4.;_j -fsRjR:jlENOReQhRHbsl R)1_ am_za4J_#lNkG_jH__d4c_Fj_. -_HShm=_U46 -jSQ=4h_6HU_;R -sfjj:ROlNEhRQesRbH)lR17a_pCY_.__Hj._F_Hj_ -=Smhc_4UQ -Sj_=h4_cUHs; -R:fjjNRlOQERhbeRsRHlhj_d( -_HShm=_(dj_SH -Qhj=_(dj;R -sfjj:ROlNEhRQesRbH)lR17a_pCY_.__Hj._F_SH -m_=h4 -cdS=Qjhc_4d;_j -fsRjR:jlENOReQhRHbsl_Rh._d6Hm -S=.h_dH6_ -jSQ=.h_d -6;sjRf:ljRNROEQRheblsHR.h_4Hj_ -=Smh4_.j -_HS=Qjh4_.js; -R:fjjNRlOQERhbeRsRHlhj_.( -_HShm=_(.j_SH -Qhj=_(.j;R -sfjj:ROlNEhRQesRbHhlR_U.j_SH -m_=h._jUHQ -Sj_=h.;jU -fsRjR:jlENOReQhRHbsl_Rh._jnHm -S=.h_jHn_ -jSQ=.h_j -n;sjRf:ljRNROEQRheblsHRdh_4Hd_ -=Smh4_dd -_HS=Qjh4_dds; -R:fjjNRlOQERhbeRsRHlh4_.4 -_HShm=_4.4_SH -Qhj=_4.4;R -sfjj:ROlNEhRQesRbHhlR_..4_SH -m_=h._4.HQ -Sj_=h.;4. -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH__Fj_.r_HdS9 -m_=h4 -UdS=QjhU_4d;_j -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_ha4 -_HShm=_ -ccS=Qjhc_c_ -j;sjRf:ljRNROEQRheblsHR4h_g -_HShm=__4gHQ -Sj_=h4 -g;sjRf:ljRNROEQRheblsHR_)Wj_jj7_vq. -_HShm=_ -c4S=Qjh4_c_ -j;sjRf:ljRNROEQRheblsHR.h_j -_HShm=__.jHQ -Sj_=h. -j;sjRf:ljRNROEQRheblsHR_qj7_vq4 -_HShm=_ -cjS=Qjhj_c_ -j;sjRf:ljRNROEQRheblsHR.h_c -_HShm=__.cHQ -Sj_=h. -c;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW__4Hm -S=dh_nQ -Sj_=hdjn_;R -sfjj:ROlNEhRQesRbHhlR__.6Hm -S=.h_6 -_HS=Qjh6_.;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_H4_ -=Smh6_d -jSQ=dh_6;_j -fsRjR:jlENOReQhRHbsl_Rh4_gUHm -S=4h_gHU_ -jSQ=4h_g -U;sjRf:ljRNROEQRheblsHRa)1_Y7p__C.H__j. -_HShm=_d.c_S. -Qhj=_d.c_H._;R -sfjj:ROlNEhRQesRbHhlR_n4g_SH -m_=h4_gnHQ -Sj_=h4;gn -fsRjR:jlENOReQhRHbsl_Rh4_g6Hm -S=4h_gH6_ -jSQ=4h_g -6;sjRf:ljRNROEQRheblsHR.h_jH4_ -=Smhj_.4 -_HS=Qjhj_.4s; -R:fjjNRlOQERhbeRsRHlhj_.j -_HShm=_j.j_SH -Qhj=_j.j;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_7_Hj___N.H -_HShm=_Ud6 -jSQ=dh_6jU_;R -sfjj:ROlNEhRQesRbHhlR_g.d_SH -m_=h._dgHQ -Sj_=h.;dg -fsRjR:jlENOReQhRHbslFRb#D_O 1\3Q_Z 7_vqn__jj__jH9r4 -=Smb_F#O\D 3Z1Q v_7qr_n4S9 -Qbj=FO#_D3 \1 QZ_q7v_jn_r;49 -fsRjR:jlENOReQhRHbsl_Rh._dUHm -S=.h_dHU_ -jSQ=.h_d -U;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__Hj_r -j9Sbm=FO#_D3 \1 QZ_q7v_jnr9Q -SjF=b#D_O 1\3Q_Z 7_vqnr_jj -9;sjRf:ljRNROEQRheblsHR.h_dH(_ -=Smhd_.( -_HS=Qjhd_.(s; -R:fjjNRlOQERhbeRsRHlhd_.n -_HShm=_n.d_SH -Qhj=_n.d;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_a7qqQ_7)__jj__jHm -S=QqvtAq_z71_q_aq7_Q)OQ -Sjv=qQ_tqA_z17qqa_)7Q_jO_;R -sfjj:ROlNEhRQesRbHhlR_4dd_SH -m_=hd_d4HQ -Sj_=hd;d4 -fsRjR:jlENOReQhRHbslFRb#D_O k\3MLn_o NO_jjj_jj__SH -mF=b#D_O k\3MLn_o NO_jjj -jSQ=#bF_ OD\M3kno_LN_O j_jjjs; -R:fjjNRlOQERhbeRsRHlqj1_j7j_v4q__l#Jk_GNj._N_HH_ -=Smh6_dnQ -Sj_=hd_6njs; -R:fjjNRlOQERhbeRsRHlkcM4_HNloLN_k8#_N_0N8_Hsj._N_HH_ -=Smh6_d.Q -Sj_=hd_6.js; -R:fjjNRlOQERhbeRsRHlh__UHm -S=Uh__SH -Qhj=_ -U;sjRf:ljRNROEQRheblsHR_q1j_jj7_vq4 -_HShm=_ -cnS=Qjhn_c_ -j;sjRf:ljRNROEQRheblsHR4h_j -_HShm=__4jHQ -Sj_=h4 -j;sjRf:ljRNROEQRheblsHR.h_dHd_ -=Smhd_.d -_HS=Qjhd_.ds; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jH__HHm -S=dh_6S( -Qhj=_(d6_ -j;sjRf:ljRNROEQRheblsHR_q1j_jjQ_ha4J_#lNkG_jH__SH m_=h. -(jS=Qjh(_.j;_j +4nS=Qjh4_.n;_j +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#H#__jH___F..r_HjS9 +m_=h. +gjS=Qjhg_.j;_j +fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___F.H9r4 +=Smh4_..Q +Sj_=h._4.js; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__Fj_.r_H6S9 +m_=h. +44S=Qjh4_.4;_j +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#H#__jH___F.4r_HjS9 +m_=h. +jcS=Qjhj_.c;_j +fsRjR:jlENOReQhRHbsl_Rhd_64Hm +S=dh_6H4_ +jSQ=dh_6 +4;sjRf:ljRNROEQRheblsHRdh_6Hd_ +=Smh6_dd +_HS=Qjh6_dds; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__FH_.__jH9r. +=Smhj_.4Q +Sj_=h._j4Hs; +R:fjjNRlOQERhbeRsRHle_vqQ_haHm +S=qev_aQh_SH +Qej=vQq_h +a;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HH__jFj.__jHr9m +S=4h_gS( +Qhj=_(4g_ +H;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k6o_LN_O j_djH_M08__Hjc_N_FH_d +_HShm=_d4g +jSQ=4h_gHd_;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__NH_c__HFHd_ +=Smhg_4.Q +Sj_=h4_g.js; +R:fjjNRlOQERhbeRsRHlB_pij_jjhY_1hHB_r94j +=SmB_pij_jjhY_1hHB_r94j +jSQ=iBp_jjj_1h_YrhB4;j9 +fsRjR:jlENOReQhRHbsl_Rhd_6jHm +S=dh_6Hj_ +jSQ=dh_6 +j;sjRf:ljRNROEQRheblsHRq71B_i4Q_hajJ_#lNkG_HH___F.Hm +S=4h_USU +Qhj=_U4U_ +j;sjRf:ljRNROEQRheblsHR.h_cH4_ +=Smhc_.4 +_HS=Qjhc_.4s; +R:fjjNRlOQERhbeRsRHlhn_.n +_HShm=_n.n_SH +Qhj=_n.n;R +sfjj:ROlNEhRQesRbHhlR_(.n_SH +m_=h._n(HQ +Sj_=h.;n( +fsRjR:jlENOReQhRHbsl_Rh._6cHm +S=.h_6Hc_ +jSQ=.h_6 +c;sjRf:ljRNROEQRheblsHRdh_4H(_ +=Smh4_d( +_HS=Qjh4_d(s; +R:fjjNRlOQERhbeRsRHlh4_dd +_HShm=_dd4_SH +Qhj=_dd4;R +sfjj:ROlNEhRQesRbHhlR_nd4_SH +m_=hd_4nHQ +Sj_=hd;4n +fsRjR:jlENOReQhRHbsl_Rhd_4.Hm +S=dh_4H._ +jSQ=dh_4 +.;sjRf:ljRNROEQRheblsHRdh_cH(_ +=Smhc_d( +_HS=Qjhc_d(s; +R:fjjNRlOQERhbeRsRHlh._dd +_HShm=_dd._SH +Qhj=_dd.;R +sfjj:ROlNEhRQesRbHhlR_cd._SH +m_=hd_.cHQ +Sj_=hd;.c fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_SH m1=q_jjj_q7v_SH Qqj=1j_jjv_7qs; -R:fjjNRlOQERhbeRsRHlk_MnNj#_dHj__Hj_ -=Smhd_4(Q -Sj_=h4_d(js; -R:fjjNRlOQERhbeRsRHlh4_d. -_HShm=_.d4_SH -Qhj=_.d4;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MdNj#_d8j_j__jFj.___FdHm -S=#bF_ OD\M3kd#_N_jjd_ -8jS=Qjb_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__Hj___NdHd_F_SH -m_=h4 -n4S=Qjhn_44;_H -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH__Fj_.r_H4S9 -m_=h4 -(gS=Qjh(_4g;_j -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH__Fj_.r_H6S9 -m_=h4 -UjS=QjhU_4j;_j -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH__Fj_.r_HjS9 -m_=h4 -UcS=QjhU_4c;_j -fsRjR:jlENOReQhRHbslpRBid_jj__].__jNH.___F.Hm -S=4h_US6 -Qhj=_64U_ -j;sjRf:ljRNROEQRheblsHR1z7_jjj_HO_ -=Smz_71j_jjO -_HS=Qjz_71j_jjOs; -R:fjjNRlOQERhbeRsRHlp_71j_jjO -_HSpm=7j1_jOj__SH -Qpj=7j1_jOj_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__jj___F.H9rj -=Smh(_4dQ -Sj_=h4_(dHs; -R:fjjNRlOQERhbeRsRHle_uqO -_HSem=uOq__SH -Qej=uOq_;R -sfjj:ROlNEhRQesRbHelRu7q__Hj_ -=Smhn_6 -jSQ=6h_n;_j -fsRjR:jlENOReQhRHbslaR7q_BiO -_HS7m=aiqB_HO_ -jSQ=q7aBOi_;R -sfjj:ROlNEhRQesRbH7lRaiqB__7jj +R:fjjNRlOQERhbeRsRHlB_pij_dj]__.j._N_FH_. +_HShm=_4.. +jSQ=.h_.j4_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jFH._r +d9Shm=_j.. +jSQ=.h_.jj_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj._F_jHr9m +S=.h_4Sg +Qhj=_g.4_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jFj.__jHr9m +S=4h_6Sn +Qhj=_n46_ +H;sjRf:ljRNROEQRheblsHRdh_cHg_ +=Smhc_dg +_HS=Qjhc_dgs; +R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_j6___FdHm +S=4kM__1vqtvQq +_6S=Qjk_M41qv_vqQt_H6_;R +sfjj:ROlNEhRQesRbHzlR7j1_jOj__SH +m7=z1j_jj__OHQ +Sj7=z1j_jj;_O +fsRjR:jlENOReQhRHbsl7Rp1j_jj__OHm +S=1p7_jjj_HO_ +jSQ=1p7_jjj_ +O;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj___F.H9rj +=Smhj_.6Q +Sj_=h._j6Hs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__jH___F.H9rn +=Smhj_.nQ +Sj_=h._jnjs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__FH_.r_H.S9 +m_=h. +j(S=Qjhj_.(;_j +fsRjR:jlENOReQhRHbsl_Rhd_6cHm +S=dh_6Hc_ +jSQ=dh_6 +c;sjRf:ljRNROEQRheblsHR#bF_ OD\Y3BB_p 7_vq6__jHd_F_SH +m_=h. +4jS=Qjh4_.j;_j +fsRjR:jlENOReQhRHbslFRb#D_O k\3M_d(Nj#_d8j_j__HFH._ +=SmhU_.gQ +Sj_=h._Ugjs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__Fj_.r_HcS9 +m_=h. +4US=Qjh4_.U;_j +fsRjR:jlENOReQhRHbsl_Rh._c.Hm +S=.h_cH._ +jSQ=.h_c +.;sjRf:ljRNROEQRheblsHR.h_cHn_ +=Smhc_.n +_HS=Qjhc_.ns; +R:fjjNRlOQERhbeRsRHlhc_.j +_HShm=_j.c_SH +Qhj=_j.c;R +sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa__4Hm +S=ch_UQ +Sj_=hcjU_;R +sfjj:ROlNEhRQesRbHhlR_..(_SH +m_=h._(.HQ +Sj_=h.;(. +fsRjR:jlENOReQhRHbsl_Rh._(4Hm +S=.h_(H4_ +jSQ=.h_( +4;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kdN(_#d_jjj_8_HH_ +=Smh(_.gQ +Sj_=h._(gjs; +R:fjjNRlOQERhbeRsRHlqj1_jQj_h4a__l#Jk_GNH +_HShm=_j.U +jSQ=.h_Ujj_;R +sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa__4#kJlGHN__SH +m_=h. +U4S=QjhU_.4;_j +fsRjR:jlENOReQhRHbsl_Rh._gUHm +S=.h_gHU_ +jSQ=.h_g +U;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__jHr9m +S=#bF_ OD\Q31Z7 _vnq_r +j9S=Qjb_F#O\D 3Z1Q v_7q__nj9rj;R +sfjj:ROlNEhRQesRbHhlR_g.g_SH +m_=h._ggHQ +Sj_=h.;gg +fsRjR:jlENOReQhRHbslFRb#D_O 1\3Q_Z 7_vqn__jjr_H4S9 +mF=b#D_O 1\3Q_Z 7_vqn9r4 +jSQ=#bF_ OD\Q31Z7 _vnq__4jr9s; +R:fjjNRlOQERhbeRsRHlh6_dU +_HShm=_Ud6_SH +Qhj=_Ud6;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MnLOoN j_jj__jj +_HSbm=FO#_D3 \k_MnLOoN j_jjQ +SjF=b#D_O k\3MLn_o NO_jjj_ +j;sjRf:ljRNROEQRheblsHRZ1Q v_7q__d#kJlGjN___N.H +_HShm=_n.U +jSQ=.h_Ujn_;R +sfjj:ROlNEhRQesRbHqlR1d_jjj_7_Hj___N.H _HShm=_ -6(S=Qjh(_6_ -j;sjRf:ljRNROEQRheblsHRpQu_HO_r -49SQm=uOp__4Hr9Q -Sju=Qpr_O4 -9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H4S9 -m_=h6Sd -Qhj=__6djs; -R:fjjNRlOQERhbeRsRHlQ_upOr_H.S9 -mu=Qp__OH9r. -jSQ=pQu_.Or9s; -R:fjjNRlOQERhbeRsRHlQ_up7jj__.Hr9m -S=6h_cQ -Sj_=h6jc_;R -sfjj:ROlNEhRQesRbHhlR__.UHm -S=.h_U -_HS=QjhU_.;R -sfjj:ROlNEhRQesRbHQlRujp_d4j__4Hr9m -S=dh_.Q -Sj_=hdj._;R -sfjj:ROlNEhRQesRbHhlR__.gHm -S=.h_g -_HS=Qjhg_.;R -sfjj:ROlNEhRQesRbHQlRujp_d4j__.Hr9m -S=dh_dQ -Sj_=hdjd_;R -sfjj:ROlNEhRQesRbHhlR_Ud(_SH -m_=hd_(UHQ -Sj_=hd;(U -fsRjR:jlENOReQhRHbsl_Rh._.(Hm -S=.h_.H(_ -jSQ=.h_. -(;sjRf:ljRNROEQRheblsHR#bF_ OD\137_jjj_q7v_Vc_j__HNH.__SH -m_=hd -6cS=Qjh6_dc;_j -fsRjR:jlENOReQhRHbsl_Rh( -_HShm=_H(_ -jSQ=(h_;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1h4B__SH +UUS=QjhU_U_ +j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kd#_N_jjd__8jjd_F_SH +mF=b#D_O k\3MNd_#d_jjj_8 +jSQ=#bF_ OD\M3kd#_N_jjd__8jHs; +R:fjjNRlOQERhbeRsRHlhc_._SH +m_=h.Hc_ +jSQ=.h_cs; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_H4_ +=Smh6_d +jSQ=dh_6;_j +fsRjR:jlENOReQhRHbsl_Rh4HU_ +=SmhU_4_SH +Qhj=_;4U +fsRjR:jlENOReQhRHbslWR)_jjj_aQh_H._ +=Smh4_c +jSQ=ch_4;_j +fsRjR:jlENOReQhRHbsl_Rh4Hj_ +=Smhj_4_SH +Qhj=_;4j +fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_ha4 +_HShm=_ +cdS=Qjhd_c_ +j;sjRf:ljRNROEQRheblsHR(h__SH +m_=h( +_HS=Qjh;_( +fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB__4Hm +S=ch_nQ +Sj_=hcjn_;R +sfjj:ROlNEhRQesRbHhlR_H6_ +=Smh__6HQ +Sj_=h6s; +R:fjjNRlOQERhbeRsRHlqj1_jQj_h4a__SH m_=hcS( Qhj=__c(js; -R:fjjNRlOQERhbeRsRHlh__6Hm -S=6h__SH +R:fjjNRlOQERhbeRsRHlh__cHm +S=ch__SH Qhj=_ -6;sjRf:ljRNROEQRheblsHR_q1j_jjQ_ha4 -_HShm=_ -cUS=QjhU_c_ -j;sjRf:ljRNROEQRheblsHRdh__SH -m_=hd -_HS=Qjh;_d -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_H4_ -=Smhj_6 -jSQ=6h_j;_j -fsRjR:jlENOReQhRHbsl RMX1u_u qB_HO_ -=SmMu X_q1uBO __SH -QMj= _Xu1Buq ;_O -fsRjR:jlENOReQhRHbsl RMX1u_u qB__7jj -_HShm=_ -66S=Qjh6_6_ -j;sjRf:ljRNROEQRheblsHR4Q_gSd -m1=q_jjj_SH -Qqj=1j_jj;_O -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_SH -m1=7_jjj_q7v_SH -Q7j=1j_jjv_7qs; -R:fjjNRlOqERhR7.blsHRnkM__8#j -djSkm=M8n_#d_jjQ -Sj1=q_jjj_SH -Q74=1j_jjv_7q;_H -fsRjR:jlENOReQhRHbsl_Rh4_gjHm -S=4h_gHj_ -jSQ=4h_g -j;sjRf:ljRNROEQRheblsHR4kM_HNloLN_kC#_MDNLCF_DI -_HSkm=MN4_lNHo_#Lk_NCML_DCD_FIHQ -SjM=k4l_NH_oNL_k#CLMNDDC_F -I;sjRf:ljRNROEQRheblsHR.kM4b_Vk#_O_SH -mM=k.V4_bOk_# -_HS=Qjk4M._kVb_;O# -fsRjR:jlENOReQhRHbslpRBiz_maX_ uh_Qa -_HSBm=pmi_z a_XQu_hHa_ -jSQ=iBp_amz_u X_aQh;R -sfjj:ROlNEhRQesRbHQlRujp_djj__34_sm -S=pQu_jjd_4j__M3kdQ -SjF=b#D_O H\3b -D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j4l_3 -=SmQ_upj_djj__434kM -jSQ=pQu_4Or9Q -S4F=b#D_O H\3b -D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j4M_3 -=SmQ_upj_djj__43jkM -jSQ=pQu_jjd_4Or9Q -S4u=Qpd_jj__j4k_3M -d;sjRf:ljRNROEmR).blsHRpQu_jjd_4j__ -3bShm=_ -.US=QjQ_upj_djj__434kM -4SQ=pQu_jjd_4j__M3kjs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.4r9m -S=dh_d -_jS=Qjhg_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49r4 -=Smh._d_Sj -Qhj=__.UHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j.S9 -m_=h6jc_ -jSQ=pQu_HO_r -.9S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu__7jj9r4 -=Smhd_6_Sj -QQj=uOp__4Hr9Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH7lRaiqB__7jjm -S=6h_( -_jS=Qj7Baqi__OHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHelRu7q__Sj -m_=h6jn_ -jSQ=a)1_SO -Qe4=uOq__ -H;sjRf:ljRNROEq.h7RHbsl RMX1u_u qB__7jjm -S=6h_6 -_jS=Qj)_1aOQ -S4 =MX1u_u qB_HO_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v4q_ -=Smhj_6_Sj -Qhj=_Hd_ +c;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW +_HSqm=vqQt_1Az_q hA_p 7_vqp_mWHQ +Sjv=qQ_tqA_z1 Ahqp7 _vpq_m +W;sjRf:ljRNROEq.h7RHbslMRk4l_NH_oNL_k#CLMNDDC_FSI +mM=k4l_NH_oNL_k#CLMNDDC_FSI +Qqj=vqQt_1Az_q hA_p 7_vqp_mWHQ +S4t=Aq_Bij_djQ_haHs; +R:fjjNRlOQERhbeRsRHlk_M4NolHNk_L#M_CNCLD_IDF_SH +mM=k4l_NH_oNL_k#CLMNDDC_FHI_ +jSQ=4kM_HNloLN_kC#_MDNLCF_DIs; +R:fjjNRlOQERhbeRsRHlk4M._kVb__O#Hm +S=.kM4b_Vk#_O_SH +Qkj=M_.4V_bkO +#;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW3_jsm +S=QqvtAq_z 1_hpqA v_7qm_pW3_jk +MdS=Qjhg_4ds; +R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jlm +S=QqvtAq_z 1_hpqA v_7qm_pW3_jk +M4S=Qjh(_.n +_HS=Q4hg_4ds; +R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jMm +S=QqvtAq_z 1_hpqA v_7qm_pW3_jk +MjS=QjqtvQqz_A1h_ q Ap_q7v_Wpm +4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Md +fsRjR:jlENOR.m)RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sb +m_=h.Sc +Qqj=vqQt_1Az_q hA_p 7_vqp_mWjM3k4Q +S4v=qQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;R +sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_#4_JGlkN +_HS7m=1j_jjh_ q Ap_#4_JGlkN +_HS=Qj7j1_j j_hpqA __4#kJlG +N;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_haj +3sS)m=Wj_jjh_Qa3_jk +MdS=Qjh._4ds; +R:fjjNRlOqERhR7.blsHR_)Wj_jjQ_haj +3lS)m=Wj_jjh_Qa3_jk +M4S=Qj7j1_j j_hpqA __4#kJlGHN_ +4SQ=4h_. +d;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_Mj3 +=Sm)jW_jQj_hja_3jkM +jSQ=_)Wj_jjQ +haS=Q4)jW_jQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbH)lRWj_jjh_Qa3_jbm +S=4h_UQ +SjW=)_jjj_aQh_kj3MS4 +Q)4=Wj_jjh_Qa3_jk;Mj +fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haj +3sSAm=tiqB_jjd_aQh_kj3MSd +Qbj=FO#_D3 \k_MnLOoN j_jjs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl +mt=Aq_Bij_djQ_hajM3k4Q +Sjt=Aq_Bij_jjOQ +S4F=b#D_O k\3MLn_o NO_jjj;R +sfjj:ROlNEhRq7b.RsRHlABtqid_jjh_Qa3_jMm +S=qAtBji_dQj_hja_3jkM +jSQ=qAtBji_dQj_hSa +QA4=tiqB_jjd_aQh_kj3M +d;sjRf:ljRNROEmR).blsHRqAtBji_dQj_hja_3Sb +m_=h4Sj +QAj=tiqB_jjd_aQh_kj3MS4 +QA4=tiqB_jjd_aQh_kj3M +j;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_sj3 +=Smqj1_djj_j1j_Y_hBjM3kdQ +Sj_=h.;(g +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3Sl +m1=q_jjd_jjj_h1YB3_jk +M4S=Qjqj1_djj_j1j_Y +hBS=Q4h(_.gs; +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_Mj3 +=Smqj1_djj_j1j_Y_hBjM3kjQ +SjF=b#D_O k\3MNd_#d_jjj_8 +4SQ=_q1j_djj_jj1BYh_kj3M +d;sjRf:ljRNROEmR).blsHR_q1j_djj_jj1BYh_bj3 +=Smh +_(S=Qjqj1_djj_j1j_Y_hBjM3k4Q +S41=q_jjd_jjj_h1YB3_jk;Mj +fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p j +3sS7m=1j_jjh_ q Ap_kj3MSd +Q7j=1j_jjh_ q Ap_#4_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_lj3 +=Sm7j1_j j_hpqA 3_jk +M4S=Qj7j1_j j_hpqA Q +S41=7_jjj_q hA_p 4J_#lNkG_ +4;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p j +3MS7m=1j_jjh_ q Ap_kj3MSj +Qkj=M14_vv_qQ_tq6 +_HS=Q47j1_j j_hpqA 3_jk;Md +fsRjR:jlENOR.m)RHbsl1R7_jjj_q hA_p j +3bShm=_Sn +Q7j=1j_jjh_ q Ap_kj3MS4 +Q74=1j_jjh_ q Ap_kj3M +j;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H6S9 +mv=1_QqvtHq_r +69S=Qj1qv_vqQtr;69 +fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_sj3 +=Smqj1_jQj_hja_3dkM +jSQ=.h_U +j;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_lj3 +=Smqj1_jQj_hja_34kM +jSQ=_1vqtvQqr_H6S9 +Qh4=_j.U;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM +m1=q_jjj_aQh_kj3MSj +Qqj=1j_jjh_QaQ +S41=q_jjj_aQh_kj3M +d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj +3bShm=_S6 +Qqj=1j_jjh_Qa3_jk +M4S=Q4qj1_jQj_hja_3jkM;R +sfjj:ROlNEhRQesRbHhlR_n.d_SH +m_=h._dnHQ +Sj_=h.;dn +fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_sj3 +=Sm7B1qiQ4_hja_3dkM +jSQ=.h_U +4;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_lj3 +=Sm7B1qiQ4_hja_34kM +jSQ=.h_dHn_ +4SQ=.h_U +4;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_Mj3 +=Sm7B1qiQ4_hja_3jkM +jSQ=q71B_i4Q +haS=Q47B1qiQ4_hja_3dkM;R +sfjj:ROlNE)Rm.sRbH7lR1iqB4h_Qa3_jbm +S=ch_ +jSQ=q71B_i4Q_hajM3k4Q +S41=7q4Bi_aQh_kj3M +j;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_SH +m7=p1j_jjh_Qa +_HS=Qjp_71j_jjQ;ha +fsRjR:jlENOR7qh.sRbHklRMDc_8j#_jSj +mM=kc8_D#j_jjQ +Sj1=7_jjj_q hA +p S=Q4p_71j_jjQ_haHs; +R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haHm +S=1z7_jjj_aQh_SH +Qzj=7j1_jQj_h +a;sjRf:ljRNROEq.h7RHbslMRkc8_k#j_jjm +S=ckM_#k8_jjj +jSQ=_71j_jj AhqpS +Qz4=7j1_jQj_hHa_;R +sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_SH +mt=Aq_Bij_djQ_haHQ +Sjt=Aq_Bij_djQ;ha +fsRjR:jlENOReQhRHbslQR1Z7 _vHq_r +49S1m=Q_Z 7_vqH9r4 +jSQ=Z1Q v_7q9r4;R +sfjj:ROlNEhRq7b.RsRHlk_Mc#CHx +=Smk_Mc#CHx +jSQ=Z1Q v_7q9rj +4SQ=Z1Q v_7qr_H4 +9;sjRf:ljRNROEQRheblsHRHq_r94g +=Smqr_H4 +g9S=Qjqr_O4;g9 +fsRjR:jlENOReQhRHbsl_RqHUr49m +S=Hq_r94U +jSQ=Oq_r94U;R +sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqj__43Ss +mQ=1Z7 _vjq__34_k +MdS=QjhU_.ns; +R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4l_3 +=Sm1 QZ_q7v_4j__M3k4Q +SjF=b#D_O 1\3Q_Z 7_vqn9r4 +4SQ=.h_U +n;sjRf:ljRNROEq.h7RHbslQR1Z7 _vjq__34_Mm +S=Z1Q v_7q__j4k_3MSj +Q1j=Q_Z 7rvq4S9 +Q14=Q_Z 7_vqj__43dkM;R +sfjj:ROlNE)Rm.sRbH1lRQ_Z 7_vqj__43Sb +m_=h4S. +Q1j=Q_Z 7_vqj__434kM +4SQ=Z1Q v_7q__j4k_3M +j;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 +=Sm1 QZ_q7v_jj__M3kdQ +Sj_=h.;Un +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl +mQ=1Z7 _vjq__3j_k +M4S=Qjb_F#O\D 3Z1Q v_7qr_njS9 +Qh4=_n.U;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_jj__ +3MS1m=Q_Z 7_vqj__j3jkM +jSQ=Z1Q v_7q9rj +4SQ=Z1Q v_7q__jjk_3M +d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 +=Smh4_4 +jSQ=Z1Q v_7q__jjk_3MS4 +Q14=Q_Z 7_vqj__j3jkM;R +sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a_ +=SmhU_c_Sj +Qhj=_Hc_ 4SQ=a)1_ O;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_S4 -m_=hcjU_ +m_=hcj(_ jSQ=6h__SH Q)4=1Oa_;R sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4m -S=ch_( +S=ch_n _jS=Qjh__(HQ S41=)a;_O -fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB3_jsm -S=_q1j_djj_jj1BYh_kj3MSd -Qhj=_(d6;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hBj -3lSqm=1d_jjj_jjY_1hjB_34kM -jSQ=#bF_ OD\M3kd#_N_jjd_ -8jS=Q4h6_d(s; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_Mj3 -=Smqj1_djj_j1j_Y_hBjM3kjQ -Sj1=q_jjd_jjj_h1YBQ -S41=q_jjd_jjj_h1YB3_jk;Md -fsRjR:jlENOR.m)RHbsl1Rq_jjd_jjj_h1YB3_jbm -S=(h_ -jSQ=_q1j_djj_jj1BYh_kj3MS4 -Qq4=1d_jjj_jjY_1hjB_3jkM;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r6 -=Sm1qv_vqQt_6Hr9Q -Sjv=1_Qqvt6qr9s; -R:fjjNRlOQERhbeRsRHlqj1_jQj_hja_3Ss -m1=q_jjj_aQh_kj3MSd -Qhj=_j.(;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3Sl -m1=q_jjj_aQh_kj3MS4 -Q1j=vv_qQ_tqH9r6 -4SQ=.h_( -j;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_Mj3 -=Smqj1_jQj_hja_3jkM -jSQ=_q1j_jjQ -haS=Q4qj1_jQj_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHqlR1j_jjh_Qa3_jbm -S=6h_ -jSQ=_q1j_jjQ_hajM3k4Q -S41=q_jjj_aQh_kj3M -j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqj -3sS7m=1j_jjv_7q3_jk -MdS=Qjh6_d6s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj -3lS7m=1j_jjv_7q3_jk -M4S=Qjh6_dcQ -S4_=hd;66 -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q3_jMm -S=_71j_jj7_vqjM3kjQ -Sj1=7_jjj_q7v -4SQ=_71j_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl7j1_j7j_vjq_3Sb -m_=hdQ -Sj1=7_jjj_q7v_kj3MS4 -Q74=1j_jjv_7q3_jk;Mj -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tqd__Hj__jNSd +fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_S4 +m_=hcjd_ +jSQ=4h_j +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_)Wj_jjQ_ha.m +S=ch_4 +_jS=QjhU_4_SH +Q)4=1Oa_;R +sfjj:ROlNEhRQesRbH)lR1Ha_ +=Sm)_1aHQ +Sj1=)a;_O +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vqp_mW4m +S=dh_6 +_jS=Qjhc_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA +_4Shm=_ +6gS=Qjh +_nS=Q4)_1aOs; +R:fjjNRlOQERhbeRsRHlh(_.n +_HShm=_n.(_SH +Qhj=_n.(;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_.9rj +=Smh6_dcQ +Sj_=h4 +6nS=Q41qv_vqQtr;j9 +fsRjR:jlENOReQhRHbslpRBij_jj _u_SH +mp=Bij_jj _u_SH +QBj=pji_juj_ s; +R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__6j._N +=Smhc_dgQ +Sjp=Bij_jj _u_SH +Q14=vv_qQrtqc +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rNdcS9 +m_=hd +j6S=Qjh4_.UQ +S4v=1_QqvtHq_r;69 +fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tqd__Hjd_N +=Smhj_djQ +Sjp=Bij_jj _u +4SQ=_1vqtvQq9rj;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jN4dr9m +S=.h_gSg +QAj=tiqB_jjd_aQh_SH +Qh4=_6.j_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jjd_Nr +j9Shm=_U.g +jSQ=qAtBji_dQj_hHa_ +4SQ=.h_j +6;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3vqQt_1Az_q hA_p 7_vqp_mWd__HNSd m_=h. -cjS=QjB_pij_jjuS -Q14=vv_qQrtqj -9;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#._JGlkN__jNH.__ -NdShm=_U.. -jSQ=iBp_jjd_S] -QB4=pji_dOj_;R -sfjj:ROlNEhRQesRbHQlR_c4g -=Sm)jW_jHj_ -jSQ=_)Wj_jjOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_j__HNH.__ -NdShm=_(.. -jSQ=_q1j_jj7 -vqS=Q4)jW_jHj_;R -sfjj:ROlNEhRQesRbHBlRpji_jhj_ -_HSBm=pji_jhj_ -_HS=QjB_pij_jjh - ;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HcS9 -mv=1_QqvtHq_r -c9S=Qj1qv_vqQtr;c9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jNjd_r -c9Shm=_... +(nS=QjqO4_ +4SQ=qAtBji_dQj_hHa_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlG4N__Nj_dm +S=_71j_jj Ahqp4 __l#Jk_GN4Q +SjF=b#D_O k\3MNd_#d_jjj_8_SH +Qk4=M14_vv_qQ_tq6s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_d +_jShm=_..( +jSQ=dh_(S6 +Qb4=FO#_D3 \k_MdNj#_d8j_j;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj__ +NdShm=_4.( +jSQ=.h_USg +Qb4=FO#_D3 \k_MdNj#_d8j_j;_H +fsRjR:jlENOReQhRHbsl_RQ4 +g6S)m=W +_HS=Qj)OW_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGjN__ +NdS7m=1j_jjh_ q Ap_#4_JGlkNQ +SjW=)_SH +Q14=vv_qQrtq6 +9;sjRf:ljRNROEQRheblsHRZ1Q v_7qr_HjS9 +mQ=1Z7 _vHq_r +j9S=Qj1 QZ_q7vr;j9 +fsRjR:jlENOR7qh.sRbHklRM#d_H +xCSkm=M#d_H +xCS=Qj1 QZ_q7vr +49S=Q41 QZ_q7v_jHr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kd#_N_jjd__8jjd_F +=Smb_F#O\D 3dkM__N#j_dj8Hj_ +jSQ=_q1j_dj7Hj_ +4SQ=)A );_O +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_7_Hj___N.Hm +S=Uh_U +_jS=Qjqj1_dHj_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNj._N_SH +m_=h._UnjQ +Sj_=h4_gdHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHklRMNd_#d_jj__HNH._ +=SmhU_.6 +_HS=Qjh._.. +_HS=Q4) 1az_mas; +R:fjjNRlOqERhR7.blsHR.kM__sIH._N_SH +m_=h._UcHQ +Sjt=Aq_Bij_djQ_haHQ +S4 =)1_ am;za +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLOoN j_jj__jjm +S=#bF_ OD\M3kno_LN_O j_jjjQ +Sjt=Aq_Bij_jjOQ +S4_=hd_6UHs; +R:fjjNRlOQERhbeRsRHl) 1az_ma +_HS)m= a1 _amz_SH +Q)j= a1 _amz;R +sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_jjj__Sj +mM=k4#_N_jjj_SH +QAj=tiqB_jjd_aQh +4SQ=1) ma_z +a;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj9r4 +=Smb_F#O\D 3Z1Q v_7q__nj9r4 +jSQ=.h_gHg_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj9rj +=Smb_F#O\D 3Z1Q v_7q__nj9rj +jSQ=.h_gHU_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#4_JGlkN +_HShm=_4.U_Sj +Qhj=_n.d_SH +Qb4=FO#_D3 \k_MdNj#_d8j_j;_H +fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa__4#kJlGHN_ +=SmhU_.j +_jS=Qj1qv_vqQt_6Hr9Q +S4F=b#D_O k\3MNd_#d_jjj_8_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j +_HShm=_g.(_Sj +Qhj=_4.(_SH +Qh4=_..(_ +H;sjRf:ljRNROEQRheblsHRHq_r94n +=Smqr_H4 +n9S=Qjqr_O4;n9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLOoN j_jj__jj._N +=Smh6_dUQ +Sj1=q_jjj_SO +QB4=pji_juj_ s; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.jd_N_S4 +mM=k.L._C_ss4Q +Sj1=q_jjd_SH +QA4=tiqB_jjj_ +O;sjRf:ljRNROEQRheblsHRzwu_h1 1H _ +=Smw_uz11 h +_HS=Qjw_uz11 h ;_O +fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___l.4s_3 +=Sm1qv_vqQt_##s0H#__lj_.__43dkM +jSQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__lj_.__43Sl +mv=1_Qqvt#q_s##0_jH___l.4k_3MS4 +QAj= _))OQ +S4v=1_Qqvt4qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jl4.__ +3MS1m=vv_qQ_tq#0s##__Hj._l_34_k +MjS=QjB_pij_jjuS +Q14=vv_qQ_tq#0s##__Hj._l_34_k;Md +fsRjR:jlENOR.m)RHbslvR1_Qqvt#q_s##0_jH___l.4b_3 +=Smh._.gQ +Sjv=1_Qqvt#q_s##0_jH___l.4k_3MS4 +Q14=vv_qQ_tq#0s##__Hj._l_34_k;Mj +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +c9S1m=vv_qQ_tqH9rc +jSQ=_1vqtvQq9rc;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_.9rc +=Smh4_.U +_jS=Qjh6_4n +_HS=Q41qv_vqQtr;c9 +fsRjR:jlENOReQhRHbsl RMX1u_u qB__7jHm +S=XM uu_1q_B 7Hj_ +jSQ=XM uu_1q_B 7 +j;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__FH_dm +S=.h_4jj_ +jSQ=qAtBji_dQj_hHa_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rFdjS9 +m_=h._jUjQ +Sj_=hd_6cHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH._Fr +.9Shm=_(.j_Sj +Qhj=_n46 +4SQ=_1vqtvQqr_Hd +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__Fj_.9rn +=Smhj_.n +_jS=Qjh6_4nQ +S4v=1_QqvtHq_r;(9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__Fj_.9rj +=Smhj_.6 +_HS=Qjp_71j_jjO +_HS=Q4z_71j_jjO;_H +fsRjR:jlENOReQhRHbslvR1_QqvtHq__(Hr9m +S=_1vqtvQq__HH9r( +jSQ=_1vqtvQqr_H( +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH___F.c9rj +=Smhg_4c +_HS=Qj1qv_vqQt_jHr9Q +S4v=1_QqvtHq_r;n9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._F_jjr9m +S=4h_6Hn_ +jSQ=)A ) +_OS=Q4B_pij_jjuH _;R +sfjj:ROlNEhRQesRbHQlR_n4g +=SmA) )_SH +QAj= _))Os; +R:fjjNRlOqERhR7.blsHR4kM__N#j_djHc_N_FH_dm +S=.h_.H._ +jSQ=qAtBji_dQj_hHa_ +4SQ=XM uu_1q_B 7Hj_;R +sfjj:ROlNEhRQesRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3Ss +mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k +MdS=QjABtqid_jjh_Qas; +R:fjjNRlOqERhR7.blsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jl_3 +=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__M3k4Q +Sjv=1_QqvtHq__(Hr9Q +S4t=Aq_Bij_djQ;ha +fsRjR:jlENOR7qh.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3SM +mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k +MjS=QjqtvQqz_A1h_ q Ap_q7v_t]Q]Q +S4M=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;Md +fsRjR:jlENOR.m)RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_bm +S=.h_.S( +Qkj=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j34kM +4SQ=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3M +j;sjRf:ljRNROEX.m)RHbslFRb#D_O B\3Y Bp_q7v_j6__GH_.m +S=.h_.HU_ +jSQ=iBp_jjj_ +u S=Q4BpYB v_7q9rj;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj._l_36_sm +S=_1vqtvQqs_##_0#H__jl6.__M3kdQ +Sjv=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jl6.__ +3lS1m=vv_qQ_tq#0s##__Hj._l_36_k +M4S=QjA) )_SO +Q14=vv_qQrtq6 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH___l.6M_3 +=Sm1qv_vqQt_##s0H#__lj_.__63jkM +jSQ=iBp_jjj_ +u S=Q41qv_vqQt_##s0H#__lj_.__63dkM;R +sfjj:ROlNE)Rm.sRbH1lRvv_qQ_tq#0s##__Hj._l_36_bm +S=.h_dSj +Q1j=vv_qQ_tq#0s##__Hj._l_36_k +M4S=Q41qv_vqQt_##s0H#__lj_.__63jkM;R +sfjj:ROlNEmRX)b.RsRHlO_bkC_#0j__jGj.r9m +S=.h_dH4_ +jSQ=iBp_jjj__h 7Sj +QO4=bCk_#j0r9s; +R:fjjNRlOXERmR).blsHR#bF_ OD\Y3BB_p 7_vq6__4H._G +=Smhd_.d +_HS=QjBpYB v_7q9r4 +4SQ=.h_j +g;sjRf:ljRNROEQRheblsHRqeu_H7_ +=Sme_uq7 +_HS=Qje_uq7s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9r. +=SmO_bkC_#0H9r. +jSQ=kOb_0C#r;.9 +fsRjR:jlENOReQhRHbslbROk#_C0r_H4S9 +mb=Ok#_C0r_H4S9 +QOj=bCk_#40r9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj +=SmO_bkC_#0H9rj +jSQ=kOb_0C#r;j9 +fsRjR:jlENOReQhRHbslbROk#_C0r_HdS9 +mb=Ok#_C0r_HdS9 +QOj=bCk_#d0r9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m +S=_1vqtvQqr_HdS9 +Q1j=vv_qQrtqd +9;sjRf:ljRNROEQRheblsHR_q1j_dj7Hj_ +=Smqj1_d7j_j +_HS=Qjqj1_d7j_js; +R:fjjNRlOQERhbeRsRHlqr_H. +c9Sqm=_.HrcS9 +Qqj=_.Orc +9;sjRf:ljRNROEQRheblsHR4Q_gS( +m1=q_jjd_SH +Qqj=1d_jj;_O +fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa__j#kJlGHN__FH_.m +S=4h_UjU_ +jSQ=iBp_jjj_1h_Y_hBHjr49Q +S4_=hd_6jHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6o_LN_O j_djH_M08__Hjc_N_FH_dm +S=4h_gHd_ +jSQ=qAtBji_dQj_hSa +QA4=tiqB_jjd_aQh_ +7;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH___F.69rj +=Smhg_4n +_HS=Qj1qv_vqQt_.Hr9Q +S4v=1_QqvtHq_r;c9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._F_jjr9m +S=4h_gH(_ +jSQ=_1vqtvQqr_H4S9 +Q14=vv_qQ_tqH9r6;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__FH_.r_j.S9 +m_=h._j4HQ +Sj_=hd_64HQ +S4_=hd_6dHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jF4._r +j9Shm=_c.j_Sj +Qhj=_n4g_SH +Q14=vv_qQ_tqH9rn;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_.9r6 +=Smh4_.4 +_jS=QjB_pij_jjhH _ +4SQ=_1vqtvQq9r6;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_.9r4 +=Smh4_.. +_jS=QjB_pij_jjhH _ +4SQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__Fj_.r_.jS9 +m_=h._gjjQ +Sjp=Bij_jj _h_ +7jS=Q4hj_.4s; +R:fjjNRlOqERhR7.blsHR1) ma_z.a__jH__ +F.Shm=_n.4_Sj +QBj=pji_jhj_ Q +S4_=h4_U(Hs; +R:fjjNRlOQERhbeRsRHlB_pij_jjhH _ +=SmB_pij_jjhH _ +jSQ=iBp_jjj_;h +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +49S1m=vv_qQ_tqH9r4 +jSQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_.9rj +=Smh4_.g +_jS=QjB_pij_jjhS +Q14=vv_qQrtq4 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Fj_.9rd +=Smh._.j +_jS=QjB_pij_jjuS +Q14=vv_qQrtqc +9;sjRf:ljRNROEq.h7RHbslpRBid_jj__].__jNH.__ +F.Shm=_4.._Sj +Qqj=1j_jjv_7q +_HS=Q4B_pij_djHs; +R:fjjNRlOqERhR7.blsHRnkM__N#j_djH +_jShm=_U4d_Sj +Qqj=1j_jjv_7q +_HS=Q4qj1_jHj_;R +sfjj:ROlNEmRX)b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__HNHc__ +G.Shm=_j4c_SH +QBj=Y Bp_q7vr +j9S=Q4BpYB v_7q9r4;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Fj_.m +S=4h_cjc_ +jSQ=dh_cHc_ +4SQ=a)1_ +O;sjRf:ljRNROEQRheblsHRiBp_jjj__74Hm +S=iBp_jjj__74HQ +Sjp=Bij_jj4_7;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3iBp_jjj_1h_Y_hB.__jNjc__rF.jS9 +m_=h4_cUHQ +Sjp=Bij_jjj_7_SH +QB4=pji_j7j_4s; +R:fjjNRlOQERhbeRsRHl)_1a7_pYH9rj +=Sm)_1a7_pYH9rj +jSQ=a)1_Y7pr;j9 +fsRjR:jlENOReQhRHbsl1R)ap_7Yr_H4S9 +m1=)ap_7Yr_H4S9 +Q)j=17a_p4Yr9s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jFj._ +=Smh6_4U +_HS=Qj)_1a7rpYjS9 +Q)4=17a_p4Yr9s; +R:fjjNRlOQERhbeRsRHlB_pij_djHm +S=iBp_jjd_SH +QBj=pji_dOj_;R +sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja__l#Jk_GNH__HFj._ +=Smh(_46 +_jS=QjB_pij_djOQ +S4p=Biz_ma)_u __7Hs; +R:fjjNRlOqERhR7.blsHR6kM_HC__FH_.m +S=4h_UH4_ +jSQ=kOb_0C#r +d9S=Q4O_bkC_#0H9r.;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__Hj__HFd.r9m +S=4h_UH._ +jSQ=4h_UH4_ +4SQ=kOb_0C#_jHr9s; +R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__jj__rF..S9 +m_=h4_U6HQ +Sjb=Ok#_C09rj +4SQ=kOb_0C#r;49 +fsRjR:jlENOReQhRHbsl1R)ap_7Yr_H.S9 +m1=)ap_7Yr_H.S9 +Q)j=17a_p.Yr9s; +R:fjjNRlOqERhR7.blsHR1) ma_z4a__l#Jk_GNH__j4_cd4._F +=SmhU_4( +_HS=Qjh6_4U +_HS=Q4)_1a7rpY. +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH_r +j9Shm=_44d_SH +Qhj=_U.j_Sj +Qh4=_(.(_ +H;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_jj_ +=SmqtvQqz_A1q_7a7q_QO)__Sj +Qhj=_U.(_SH +Qh4=_(.g_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH_r +.9Shm=_d.U_Sj +Qhj=_ddj_SH +Qh4=_cdj_ +H;sjRf:ljRNROEq.h7RHbslMRkUH_OHHM__jj_ +=Smh4_n_Sj +QMj= _Xu1Buq j_7_SH +Qk4=MO6_H_HMHs; +R:fjjNRlOqERhR7.blsHR1) ma_z.a__jH_ +=Smhn_.. +_HS=Qjh._dj +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR1) ma_z4a__l#Jk_GNH__j4_cd4m +S=.h_4H(_ +jSQ=4h_US( +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jH_r +n9Shm=_U.6_Sj +Qhj=_6d._SH +Qh4=_nd._ +H;sjRf:ljRNROEq.h7RHbslMRk4Nc_lNHo_#Lk_08NNH_8s__jNH._ +=Smhj_U_Sj +QAj=tiqB_jjd_aQh_SH +Q)4=Wj_jj;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \7j1_j7j_vcq___VjH._N_SH +m_=hgjj_ +jSQ=4h_gj._ +4SQ=dh_dHj_;R +sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q__l#Jk_GNj._N_SH +m_=hgjg_ +jSQ=iBp_jjd_SH +Qh4=_.4g_ +j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jjm +S=#bF_ OD\M3k(D_O j_jjC_b_Sj +Qhj=_.dd_SH +Qh4=_ndd_ +H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj9r4 +=SmO_bkC_#0.r_j4S9 +Qhj=_Udd_SH +Qh4=_gdd_ +H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj9r. +=SmO_bkC_#0.r_j.S9 +Qhj=_jdc_SH +Qh4=_4dn_ +H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.H__jH9rd +=Smh4_4g +_jS=QjhU_4.Q +S4_=hd_c4Hs; +R:fjjNRlOqERhR7.blsHR6kM_HC__SH +m_=h4_.cjQ +Sj_=hd_c.HQ +S4_=hd_cdHs; +R:fjjNRlOQERhbeRsRHlQg_4Um +S=_)Wj_jjHQ +SjW=)_jjj_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O 7\31j_jjv_7q__cVHj___N.Hd_N +=Smhd_djQ +Sj1=q_jjj_q7v +4SQ=_)Wj_jjHs; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_Nj_.__HNSd +m_=hd +d4S=QjB_pij_dj]Q +S4p=Bid_jj;_O +fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Nj_d9r4 +=Smhd_dUQ +Sjb=Ok#_C09r4 +4SQ=kOb_0C#_jHr9s; +R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__jj__rNd.S9 +m_=hd +cjS=QjhU_46Q +S4b=Ok#_C09r.;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__Hj__HNddr9m +S=dh_cS4 +Qhj=_64U_SH +QO4=bCk_#.0r9s; +R:fjjNRlOqERhR7.blsHR6kM_HC__NH_dm +S=dh_cS. +Qhj=_44U_SH +QO4=bCk_#H0_r;49 +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj._N +=Smhc_dcQ +Sjp=Bij_jj _h +4SQ=.h_4H(_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__HNHc__ +N.Shm=_6dc +jSQ=1p7_jjj_SO +Qz4=7j1_jOj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rN.dS9 +m_=hd +c(S=QjA) )_SH +Q14=vv_qQrtqd +9;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#j_JGlkN__HH._N +=Smh6_djQ +Sjp=Bij_jj__h1BYhr +g9S=Q4h(_46s; +R:fjjNRlOqERhR7.blsHRa)1_Y7pD_8CHc_N_Nj_.m +S=4h_.S( +QBj=pji_jhj_ +_HS=Q4)_1aOs; +R:fjjNRlOQERhbeRsRHl7Baqij_7_SH +ma=7q_Bi7Hj_ +jSQ=q7aB7i_js; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HNj._r +.9Shm=_dd6 +jSQ=q7aB7i_j +_HS=Q4e_uq7s; +R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__jj__rN..S9 +m_=hd +n4S=QjhU_46 +_HS=Q4O_bkC_#0H9r.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_d9rj +=Smh(_.(Q +Sj_=h. +4gS=Q41qv_vqQt_jHr9s; +R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)j__jNSd +m_=h. +(US=QjABtqid_jjh_QaQ +S4W=)_jjj_ +H;sjRf:ljRNROEQRheblsHR4Q_gSg +m1=q_jjj_SH +Qqj=1j_jj;_O +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +.9S1m=vv_qQ_tqH9r. +jSQ=_1vqtvQq9r.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_d9r4 +=Smhj_d4Q +Sj_=h. +4.S=Q41qv_vqQt_.Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jNjd_r +c9Shm=_(dj jSQ=iBp_jjj__h HQ S4v=1_QqvtHq_r;c9 fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -j9S1m=vv_qQ_tqH9rj -jSQ=_1vqtvQq9rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rNdjS9 -m_=hd -(US=QjhU_4cQ -S4v=1_QqvtHq_r;j9 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r n9S1m=vv_qQ_tqH9rn jSQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rNd6S9 +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_d9r6 +=Smh4_djQ +Sj_=h. +44S=Q41qv_vqQt_nHr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jNjdr9m +S=dh_4S. +Qhj=_j.g +4SQ=dh_c +(;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.__Hjd_N +=Smh._djQ +Sj_=h. +4nS=Q4) 1az_ma;_H +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_j__Hjd_N +=Smh._d4Q +Sj_=h4 +ccS=Q4)_1a7rpYj +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Yj_C_jH___Ndjm +S=dh_.S. +Qhj=_(4. +4SQ=a)1_Y7p_jHr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjd_Nr +d9Shm=_dd. +jSQ=.h_.Sj +Q14=vv_qQ_tqH9rd;R +sfjj:ROlNEhRQesRbHBlRpji_d]j__SH +mp=Bid_jj__]HQ +Sjp=Bid_jj;_] +fsRjR:jlENOR7qh.sRbHBlRpji_d]j__j.___N.Hd_N +=Smh._dUQ +Sjp=Bid_jj__]HQ +S4_=h.;.4 +fsRjR:jlENOReQhRHbsl_RqH4rd9m +S=Hq_r9d4 +jSQ=Oq_r9d4;R +sfjj:ROlNEmRX)b.RsRHltd_4cm +S=.h_4Sd +QQj=u7p_j9rj +4SQ=pQu_jOr9s; +R:fjjNRlOXERmR).blsHR4t_dS6 m_=h. -j6S=QjhU_4jQ -S4v=1_QqvtHq_r;n9 -fsRjR:jlENOR)Xm.sRbHtlR_n4d -=Smh4_.6Q -Sju=Qpj_7r -.9S=Q4Q_upO9r.;R -sfjj:ROlNEmRX)b.RsRHltd_46m -S=.h_4Sc -QQj=u7p_j9r4 -4SQ=pQu_4Or9s; -R:fjjNRlOqERhR7.blsHR4t_.Sg +4cS=QjQ_up74jr9Q +S4u=Qpr_O4 +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +dnShm=_6.4 +jSQ=pQu_r7j.S9 +QQ4=uOp_r;.9 +fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa__j#kJlGHN__NH_dm +S=.h_dSn +Qhj=_U4U +4SQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Nj_d +_jShm=_4.c +jSQ=4h_cSc +Qh4=_(4U_ +H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___Nd4m +S=.h_cS. +Qhj=_(.4 +4SQ=a)1_ +H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___Nd.m +S=.h_cSn +Qhj=_(4. +4SQ=a)1_Y7p_.Hr9s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__jNSd m_=h. -jgS=QjB_pij_jjuS -QB4=Y Bp_q7vr;j9 +6cS=Qjhc_4cQ +S4_=h4_6UHs; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__jN4d_ +=Smhn_.(Q +Sj_=h4 +.(S=Q4)_1a7_pYH9r4;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3iBp_jjj_1u_Y_hB.__jNjdr9m +S=#bF_ OD\p3Bij_jj__u1BYh_j.r9Q +Sjp=Bij_jjj_7 +4SQ=iBp_jjj__74Hs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\j3q_q7v_jd__ +NdSbm=FO#_D3 \q7j_vdq_ +jSQ=qAtBji_dQj_hHa_ +4SQ=1z7_jjj_ +O;sjRf:ljRNROEQRheblsHR_q4Hm +S=_q4HQ +Sj4=q_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3vqQt_1Az_q hA_p 7_vq]]Qt_Hd__ +NdShm=_6.( +jSQ=_q4HQ +S4t=Aq_Bij_djQ_haHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_jHr9m +S=_1vqtvQqr_HjS9 +Q1j=vv_qQrtqj +9;sjRf:ljRNROEq.h7RHbsl_Rt4 +.gShm=_g.j +jSQ=iBp_jjj_ +u S=Q4BpYB v_7q9rj;R +sfjj:ROlNEhRQesRbHhlR_d.4_SH +m_=h._4dHQ +Sj_=h.;4d +fsRjR:jlENOReQhRHbsl_Rh._4cHm +S=.h_4Hc_ +jSQ=.h_4 +c;sjRf:ljRNROEQRheblsHR.h_4H6_ +=Smh4_.6 +_HS=Qjh4_.6s; +R:fjjNRlOQERhbeRsRHlqr_H. +69Sqm=_.Hr6S9 +Qqj=_.Or6 +9;sjRf:ljRNROEQRheblsHRHq_r9.n +=Smqr_H. +n9S=Qjqr_O.;n9 +fsRjR:jlENOReQhRHbsl_RqH(r.9m +S=Hq_r9.( +jSQ=Oq_r9.(;R +sfjj:ROlNEhRQesRbHqlR_.HrUS9 +m_=qHUr.9Q +Sj_=qOUr.9s; +R:fjjNRlOQERhbeRsRHlqr_H. +g9Sqm=_.HrgS9 +Qqj=_.Org +9;sjRf:ljRNROEQRheblsHRHq_r9dj +=Smqr_Hd +j9S=Qjqr_Od;j9 +fsRjR:jlENOReQhRHbslpRBiz_ma)_u j_6_SH +mp=Biz_ma)_u j_6_SH +QBj=pmi_zua_)6 _js; +R:fjjNRlOqERhR7.blsHRXM uu_1q_B 7jj_ +=Smhc_6_Sj +Q)j=1Oa_ +4SQ=XM uu_1q_B O;_H +fsRjR:jlENOR7qh.sRbHelRu7q__Sj +m_=h6j6_ +jSQ=a)1_SO +Qe4=uOq__ +H;sjRf:ljRNROEq.h7RHbslaR7q_Bi7jj_ +=Smhn_6_Sj +Q7j=aiqB_HO_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsluRQpj_7_jjr9m +S=6h_4 +_jS=QjQ_upOr_HjS9 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlQ_up7jj_r +49Shm=__6.jQ +Sju=Qp__OH9r4 +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsluRQpj_7_.jr9m +S=6h_d +_jS=QjQ_upOr_H.S9 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49rj +=Smhj_d_Sj +Qhj=__.(HQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHQlRujp_d4j_r +49Shm=__d4jQ +Sj_=h.HU_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsluRQpd_jjr_4.S9 +m_=hdj._ +jSQ=.h_g +_HS=Q4)_1aOs; +R:fjjNRlOQERhbeRsRHlO_bkC_#0j__43Ss +mb=Ok#_C0__j4k_3MSd +QBj=pji_jhj_ j_7;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__43Sl +mb=Ok#_C0__j4k_3MS4 +QOj=bCk_#.0_r +49S=Q4B_pij_jjh7 _js; +R:fjjNRlOqERhR7.blsHRkOb_0C#_4j__ +3MSOm=bCk_#j0__34_k +MjS=QjO_bkCr#04S9 +QO4=bCk_#j0__34_k;Md +fsRjR:jlENOR.m)RHbslbROk#_C0__j4b_3 +=Smhc_4 +jSQ=kOb_0C#_4j__M3k4Q +S4b=Ok#_C0__j4k_3M +j;sjRf:ljRNROEQRheblsHRkOb_0C#_.j__ +3sSOm=bCk_#j0__3._k +MdS=QjB_pij_jjh7 _js; +R:fjjNRlOqERhR7.blsHRkOb_0C#_.j__ +3lSOm=bCk_#j0__3._k +M4S=QjO_bkC_#0.9r. +4SQ=iBp_jjj__h 7 +j;sjRf:ljRNROEq.h7RHbslbROk#_C0__j.M_3 +=SmO_bkC_#0j__.3jkM +jSQ=kOb_0C#r +.9S=Q4O_bkC_#0j__.3dkM;R +sfjj:ROlNE)Rm.sRbHOlRbCk_#j0__3._bm +S=4h_6Q +Sjb=Ok#_C0__j.k_3MS4 +QO4=bCk_#j0__3._k;Mj +fsRjR:jlENOReQhRHbslbROk#_C0__jds_3 +=SmO_bkC_#0j__d3dkM +jSQ=iBp_jjj__h 7 +j;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdl_3 +=SmO_bkC_#0j__d34kM +jSQ=4h_4Sg +QB4=pji_jhj_ j_7;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__d3SM +mb=Ok#_C0__jdk_3MSj +QOj=bCk_#d0r9Q +S4b=Ok#_C0__jdk_3M +d;sjRf:ljRNROEmR).blsHRkOb_0C#_dj__ +3bShm=_ +4nS=QjO_bkC_#0j__d34kM +4SQ=kOb_0C#_dj__M3kjs; +R:fjjNRlOQERhbeRsRHlQ_upj_djj__j3Ss +mu=Qpd_jj__jjk_3MSd +Qbj=FO#_D3 \H;bD +fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_lm +S=pQu_jjd_jj__M3k4Q +Sju=Qpr_OjS9 +Qb4=FO#_D3 \H;bD +fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_Mm +S=pQu_jjd_jj__M3kjQ +Sju=Qpd_jjr_OjS9 +QQ4=ujp_djj__3j_k;Md +fsRjR:jlENOR.m)RHbsluRQpd_jj__jjb_3 +=Smh(_. +jSQ=pQu_jjd_jj__M3k4Q +S4u=Qpd_jj__jjk_3M +j;sjRf:ljRNROEQRheblsHRpQu_jjd_4j__ +3sSQm=ujp_djj__34_k +MdS=Qjb_F#O\D 3DHb;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43Sl +mu=Qpd_jj__j4k_3MS4 +QQj=uOp_r +49S=Q4b_F#O\D 3DHb;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43SM +mu=Qpd_jj__j4k_3MSj +QQj=ujp_dOj_r +49S=Q4Q_upj_djj__43dkM;R +sfjj:ROlNE)Rm.sRbHQlRujp_djj__34_bm +S=.h_UQ +Sju=Qpd_jj__j4k_3MS4 +QQ4=ujp_djj__34_k;Mj fsRjR:jlENOReQhRHbsluRQpd_jj__j.s_3 =SmQ_upj_djj__.3dkM jSQ=#bF_ OD\b3HDs; @@ -2652,291 +3503,130 @@ R:fjjNRlOmER)b.RsRHlQ_upj_djj__.3Sb m_=h.Sg QQj=ujp_djj__3._k M4S=Q4Q_upj_djj__.3jkM;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r4 -=Sm1qv_vqQt_4Hr9Q -Sjv=1_Qqvt4qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._Fr -49Shm=_g4(_Sj -QBj=pji_jhj_ -_HS=Q41qv_vqQtr;49 -fsRjR:jlENOReQhRHbsl_RQ4 -g6SAm= _))HQ -Sj =A)O)_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM__N#j_dj8jj___F.jd_F -=Smb_F#O\D 3dkM__N#j_dj8Hj_ -jSQ=_q1j_dj7Hj_ -4SQ=)A );_O -fsRjR:jlENOR)Xm.sRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__Hj___NdH._G -=Smhd_4g -_HS=QjBpYB v_7q9rj -4SQ=BBYp7 _v4qr9s; -R:fjjNRlOqERhR7.blsHRnkM__N#j_djH -_jShm=_(4d_Sj -Qqj=1j_jjv_7q -_HS=Q4qj1_jHj_;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a__l#Jk_GNH -_jShm=_j.(_Sj -Q1j=vv_qQ_tqH9r6 -4SQ=#bF_ OD\M3kd#_N_jjd__8jHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_HH_ -=Smh6_d( -_jS=Qjhd_.d -_HS=Q4b_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3_71j_jj7_vqcj_V_NH_. -_HShm=_cd6_Sj -Qhj=_44n_SH -Qh4=_(.._ -H;sjRf:ljRNROEQRheblsHRiBp_jjj__7jHm -S=iBp_jjj__7jHQ -Sjp=Bij_jjj_7;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3iBp_jjj_1u_Y_hB.__jNH._r -j9Shm=_4d6_SH -QBj=pji_j7j_jQ -S4p=Bij_jj4_7_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__jjr9m -S=4h_dH._ -jSQ=4h_(Hn_ -4SQ=dh_(HU_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jHd_N_NH_.m -S=dh_4S. -Qpj=7j1_jOj_ -4SQ=1z7_jjj_ -O;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H.S9 -mv=1_QqvtHq_r -.9S=Qj1qv_vqQtr;.9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jN4dr9m -S=.h_cS4 -Qhj=_g4( -4SQ=_1vqtvQqr_H. -9;sjRf:ljRNROEQRheblsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.j._l_3j_sm -S=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.j._l_3j_k -MdS=QjABtqid_jjh_Qas; -R:fjjNRlOqERhR7.blsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.j._l_3j_lm -S=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.j._l_3j_k -M4S=Qj1qv_vqQt_(Hr9Q -S4t=Aq_Bij_djQ;ha -fsRjR:jlENOR7qh.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__jlj.__ -3MSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__jlj.__M3kjQ -Sjv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]HQ -S4M=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lj_.__j3dkM;R -sfjj:ROlNE)Rm.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__jlj.__ -3bShm=_j4g -jSQ=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.j._l_3j_k -M4S=Q4k_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.___l.jk_3M -j;sjRf:ljRNROEQRheblsHRqAtBji_dQj_hHa_ -=SmABtqid_jjh_Qa -_HS=QjABtqid_jjh_Qas; -R:fjjNRlOQERhbeRsRHlMu X_q1uB7 _j -_HSMm= _Xu1Buq j_7_SH -QMj= _Xu1Buq j_7;R -sfjj:ROlNEhRQesRbHwlRu1z_ h1_SH -mu=wz _1h_1 HQ -Sju=wz _1h_1 Os; -R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.jd_N_S4 -mM=k.L._C_ss4Q -Sjt=Aq_Bij_jjOQ -S4_=hc;4j -fsRjR:jlENOReQhRHbsl_RQ4 -gnSqm=1d_jj -_HS=Qjqj1_dOj_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqHr_H(S9 -mv=1_QqvtHq__(Hr9Q -Sjv=1_QqvtHq_r;(9 -fsRjR:jlENOReQhRHbsl_Rhc_4jHm -S=ch_4Hj__Sj -Qhj=_jc4;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jl4d__ -3sS1m=vv_qQ_tq#0s##__Hj__jl4d__M3kdQ -Sjv=1_Qqvt4qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjd_l_34_lm -S=_1vqtvQqs_##_0#H__jjd_l_34_k -M4S=QjA) )_SH -Q14=vv_qQrtq4 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__lj_d__43SM -mv=1_Qqvt#q_s##0_jH__lj_d__43jkM -jSQ=iBp_jjj__u HQ -S4v=1_Qqvt#q_s##0_jH__lj_d__43dkM;R -sfjj:ROlNE)Rm.sRbH1lRvv_qQ_tq#0s##__Hj__jl4d__ -3bShm=_d4g -jSQ=_1vqtvQqs_##_0#H__jjd_l_34_k -M4S=Q41qv_vqQt_##s0H#__jj___ld4k_3M -j;sjRf:ljRNROEX.m)RHbslFRb#D_O B\3Y Bp_q7v_46__jH__ -G.Shm=_.4g_SH -QBj=Y Bp_q7vr -49S=Q4hj_.gs; -R:fjjNRlOXERmR).blsHR#bF_ OD\Y3BB_p 7_vq6__jH__jGS. -m_=h4_g4HQ -Sjp=Bij_jj _u -4SQ=BBYp7 _vjqr9s; -R:fjjNRlOQERhbeRsRHlB_pij_jjuH _ -=SmB_pij_jjuH _ -jSQ=iBp_jjj_;u -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH__lj_d__63Ss -mv=1_Qqvt#q_s##0_jH__lj_d__63dkM -jSQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___ld6l_3 -=Sm1qv_vqQt_##s0H#__jj___ld6k_3MS4 -QAj= _))HQ -S4v=1_Qqvt6qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjd_l_36_Mm -S=_1vqtvQqs_##_0#H__jjd_l_36_k -MjS=QjB_pij_jjuH _ -4SQ=_1vqtvQqs_##_0#H__jjd_l_36_k;Md -fsRjR:jlENOR.m)RHbslvR1_Qqvt#q_s##0_jH__lj_d__63Sb -m_=h4 -UUS=Qj1qv_vqQt_##s0H#__jj___ld6k_3MS4 -Q14=vv_qQ_tq#0s##__Hj__jl6d__M3kjs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._Fr -j9Shm=_c4U_Sj -QBj=pji_jhj_ Q -S4v=1_Qqvt4qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._Fr -69Shm=_j4U_Sj -QBj=pji_jhj_ -_HS=Q41qv_vqQtr;69 -fsRjR:jlENOReQhRHbsl4Rq_SH -m4=q_SH -Qqj=4;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_t]Q]__dH._N_Nj_dm -S=4h_4Sc -Qqj=4 -_HS=Q4ABtqid_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd___N.jd_N -=Smh4_4dQ -Sj4=q_SO -QA4=tiqB_jjd_aQh_ -H;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNH._F_NH_.m -S=dh_.S( -QAj=tiqB_jjd_aQh -4SQ=qAtBji_dQj_h7a_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3nkM_NLoOj _jjj__Nj_.m -S=dh_dS4 -Qqj=1j_jj -_OS=Q4B_pij_jju - ;sjRf:ljRNROEq.h7RHbslMRk4Nc_lNHo_#Lk_08NNH_8s__jNH._ -=Smh6_d. -_jS=QjABtqid_jjh_Qa -_HS=Q4)jW_jHj_;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q__l#Jk_GNj._N_SH -m_=hd_6njQ -Sjp=Bid_jj -_HS=Q4hn_44;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLOoN j_jj__jjm -S=#bF_ OD\M3kno_LN_O j_jjjQ -Sjt=Aq_Bij_jjOQ -S4_=hd_d4Hs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)j__jjm -S=QqvtAq_z71_q_aq7_Q)O -_jS=Qjhd_.n -_HS=Q4hd_.(;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__jj_r -j9Sbm=FO#_D3 \1 QZ_q7v_jn_r -j9S=Qjhd_.U -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj__4jr9m -S=#bF_ OD\Q31Z7 _vnq__4jr9Q -Sj_=h._dgHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_7_Hj___N.Hm -S=dh_6jU_ -jSQ=_q1j_djHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__jj__rF.jS9 -m_=h4_(dHQ -Sj7=p1j_jj__OHQ -S47=z1j_jj__OHs; -R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__Nj_.__HFS. -m_=h4_U6jQ -Sj1=q_jjj_q7v_SH -QB4=pji_dHj_;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_SH -mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]HQ -Sjv=qQ_tqA_z1 Ahqp7 _v]q_Q;t] -fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q -_.Shm=__c4jQ -Sj_=h4Hg_ +sfjj:ROlNEhRQesRbHqlRjv_7q3_jsm +S=_qj7_vqjM3kdQ +Sj_=h4;gd +fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jlm +S=_qj7_vqjM3k4Q +SjF=b#D_O q\3jv_7q +_dS=Q4hg_4ds; +R:fjjNRlOqERhR7.blsHR_qj7_vqj +3MSqm=jv_7q3_jk +MjS=Qjq7j_vSq +Qq4=jv_7q3_jk;Md +fsRjR:jlENOR.m)RHbsljRq_q7v_bj3 +=Smhj_. +jSQ=_qj7_vqjM3k4Q +S4j=q_q7v_kj3M +j;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_sj3 +=Smz_71j_jjQ_hajM3kdQ +Sjv=1_Qqvtnqr9s; +R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_lj3 +=Smz_71j_jjQ_hajM3k4Q +Sjj=q_SO +Q14=vv_qQrtqn +9;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jMm +S=1z7_jjj_aQh_kj3MSj +Qzj=7j1_jQj_hSa +Qz4=7j1_jQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHzlR7j1_jQj_hja_3Sb +m_=h.S4 +Qzj=7j1_jQj_hja_34kM +4SQ=1z7_jjj_aQh_kj3M +j;sjRf:ljRNROEQRheblsHRqev_aQh_sj3 +=Sme_vqQ_hajM3kdQ +SjF=b#D_O k\3MO(_Dj _jbj_Cs; +R:fjjNRlOqERhR7.blsHRqev_aQh_lj3 +=Sme_vqQ_hajM3k4Q +Sjb=Ok#_C0r_H4S9 +Qb4=FO#_D3 \k_M(O_D j_jjb +C;sjRf:ljRNROEq.h7RHbslvReqh_Qa3_jMm +S=qev_aQh_kj3MSj +Qej=vQq_hSa +Qe4=vQq_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHelRvQq_hja_3Sb +m_=h.S. +Qej=vQq_hja_34kM +4SQ=qev_aQh_kj3M +j;sjRf:ljRNROEQRheblsHR.h_(H6_ +=Smh(_.6 +_HS=Qjh(_.6s; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jsm +S=QqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM +jSQ=4h_g +d;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j +3lSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MS4 +Qhj=_6.(_SH +Qh4=_d4g;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jMm +S=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM +jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] +Qq4=vqQt_1Az_q hA_p 7_vq]]Qt_kj3M +d;sjRf:ljRNROEmR).blsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3Sb +m_=h.S6 +Qqj=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MS4 +Qq4=vqQt_1Az_q hA_p 7_vq]]Qt_kj3M +j;sjRf:ljRNROEQRheblsHR_Atj_jjj +3sSAm=tj_jj3_jk +MdS=Qjb_F#O\D 3UkM__Loj;dj +fsRjR:jlENOR7qh.sRbHAlRtj_jj3_jlm +S=_Atj_jjjM3k4Q +Sjt=A_jjd_SO +Qb4=FO#_D3 \k_MULjo_d +j;sjRf:ljRNROEq.h7RHbsltRA_jjj_Mj3 +=SmAjt_jjj_3jkM +jSQ=_Atj_jjOQ +S4t=A_jjj_kj3M +d;sjRf:ljRNROEmR).blsHR_Atj_jjj +3bShm=_ +.nS=QjAjt_jjj_34kM +4SQ=_Atj_jjjM3kjs; +R:fjjNRlOqERhR7.blsHR_Atj_jj4m +S=dh_d +_jS=Qjhn_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q] +_4Shm=__dcjQ +Sj_=h.H6_ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_ha4m -S=ch_c -_jS=Qjhj_4_SH +O;sjRf:ljRNROEq.h7RHbslvReqh_Qa +_4Shm=__d(jQ +Sj_=h.H._ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa +_4Shm=__dUjQ +Sj_=h.H4_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsljRq_q7v_S4 +m_=hdjg_ +jSQ=.h_j +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vq.m +S=ch_j +_jS=Qjhg_4_SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_ha4m +S=ch_. +_jS=Qjh(_4_SH Q)4=1Oa_;R sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q_ -=Smhn_c_Sj +=Smh6_c_Sj Qhj=_HU_ 4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 -=Sm1 QZ_q7v_jj__M3kdQ -Sj_=h.;d. -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl -mQ=1Z7 _vjq__3j_k -M4S=Qj1 QZ_q7vr -j9S=Q4hd_..s; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__jjM_3 -=Sm1 QZ_q7v_jj__M3kjQ -SjF=b#D_O 1\3Q_Z 7_vqn9rj -4SQ=Z1Q v_7q__jjk_3M -d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 -=Smh4_4 -jSQ=Z1Q v_7q__jjk_3MS4 -Q14=Q_Z 7_vqj__j3jkM;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqj__43Ss -mQ=1Z7 _vjq__34_k -MdS=Qjhd_..s; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4l_3 -=Sm1 QZ_q7v_4j__M3k4Q -SjQ=1Z7 _v4qr9Q -S4_=h.;d. -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__43SM -mQ=1Z7 _vjq__34_k -MjS=Qjb_F#O\D 3Z1Q v_7qr_n4S9 -Q14=Q_Z 7_vqj__43dkM;R -sfjj:ROlNE)Rm.sRbH1lRQ_Z 7_vqj__43Sb -m_=h4S. -Q1j=Q_Z 7_vqj__434kM -4SQ=Z1Q v_7q__j4k_3M -j;sjRf:ljRNROEQRheblsHRHq_r94U -=Smqr_H4 -U9S=Qjqr_O4;U9 -fsRjR:jlENOReQhRHbsl_RqHgr49m -S=Hq_r94g -jSQ=Oq_r94g;R -sfjj:ROlNEhRQesRbHqlR_4HrnS9 -m_=qHnr49Q -Sj_=qOnr49s; -R:fjjNRlOQERhbeRsRHlB_pij_dj] -_HSBm=pji_d]j__SH -QBj=pji_d]j_;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__.j._N_NH_dm -S=.h_.Sn -QBj=pji_d]j__SH -Qh4=_64U;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_#d_JGlkN__HHd_N -=Smhd_..Q -Sj_=hd -.(S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)j__jjd_N -=Smhd_.nQ -Sjt=Aq_Bij_djQ -haS=Q4)jW_jHj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jjd_Nr -j9Shm=_U.d -jSQ=qAtBji_dQj_hHa_ -4SQ=4h_( -d;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj__jN4dr9m -S=.h_dSg -QAj=tiqB_jjd_aQh_SH -Qh4=_d4(_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3jv_7q__dj._N_Nj_dm -S=#bF_ OD\j3q_q7v_Sd -QAj=tiqB_jjd_aQh_SH -Qz4=7j1_jOj_;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWHm -S=QqvtAq_z 1_hpqA v_7qm_pW -_HS=QjqtvQqz_A1h_ q Ap_q7v_Wpm;R -sfjj:ROlNEhRq7b.RsRHlk_M4NolHNk_L#M_CNCLD_IDF -=Smk_M4NolHNk_L#M_CNCLD_IDF -jSQ=QqvtAq_z 1_hpqA v_7qm_pW -_HS=Q4ABtqid_jjh_Qa;_H +O;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_S4 +m_=hcjg_ +jSQ=dh__SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3UkM__Loj +djSbm=FO#_D3 \k_MULjo_djj_ +jSQ=_Atj_djO +_HS=Q4b_F#O\D 3nkM__Loj_djHs; +R:fjjNRlOQERhbeRsRHlk_Mck_8#j_jjHm +S=ckM_#k8_jjj_SH +Qkj=Mkc_8j#_j +j;sjRf:ljRNROEQRheblsHRckM_#D8_jjj_SH +mM=kc8_D#j_jj +_HS=Qjk_McD_8#j;jj fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_SH m1=q_jjj_aQh_SH Qqj=1j_jjh_Qas; @@ -2944,13 +3634,41 @@ R:fjjNRlOqERhR7.blsHRckM__N#j jjSkm=MNc_#j_jjQ Sj1=q_jjj_aQh_SH Qq4=1d_jj;_H -fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_sj3 -=Smqj1_j7j_vjq_3dkM -jSQ=dh_6 -n;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_lj3 -=Smqj1_j7j_vjq_34kM -jSQ=4h_nS4 -Qh4=_nd6;R +fsRjR:jlENOReQhRHbslMRkc#_N_jjj_SH +mM=kc#_N_jjj_SH +Qkj=MNc_#j_jjs; +R:fjjNRlOQERhbeRsRHl7j1_j7j_vHq_ +=Sm7j1_j7j_vHq_ +jSQ=_71j_jj7;vq +fsRjR:jlENOR7qh.sRbHklRM8n_#d_jjm +S=nkM__8#j +djS=Qjqj1_jHj_ +4SQ=_71j_jj7_vqHs; +R:fjjNRlOQERhbeRsRHlk_Mn8j#_dHj_ +=Smk_Mn8j#_dHj_ +jSQ=nkM__8#j;dj +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_sj3 +=Sm7j1_j7j_vjq_3dkM +jSQ=gh_ns; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj +3lS7m=1j_jjv_7q3_jk +M4S=Qjhj_g +4SQ=gh_ns; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj +3MS7m=1j_jjv_7q3_jk +MjS=Qj7j1_j7j_vSq +Q74=1j_jjv_7q3_jk;Md +fsRjR:jlENOR.m)RHbsl1R7_jjj_q7v_bj3 +=Smh +_dS=Qj7j1_j7j_vjq_34kM +4SQ=_71j_jj7_vqjM3kjs; +R:fjjNRlOQERhbeRsRHlqj1_j7j_vjq_3Ss +m1=q_jjj_q7v_kj3MSd +Qhj=_;gg +fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q3_jlm +S=_q1j_jj7_vqjM3k4Q +Sj_=h4 +g.S=Q4hg_g;R sfjj:ROlNEhRq7b.RsRHlqj1_j7j_vjq_3SM m1=q_jjj_q7v_kj3MSj Qqj=1j_jjv_7qQ @@ -2959,691 +3677,38 @@ d;sjRf:ljRNROEmR).blsHR_q1j_jj7_vqj 3bShm=_SU Qqj=1j_jjv_7q3_jk M4S=Q4qj1_j7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_sj3 -=SmABtqid_jjh_Qa3_jk -MdS=Qjb_F#O\D 3nkM_NLoOj _j -j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj -3lSAm=tiqB_jjd_aQh_kj3MS4 -QAj=tiqB_jjj_SO -Qb4=FO#_D3 \k_MnLOoN j_jjs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3SM -mt=Aq_Bij_djQ_hajM3kjQ -Sjt=Aq_Bij_djQ -haS=Q4ABtqid_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsltRAq_Bij_djQ_haj +sfjj:ROlNEhRQesRbHplR7j1_jQj_hja_3Ss +m7=p1j_jjh_Qa3_jk +MdS=Qj1qv_vqQtr;n9 +fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3Sl +m7=p1j_jjh_Qa3_jk +M4S=Qjb_F#O\D 3UkM__#lNolHN +_HS=Q41qv_vqQtr;n9 +fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3SM +m7=p1j_jjh_Qa3_jk +MjS=Qjp_71j_jjQ +haS=Q4p_71j_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHlp_71j_jjQ_haj 3bShm=_ -4jS=QjABtqid_jjh_Qa3_jk -M4S=Q4ABtqid_jjh_Qa3_jk;Mj +4(S=Qjp_71j_jjQ_hajM3k4Q +S47=p1j_jjh_Qa3_jk;Mj fsRjR:jlENOReQhRHbslWR)_jjj_q7v_sj3 =Sm)jW_j7j_vjq_3dkM -jSQ=dh_. -(;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_lj3 +jSQ=4h_g +d;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_lj3 =Sm)jW_j7j_vjq_34kM -jSQ=_)Wj_jj7 -vqS=Q4h._d(s; -R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj -3MS)m=Wj_jjv_7q3_jk -MjS=Qjh6_d.Q -S4W=)_jjj_q7v_kj3M -d;sjRf:ljRNROEmR).blsHR_)Wj_jj7_vqj -3bShm=_ -4gS=Qj)jW_j7j_vjq_34kM -4SQ=_)Wj_jj7_vqjM3kjs; -R:fjjNRlOQERhbeRsRHlq7j_vjq_3Ss -mj=q_q7v_kj3MSd -Qhj=_(d.;R -sfjj:ROlNEhRq7b.RsRHlq7j_vjq_3Sl -mj=q_q7v_kj3MS4 -Qqj=jv_7qQ -S4_=hd;.( -fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jMm -S=_qj7_vqjM3kjQ -SjF=b#D_O q\3jv_7q -_dS=Q4q7j_vjq_3dkM;R -sfjj:ROlNE)Rm.sRbHqlRjv_7q3_jbm -S=.h_jQ -Sjj=q_q7v_kj3MS4 -Qq4=jv_7q3_jk;Mj -fsRjR:jlENOReQhRHbsl_Rh4_4dHm -S=4h_4Hd_ -jSQ=4h_4 -d;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW3_jsm -S=QqvtAq_z 1_hpqA v_7qm_pW3_jk -MdS=Qjh._d(s; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jlm -S=QqvtAq_z 1_hpqA v_7qm_pW3_jk -M4S=QjqtvQqz_A1h_ q Ap_q7v_Wpm -4SQ=dh_. -(;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3SM -mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM -jSQ=4h_4Hd_ -4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Md -fsRjR:jlENOR.m)RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sb -m_=h.Sc -Qqj=vqQt_1Az_q hA_p 7_vqp_mWjM3k4Q -S4v=qQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;R -sfjj:ROlNEhRQesRbHhlR_c44_SH -m_=h4_4cHQ -Sj_=h4;4c -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j -3sSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSd -Qhj=_(d.;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jlm -S=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] -Qh4=_(d.;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jMm -S=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM -jSQ=4h_4Hc_ -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM;R -sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_bj3 -=Smh6_. -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q] -_4Shm=__d6jQ -Sj_=h.H6_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_m4W_ -=Smhn_d_Sj -Qhj=__.cHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHqlRjv_7q -_4Shm=__cjjQ -Sj_=h.Hj_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH__ -NdShm=_g4g -jSQ=4h_cHU_ -4SQ=dh_j -(;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH___Nd4m -S=.h_jS4 -QBj=pji_jhj_ -_HS=Q4)_1a7_pYH9r4;R -sfjj:ROlNEhRQesRbH)lR a1 _amz_SH -m =)1_ am_zaHQ -Sj =)1_ am;za -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_H.__Nj_dm -S=.h_dS6 -Qhj=_.4U -4SQ=1) ma_zHa_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCHj__Nj_dm -S=.h_US4 -Qhj=_d4c -4SQ=a)1_Y7pr;j9 -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj._N -=Smhj_d(Q -Sjp=Bij_jj _h -4SQ=4h_6 -U;sjRf:ljRNROEq.h7RHbsl R)1_ am_za4J_#lNkG_jH__d4c_Sj -m_=h._4(HQ -Sj_=h4 -6US=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jFS. -m_=h4_cdjQ -Sj_=hd_j(HQ -S41=)a;_O -fsRjR:jlENOReQhRHbsl1R)ap_7Yr_HjS9 -m1=)ap_7Yr_HjS9 -Q)j=17a_pjYr9s; -R:fjjNRlOQERhbeRsRHl)_1a7_pYH9r4 -=Sm)_1a7_pYH9r4 -jSQ=a)1_Y7pr;49 -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj._F_Sj -m_=h4_cUHQ -Sj1=)ap_7Y9rj -4SQ=a)1_Y7pr;49 -fsRjR:jlENOReQhRHbsl1R)ap_7Yr_H.S9 -m1=)ap_7Yr_H.S9 -Q)j=17a_p.Yr9s; -R:fjjNRlOqERhR7.blsHR1) ma_z4a__l#Jk_GNH__j4_cdj._F -=Smh6_4U -_HS=Qjhc_4U -_HS=Q4)_1a7rpY. -9;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.__Hj._F -=SmhU_4. -_jS=QjB_pij_jjhS -Qh4=_U46_ -H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH__S. -m_=h._cd. -_HS=Qjhg_4U -_HS=Q4)_1aOs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rd -=SmO_bkC_#0H9rd -jSQ=kOb_0C#r;d9 -fsRjR:jlENOReQhRHbslbROk#_C0r_HjS9 -mb=Ok#_C0r_HjS9 -QOj=bCk_#j0r9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m -S=_1vqtvQqr_HdS9 -Q1j=vv_qQrtqd -9;sjRf:ljRNROEQRheblsHR_q1j_dj7Hj_ -=Smqj1_d7j_j -_HS=Qjqj1_d7j_js; -R:fjjNRlOQERhbeRsRHlqr_H. -c9Sqm=_.HrcS9 -Qqj=_.Orc -9;sjRf:ljRNROEQRheblsHRZ1Q v_7qr_HjS9 -mQ=1Z7 _vHq_r -j9S=Qj1 QZ_q7vr;j9 -fsRjR:jlENOR7qh.sRbHklRM#d_H -xCSkm=M#d_H -xCS=Qj1 QZ_q7vr -49S=Q41 QZ_q7v_jHr9s; -R:fjjNRlOQERhbeRsRHl1 QZ_q7v_4Hr9m -S=Z1Q v_7qr_H4S9 -Q1j=Q_Z 7rvq4 -9;sjRf:ljRNROEq.h7RHbslMRkcH_#xSC -mM=kcH_#xSC -Q1j=Q_Z 7rvqjS9 -Q14=Q_Z 7_vqH9r4;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__.H -_jShm=_n.n_SH -Qhj=_6.d_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlk_M.sjI__NH_.m -S=dh_dS. -QAj=tiqB_jjd_aQh_SH -Q)4= a1 _amz;R -sfjj:ROlNEhRq7b.RsRHlk_MdNj#_djj__NH_dm -S=.h_dS4 -Qhj=_.dd -4SQ=XM uu_1q_B 7Hj_;R -sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_jjj__NH_dm -S=.h_dSj -QAj=tiqB_jjd_aQh -4SQ=1) ma_z -a;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___Ndjm -S=4h_gSn -Qhj=_U46_SH -Qh4=_d.c_ -.;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___Nd.m -S=4h_gSU -QBj=pji_jhj_ -_HS=Q4)_1a7_pYH9r.;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a__l#Jk_GNH__jFS. -m_=h4_6gjQ -Sjp=Bij_jj__h1BYh_4HrjS9 -Qh4=_4d._ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__Fj_.r_6jS9 -m_=h4_n.HQ -Sjv=1_QqvtHq_r -j9S=Q41qv_vqQt_nHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jj._F_jnr9m -S=4h_nHc_ -jSQ=_1vqtvQqr_H.S9 -Q14=vv_qQ_tqH9rc;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj___F.j9rj -=Smhn_46 -_HS=Qj1qv_vqQt_4Hr9Q -S4v=1_QqvtHq_r;69 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jFj._r -d9Shm=_U4n_SH -Qhj=_cd._SH -Qh4=_nd._ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__Fj_.r_4jS9 -m_=h4_(4jQ -Sj_=h4_ncHQ -S4v=1_QqvtHq_r;n9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH__jFn.r9m -S=4h_(jc_ -jSQ=4h_cS6 -Q14=vv_qQ_tqH9r(;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jH__rF..S9 -m_=h4_(6jQ -Sj_=h4 -c6S=Q41qv_vqQt_dHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jjd_Fr -j9Shm=_n4(_SH -Qhj=_gd._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlGHN__jj__ -FdShm=__ngjQ -Sjt=Aq_Bij_djQ_haHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jFc.r9m -S=4h_(jU_ -jSQ=4h_cH6_ -4SQ=_1vqtvQq9rc;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj___F..9rj -=SmhU_44 -_jS=QjB_pij_jjh7 _jQ -S4_=h4;nU -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jFd.r9m -S=4h_Ujd_ -jSQ=iBp_jjj_ -u S=Q41qv_vqQtr;c9 -fsRjR:jlENOR)Xm.sRbHOlRbCk_#j0__Gj_.__jGj.r9m -S=4h_UHg_ -jSQ=iBp_jjj__h 7Sj -QO4=bCk_#j0r9s; -R:fjjNRlOQERhbeRsRHle_uq7 -_HSem=u7q__SH -Qej=u7q_;R -sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_djj__Sj -mM=k4#_N_jjd_SH -QAj=tiqB_jjd_aQh_SH -QM4= _Xu1Buq j_7_ -H;sjRf:ljRNROEq.h7RHbslMRkUH_OHHM__jj_ -=Smh4_n_Sj -QMj= _Xu1Buq j_7_SH -Qk4=MO6_H_HMHs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_ha4J_#lNkG_jH_ -=Smhn_.g -_jS=QjhU_g_SH -Qb4=FO#_D3 \k_MdNj#_d8j_j;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__.jr9m -S=kOb_0C#_j._r -.9S=Qjh(_.( -_HS=Q4hc_dU;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__djr9m -S=kOb_0C#_j._r -d9S=Qjh6_4cQ -S4_=h._(UHs; -R:fjjNRlOqERhR7.blsHR6kM_jC__Sj -mM=k6__CjQ -Sj_=h._(gHQ -S4_=h._UjHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jj._F_jdr9m -S=4h_cH6_ -jSQ=)A ) -_OS=Q4B_pij_jjuH _;R -sfjj:ROlNEhRQesRbHBlRpji_j7j_4 -_HSBm=pji_j7j_4 -_HS=QjB_pij_jj7 -4;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3pji_jhj__h1YB__.jd_F_FH_.9rj -=Smhc_4( -_HS=QjB_pij_jj7Hj_ -4SQ=iBp_jjj_;74 -fsRjR:jlENOReQhRHbslpRBid_jj -_HSBm=pji_dHj_ -jSQ=iBp_jjd_ -O;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#4_JGlkN__Hj._F_Sj -m_=h4_6.jQ -Sjp=Bid_jj -_OS=Q4B_pim_zau_) 7;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Fj_.9rd -=Smh6_4c -_HS=QjO_bkCr#0dS9 -QO4=bCk_#H0_r;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jjbjC__Fj_.m -S=4h_6H6_ -jSQ=kOb_0C#_4Hr9Q -S4b=Ok#_C0r_H. -9;sjRf:ljRNROEQRheblsHRkOb_0C#_4Hr9m -S=kOb_0C#_4Hr9Q -Sjb=Ok#_C09r4;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jj__jF..r9m -S=4h_6Hn_ -jSQ=kOb_0C#r -j9S=Q4O_bkCr#04 -9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jjd_Nr -.9Shm=_(.( -jSQ=4h_6Sn -QO4=bCk_#.0r9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__jj__rNddS9 -m_=h. -(US=Qjh6_4n -_HS=Q4O_bkCr#0. -9;sjRf:ljRNROEq.h7RHbslMRk6__Cj__jNSd -m_=h. -(gS=Qjh6_46 -_HS=Q4O_bkCr#0d -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Nj_.9rd -=Smh4_ddQ -Sj =A)H)_ -4SQ=_1vqtvQq9rd;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_j6___F.d._N -=Smh4_dUQ -Sjp=Bij_jj _u_SH -Q14=vv_qQrtqc -9;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#4_JGlkN__Hj._N -=Smh._d4Q -Sjp=Bij_jj__h1BYhr -g9S=Q4h6_4.s; -R:fjjNRlOQERhbeRsRHl7Baqij_7_SH -ma=7q_Bi7Hj_ -jSQ=q7aB7i_js; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_d4r9m -S=dh_.Sn -Q7j=aiqB__7jHQ -S4u=eq;_7 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj__jNj.r9m -S=dh_.Sg -Qhj=_64c -4SQ=_1vqtvQq9rj;R -sfjj:ROlNEhRQesRbHOlRbCk_#H0_r -.9SOm=bCk_#H0_r -.9S=QjO_bkCr#0. -9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj._Nr -.9Shm=_Udc -jSQ=4h_6Hn_ -4SQ=kOb_0C#_.Hr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjC_b_jj_ -=Smb_F#O\D 3(kM_ OD_jjj__bCjQ -Sj_=hd_(dHQ -S4_=hd_(6Hs; -R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__jj_r -49SOm=bCk_#.0__4jr9Q -Sj_=h._4nHQ -S4_=h._4UHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__Hj9r. -=SmhU_.d -_jS=Qjh4_.g -_HS=Q4h._.j;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HHr_jnS9 -m_=h._U.jQ -Sj_=h._.cHQ -S4_=h._.6Hs; -R:fjjNRlOQERhbeRsRHlqr_H. -(9Sqm=_.Hr(S9 -Qqj=_.Or( -9;sjRf:ljRNROEQRheblsHRHq_r9.U -=Smqr_H. -U9S=Qjqr_O.;U9 -fsRjR:jlENOReQhRHbsl_RqHgr.9m -S=Hq_r9.g -jSQ=Oq_r9.g;R -sfjj:ROlNEhRQesRbHqlR_dHrjS9 -m_=qHjrd9Q -Sj_=qOjrd9s; -R:fjjNRlOQERhbeRsRHlqr_Hd -49Sqm=_dHr4S9 -Qqj=_dOr4 -9;sjRf:ljRNROEX.m)RHbslpRBiz_ma)_u 6_._Sj -m_=hdSj -QBj=pmi_zua_). _6Q -S4p=Biz_ma)_u j_6;R -sfjj:ROlNEmRX)b.RsRHltd_4cm -S=.h_4Sd -QQj=u7p_j9rj -4SQ=pQu_jOr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jjd_Nr -j9Shm=_n.j -jSQ=4h_US4 -Qh4=_dd4;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rNddS9 -m_=h. -44S=QjhU_4dQ -S4v=1_QqvtHq_r;d9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Nj_d9r4 -=Smh4_.nQ -Sjb=Ok#_C09r4 -4SQ=kOb_0C#_jHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjd_Nr -c9Shm=_4.. -jSQ=4h_(SU -Q14=vv_qQ_tqH9r6;R -sfjj:ROlNEhRQesRbHQlR_(4g -=Sm)HW_ -jSQ=_)WOs; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GNj._N_Nj_dm -S=_71j_jj Ahqp4 __l#Jk -GNS=Qj)HW_ -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a__l#Jk_GNH__jNSd -m_=hgSU -Qhj=_g46 -4SQ=_1vqtvQq9r4;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlG4N___N.jd_N -=Sm7j1_j j_hpqA __4#kJlG4N_ -jSQ=#bF_ OD\M3kd#_N_jjd__8jHQ -S4M=k4v_1_Qqvt6q_;R -sfjj:ROlNEhRQesRbHBlRpmi_zua_)6 _j -_HSBm=pmi_zua_)6 _j -_HS=QjB_pim_zau_) 6 -j;sjRf:ljRNROEQRheblsHR.h_4Hd_ -=Smh4_.d -_HS=Qjh4_.ds; -R:fjjNRlOQERhbeRsRHlh4_.c -_HShm=_c.4_SH -Qhj=_c.4;R -sfjj:ROlNEhRQesRbHhlR_6.4_SH -m_=h._46HQ -Sj_=h.;46 -fsRjR:jlENOReQhRHbsl_RqH6r.9m -S=Hq_r9.6 -jSQ=Oq_r9.6;R -sfjj:ROlNEhRQesRbHqlR_.HrnS9 -m_=qHnr.9Q -Sj_=qOnr.9s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0j__.3Ss -mb=Ok#_C0__j.k_3MSd -QBj=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3Sl -mb=Ok#_C0__j.k_3MS4 -QOj=bCk_#.0_r -.9S=Q4B_pij_jjh7 _js; -R:fjjNRlOqERhR7.blsHRkOb_0C#_.j__ -3MSOm=bCk_#j0__3._k -MjS=QjO_bkCr#0.S9 -QO4=bCk_#j0__3._k;Md -fsRjR:jlENOR.m)RHbslbROk#_C0__j.b_3 -=Smh6_4 -jSQ=kOb_0C#_.j__M3k4Q -S4b=Ok#_C0__j.k_3M -j;sjRf:ljRNROEQRheblsHRkOb_0C#_dj__ -3sSOm=bCk_#j0__3d_k -MdS=QjB_pij_jjh7 _js; -R:fjjNRlOqERhR7.blsHRkOb_0C#_dj__ -3lSOm=bCk_#j0__3d_k -M4S=QjO_bkC_#0.9rd -4SQ=iBp_jjj__h 7 -j;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdM_3 -=SmO_bkC_#0j__d3jkM -jSQ=kOb_0C#r -d9S=Q4O_bkC_#0j__d3dkM;R -sfjj:ROlNE)Rm.sRbHOlRbCk_#j0__3d_bm -S=4h_nQ -Sjb=Ok#_C0__jdk_3MS4 -QO4=bCk_#j0__3d_k;Mj -fsRjR:jlENOReQhRHbsluRQpd_jj__jjs_3 -=SmQ_upj_djj__j3dkM -jSQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_jj__ -3lSQm=ujp_djj__3j_k -M4S=QjQ_upO9rj -4SQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_jj__ -3MSQm=ujp_djj__3j_k -MjS=QjQ_upj_djO9rj -4SQ=pQu_jjd_jj__M3kds; -R:fjjNRlOmER)b.RsRHlQ_upj_djj__j3Sb -m_=h.S( -QQj=ujp_djj__3j_k -M4S=Q4Q_upj_djj__j3jkM;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_#4_JGlkN -_HS7m=1j_jjh_ q Ap_#4_JGlkN -_HS=Qj7j1_j j_hpqA __4#kJlG -N;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_haj -3sS)m=Wj_jjh_Qa3_jk -MdS=Qjh._4cs; -R:fjjNRlOqERhR7.blsHR_)Wj_jjQ_haj -3lS)m=Wj_jjh_Qa3_jk -M4S=Qj7j1_j j_hpqA __4#kJlGHN_ -4SQ=4h_. -c;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_Mj3 -=Sm)jW_jQj_hja_3jkM -jSQ=_)Wj_jjQ -haS=Q4)jW_jQj_hja_3dkM;R -sfjj:ROlNE)Rm.sRbH)lRWj_jjh_Qa3_jbm -S=4h_UQ -SjW=)_jjj_aQh_kj3MS4 -Q)4=Wj_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa3_jsm -S=1z7_jjj_aQh_kj3MSd -Q1j=vv_qQrtqn -9;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jlm -S=1z7_jjj_aQh_kj3MS4 -Qqj=j -_OS=Q41qv_vqQtr;n9 -fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja_3SM -m7=z1j_jjh_Qa3_jk -MjS=Qjz_71j_jjQ -haS=Q4z_71j_jjQ_hajM3kds; -R:fjjNRlOmER)b.RsRHlz_71j_jjQ_haj -3bShm=_ -.4S=Qjz_71j_jjQ_hajM3k4Q -S47=z1j_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbslvReqh_Qa3_jsm -S=qev_aQh_kj3MSd -Qbj=FO#_D3 \k_M(O_D j_jjb -C;sjRf:ljRNROEq.h7RHbslvReqh_Qa3_jlm -S=qev_aQh_kj3MS4 -QOj=bCk_#H0_r -49S=Q4b_F#O\D 3(kM_ OD_jjj_;bC -fsRjR:jlENOR7qh.sRbHelRvQq_hja_3SM -mv=eqh_Qa3_jk -MjS=Qje_vqQ -haS=Q4e_vqQ_hajM3kds; -R:fjjNRlOmER)b.RsRHle_vqQ_haj -3bShm=_ -..S=Qje_vqQ_hajM3k4Q -S4v=eqh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsltRA_jjj_sj3 -=SmAjt_jjj_3dkM -jSQ=#bF_ OD\M3kUo_L_jjd;R -sfjj:ROlNEhRq7b.RsRHlAjt_jjj_3Sl -mt=A_jjj_kj3MS4 -QAj=td_jj -_OS=Q4b_F#O\D 3UkM__Loj;dj -fsRjR:jlENOR7qh.sRbHAlRtj_jj3_jMm -S=_Atj_jjjM3kjQ -Sjt=A_jjj_SO -QA4=tj_jj3_jk;Md -fsRjR:jlENOR.m)RHbsltRA_jjj_bj3 -=Smhn_. -jSQ=_Atj_jjjM3k4Q -S4t=A_jjj_kj3M -j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4m -S=nh_jQ -Sj_=hnQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHAlRtj_jj -_4Shm=__dcjQ -Sj_=h.Hn_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvReqh_Qa -_4Shm=__dUjQ -Sj_=h.H._ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa -_4Shm=__dgjQ -Sj_=h.H4_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_S. -m_=hcj._ -jSQ=4h_U -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_S4 -m_=hcjd_ -jSQ=4h_( -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_ha4m -S=ch_g -_jS=Qjh__cHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRu7p_jr_jjS9 -m_=h6j._ -jSQ=pQu_HO_r -j9S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_j4r9m -S=dh_4 -_jS=Qjh(_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHOlRbCk_#j0__34_sm -S=kOb_0C#_4j__M3kdQ -Sjp=Bij_jj _h_;7j -fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__34_lm -S=kOb_0C#_4j__M3k4Q -Sjb=Ok#_C0r_.4S9 -QB4=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__43SM -mb=Ok#_C0__j4k_3MSj -QOj=bCk_#40r9Q -S4b=Ok#_C0__j4k_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_4j__ -3bShm=_ -4cS=QjO_bkC_#0j__434kM -4SQ=kOb_0C#_4j__M3kjs; -R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haHm -S=1z7_jjj_aQh_SH -Qzj=7j1_jQj_h -a;sjRf:ljRNROEq.h7RHbslMRkc8_k#j_jjm -S=ckM_#k8_jjj -jSQ=_71j_jj AhqpS -Qz4=7j1_jQj_hHa_;R -sfjj:ROlNEhRQesRbHplR7j1_jQj_hHa_ -=Smp_71j_jjQ_haHQ -Sj7=p1j_jjh_Qas; -R:fjjNRlOqERhR7.blsHRckM_#D8_jjj -=Smk_McD_8#j -jjS=Qj7j1_j j_hpqA Q -S47=p1j_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MULjo_dSj -mF=b#D_O k\3MLU_od_jj -_jS=QjAjt_dOj__SH -Qh4=_U4.;R -sfjj:ROlNEhRQesRbHklRMkc_8j#_jHj_ -=Smk_Mck_8#j_jjHQ -SjM=kc8_k#j_jjs; -R:fjjNRlOQERhbeRsRHlk_McD_8#j_jjHm -S=ckM_#D8_jjj_SH -Qkj=MDc_8j#_j -j;sjRf:ljRNROEQRheblsHRckM__N#j_jjHm -S=ckM__N#j_jjHQ -SjM=kc#_N_jjj;R -sfjj:ROlNEhRQesRbHklRM8n_#d_jj -_HSkm=M8n_#d_jj -_HS=Qjk_Mn8j#_d -j;sjRf:ljRNROEQRheblsHRgh_U -_HShm=__gUHQ -Sj_=hg -U;sjRf:ljRNROEQRheblsHRq71B_i4Q_haj -3sS7m=1iqB4h_Qa3_jk -MdS=Qjhn_.gs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_haj -3lS7m=1iqB4h_Qa3_jk -M4S=QjhU_g_SH -Qh4=_g.n;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3SM -m1=7q4Bi_aQh_kj3MSj -Q7j=1iqB4h_QaQ -S41=7q4Bi_aQh_kj3M -d;sjRf:ljRNROEmR).blsHRq71B_i4Q_haj -3bShm=_Sc -Q7j=1iqB4h_Qa3_jk -M4S=Q47B1qiQ4_hja_3jkM;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_sj3 -=Sm7j1_j j_hpqA 3_jk -MdS=Qj7j1_j j_hpqA __4#kJlG4N_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jlm -S=_71j_jj Ahqpj _34kM -jSQ=_71j_jj AhqpS -Q74=1j_jjh_ q Ap_#4_JGlkN;_4 -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_Mj3 -=Sm7j1_j j_hpqA 3_jk -MjS=Qjk_M41qv_vqQt_H6_ -4SQ=_71j_jj Ahqpj _3dkM;R -sfjj:ROlNE)Rm.sRbH7lR1j_jjh_ q Ap_bj3 -=Smh -_nS=Qj7j1_j j_hpqA 3_jk -M4S=Q47j1_j j_hpqA 3_jk;Mj -fsRjR:jlENOReQhRHbsl7Rp1j_jjh_Qa3_jsm -S=1p7_jjj_aQh_kj3MSd -Q1j=vv_qQrtqn -9;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jlm -S=1p7_jjj_aQh_kj3MS4 -Qbj=FO#_D3 \k_MU#Nl_lNHo_SH -Q14=vv_qQrtqn -9;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jMm -S=1p7_jjj_aQh_kj3MSj -Qpj=7j1_jQj_hSa -Qp4=7j1_jQj_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHplR7j1_jQj_hja_3Sb -m_=h4S( -Qpj=7j1_jQj_hja_34kM -4SQ=1p7_jjj_aQh_kj3M -j; +jSQ=Uh_jQ +S4_=h4;gd +fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q3_jMm +S=_)Wj_jj7_vqjM3kjQ +SjW=)_jjj_q7v +4SQ=_)Wj_jj7_vqjM3kds; +R:fjjNRlOmER)b.RsRHl)jW_j7j_vjq_3Sb +m_=h4Sg +Q)j=Wj_jjv_7q3_jk +M4S=Q4)jW_j7j_vjq_3jkM; + + + @ diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index d0b1a72..10f4c3b 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Sun Jan 24 16:20:47 2016 +#Mon Jan 25 07:24:12 2016 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -27,6 +27,8 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D4_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D3_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_000_D2_2 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_OUT_EXP_INT_1 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":122:36:122:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:61:134:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... @@ -46,10 +48,10 @@ State machine has 8 reachable states with original encodings of: @W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused @END -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) +At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:47 2016 +# Mon Jan 25 07:24:12 2016 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -59,7 +61,7 @@ File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs cha At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:48 2016 +# Mon Jan 25 07:24:13 2016 ###########################################################] Map & Optimize Report @@ -84,15 +86,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFF 80 uses +DFF 78 uses BI_DIR 10 uses BUFTH 4 uses IBUF 46 uses OBUF 15 uses -AND2 297 uses +AND2 299 uses INV 261 uses OR2 27 uses -XOR2 8 uses +XOR2 7 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -102,6 +104,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:49 2016 +# Mon Jan 25 07:24:14 2016 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index f26060f3bd95f0ef972583cff3d197c2c695f2e8..f54eff1859b2c02b34d139f245aafc9f6aa59c32 100644 GIT binary patch delta 12768 zcmV<6F(1y8XtZX3ABzY80000001JFl&5qMB5WeRrMy)D=)K*QJwroy7#bFWbBD#CC zR5{MH8Es-m_Jk7Dhu?8v5pduTIhpbIHy3YhHRB?<@RB)T||2=2re?Gd!CfNMEJaE7!vhRT_~Ma!B= zMB*h$(|JPHegJm=Cv3%(v&{e{LLY>Vgu@u;D{T$Jg}5FC8GRc@dE;zFQ7eEuf&M)p ziH|mjwX;WmjD~)K|51l`O#@CCeUzJnM{!&ACKArUd8lM|p2~hqBLe)F-S{qT!{S(g zHI*bYSjLSd)B7(ExA%94Vsc%?;a?Z*y6d9t8>ZmHB$@6XzZR2yp%jJg6MjRlRFK7$ zI-pV|*=;@+j#mBx3B1JbI4RP6m1bFz$M~S@QP7GXS%+0m1=)w0&)l+B&!P=khBM zsdPYXBM?@A@TEiO>~w1q220Sp)l?QFZKh_LnZV5K`^?e#?`JJ1cAPh0dOuIK_W({} zJNEMOdb!r}M~{9aT|2bxE+L^Ee5Ul09^PEUFM}25A^mja_?Or2Vd~FY)W05HyT&Iv zo)drCx_0T%_{6S%$7D{Y*DaqOhW^6+w4QgJ^!MfPZtU#lYsa0_R(I+Tuc^V_dh6{S zTv*k>Xk+2e9gpp{#r@SNDcP|h3celQCK|3$2LCia0+V0z-;Ti1i zU>M99_g&h5;^KYQpXZkh`qTd(hl=Mf*YnQ@w!LIq!=G=rE_KFYKGt+NXP2LMe|zrE zb=M9b)9JMJzxWJ}yXzWvf6bk-PrZ9_zd?s@?>x4?H`rl&xntBFb6M`e2!6U-z@!?h zAdXf44$Od2^FPVHvyk8Qck`iP96IvZxyM|`dvkz)`a0JCBxYbG5eYs7ACilpn}i=o z)V+v4@OHNykno=<=!E|}rLC)v_D7(>*tTsF#{)ZINPjFD@M(x+>JH~HA!6)2-$`g^ zfOCR#Zn*Sq-(!cqYrv#9E)y1~DSzA19i`Tcs zPqxK>kGHkLwpQ^MyO*}r`%>Fhk9NKsYwHW`oo*|_wjy}1Q96dB`Y{}x9K+G6F*FH> zwoKj~*)L*@Ml}5dT5u0^*uR{!gXiA+u*N!r7p#vXow#o)(o)|=x+cIcwyk@bT|eF) z!S)EW_mH*s(A?g`iS{1OXwSs<%wTB`zLEBS-llvqXfMC*Ik6{8nwCxZq%yVx+F5qK zwWn@Yx7$BJ%f2(Eq($$yqK@>rEw0UN|MIZDpZms?uJY@o{`yCkel!KoCMNJ~J52Uu zVw<$|DcbH25Ay@Nbp3npuH(=I=KAU*{n!m`6Nb?a;N_Bp)7tUtB)qBr3GyamOW$sP zJ$AW102B1@X=^ogv2H7^+Y)sXO6uz-Fve~=#<=Fqn0VZ7vwuAeW=~1GO}&2&o`BgV zv%2^2p4$I{<@z+6wmYqlS7JQ6I35A~?On8cZFUv}}xX~-}5BMSweF17R6xxls4xtz9W z_v1%+zX+D4XjNor_@i^nZSa`wd_Cstx>^|5t(dGl4HMX7B%R8;JtRd~0eO zujTU)TEr4dhv2mlTmJY>UpDId^kq|7%QM<}82w_)-#^&Pbfe}a7Gn^nV*vC>v(Kh} zv^)QLf-$&E#{l;pc>2ly=VicuX7uHsCq`$3f7sK@j0{*^9pc(_pypsD=mP%Yv$uox z;GZ^q8oaP}`*zUu{9w?&Z37Ru#C00MIE2K8-J-i>PY-+_a&uyH`mlV$x!iNpo;=az z1MU&_-sG)GmA;-j>2;`6tbGnFB_K$%;d1}C;(1uxjl9ZC+X70qMzc-wYePrLboQ%muiQW%#9neqhljCy@BSA^ zI6LpM-}L1az4B1 zI{~$ZJv!L*ri1GG0GVYV*2R^07o^L;g1>`ayWQK+_GZwoqer+3l{Ls7ZQ2`5+nah` zoVF8TJ0|?4y)V7im+E_b>GT@+{%P+^BcHdrNPEPBJ;FzVIO$1-_-gdN~zG++taB%{u< zC;5|wv}jv_nQ&Ww)rI|M5A6r~`-^Hb>lgd-@SDo|+Jp4HezS)!Q$`x!ORux@`%B(7 z(za!p38}RG{rmE<($127f82eiUP4&;{t=YmGy6Ijt)?G%uQtZGW-NOa*h{*+o!u^% zwY(k58|iF0Ti(L8EnWkK?J)1JeR^-KfW|$K?yM=pdH05Y*M{#n%h?hA^4T@$yiQ-xu}P@ail_HGk9rHjw$6?jtv;*|j~b4D z&HA3hP|l?W0i8GoJ_*XOM;2_mbC}U5=hkWV8T8So{?NFFDxGzoA#WuXHRJ64$d)a3 zpw9NEASRuEdQak?ejlH({WP4MtSdOTuIO}K(64mQIzE0r0kQj>HYf1dsd3vgpPcia z(6`{6zFBAYEk4<|dv@is#hXljJ>I`Ji@A^sPt(a7iO);<;PE*@|p){U$frSzuK(q= zpPm?OL$mfs=tL*_#0M;dSLL9tZaxRx_@D2N6p3#pVu$LF}2B>#!euLuk)MKsD$+{2c>~SN)P~Ja_?orPD zyumUWtE)Op8zm)-kUY-c!EoT_X@0>^-xnH=`|neIHP3v1|G;I&zlAP#v`zDH`aKE0=NbJEc5G~% z+*<>n4`V(SHl#D(m7yMdYHghH9%uE>L>}p%zK@*$9;D5B1J>UBW-lB5H#6@1-Ct+y zU%d@5ldtFS$Nb*YGC+Rs={58fc7RM5A?Ng?c>l@1gs)x8;pw%U(~NnF9;}7ux@Wh4 z9h`1Ea@oRdc_-;mvyq?JtCg?i1Ru}*52xN`#FWqBxSq6P?$q_pk~>oW%z1k~W1+G8 z`|hunf0CE@_jj(pV^@aH#{IF++}7c=yn4=jYP^2z`+as8pMJ&<*q!g*GvjKmttsv!{QSLt)jDGzl=sGG+`Eq0b^*Hdsc~Q?S%V(2Xc@=f z$@ENixN=U8D8a8G$+=ChT~Cb7Zn0&3$0&TyK7}s#aVxMkXtySDWWU>STiMb`pHUlX^Qe2R+ZZS# z1>4+~rsXJi9P1n0YjXP2b~*KbcGm@)o!&pTFsUf#{rzMs?VbdEmBi-pJ6pf^XGl4< zxl6rky`8Yw-%ynAp|7pUbesA`gg-ch+PG(@wymF&LR~({Mal-arwN(H>3C^=ZZTeH zqY49iqQ9Fg%j{;s#11Lw+R@4;`8%0tFE?#Y(szP(;)xv<#vJ7)%}9MVSERDydv!WjlU4M59v#V^|?*`Poh5ao!u4n&7rbT z+*z2rIn)(mUGn>k(+AWIWj(93o|WvEw5I{p7io08WuD;}K)v1?Xu{Yr$AJ|keTc1g zTA%d$yt6}5pTQ1&y-x>!!i-%%{p|JW0CeAN>i=8WtFFyM7$Tj%gFf4n(!7s4$<)sN2P5^p3=$Z<@`lFrRw4#=DmEc9Uqfh;8Z%g+&yYQ4qn4r|W2tJPUt9 zp-#EC?-J?_2z!2J$&x-M^4|T_QtO;|(Tha5{VwF9pLj2OOfJ}e%b9PA5RxBt-*SLJdZ zLU=cPPgqz{Hf9Q!0qqxP7`APN?ci)wu@`!r>em%-v$=(Rw{VFdJt2P=>jVD($_BST zXVtz-n&FnVRyC6(rG6cPqY?X2j zy6GHrP05G!`$n7M9-z0+Za1U4vPW9{E$1eZa}(KeUr6MB|1+tKnJ7n^mis5tRfcOr z`pf5oaPcS3*7r!eU!QNiydVRNo~dp-&BmUrOoVk2wWg(i4!nO(OymRlxRkHyHdZs) z+muXZ4_{|uZP>*g2{1@;T`aO zNDK@)9rqu|87lPi<5}?~`91s8#=0y1kZi1f5cUMxUtuhs*pCDTRM}w>3xKw6Ij*oV zz#HSZru)l(h`lKJNS`m=K8y6M|9;ufQJG&tpCUoaAS>{0I+hupuEK|7R9V zz<8R(QoK%Ji`dKNiS^neeJp2$AvWpdX~TLzFBKbq^$cEGyPnT?xI$b|7_LMcO6Eh^ zNRFqJ|MrZK#e_=PaS!xZBX^Mq<%ncT9icUx}D=Yc*}3pRg)q`iF$a@fy>;w@Wt zX)JE$Z-y)7ALY#0vbP`+9lIuQbjUYbM9O@B#Ow;$dS$;0xO(^9&Cpu}LQdo!XCUvr zT}b-eUQ?})f$XD)ecUdZugw-h-sJlJ&rO8-a2R}Ua**!jxZVv7p`(Yssp$5SrhPMR zhIeQ?GVlGcu1sP9+w4iwAdnsp0w6+*3)xJKQMmJz{0;jbjBUR%$KG%k=JI#Q`X{b` zNgShU+cLud{)VPlldA0|IjoM(o`?0a8TrD_x@VdYL2rmlLjFS#90TeUu19Tcu%D!` ze<@#6rbFt#)psS!LFHC#ce|OMRg!1Qbr*cAS^ed*!f$McLpz8)1%2vWpW6qzOLdc7 z|KK1_uJ6#pbmydN3sJ9dF9*$NzV?lOpN?pMZTNEs@lv4Ii0|ck$J`dQKIU|>pkq26 zFXUP^Ls+E1#Vu4R<$9KT)tZjiI^VDRj(h_4T7@@7d{1jugDniIShR;uUZ03laYZbQ z+xOgS>d!k<(#iV?d2;t82P$ng_#vD0r$#6Qkzut&jv5u7dS zj8beH#(H?nb^ZSJoX}23(n)|K6bd`B~S@KWkJF8P*!!Rz!^_X|< z_WjPBB3@SR(JQ3KmFRCIFsP`1A0bVSsj;SOLzT%r7BQ;OW!bOX21%y(vn{Q}GXw^$ z!>!4B>-t9;`X^*e97BWCv9Vr*%nB0dhzhwBzKiku_It2Qeawfxu&upfezCskNZ|8_ z0?+Gu{rR;IGfQgaq_(Z%1oAavjMtt~&?jk=u8DI$V86fZmP-D4)jYRv#|$MD>**02J2`EO=Z$x-6xyA-!DZlORtu%2}|g{&?+68LVR+=Tni8`4QP2sr3)C z3n{OEER*XWRGu<#{o{3iPfo9^F2*r*1x>_~kKc|;T1< z&}QwP#5>Xk8v!|B{7M|!W=YPCulPL+GaHhB?TvN$DhByX#D~1#6KG_&jE?;S3VV@pc5obXN>;a>} zjQ%3x_mZ$%Z&S2?>)~30HQX5creq+~j$sDOl_smM zG;N_Tmh@D`1GILC_dSvbB(QQCQ*j zDnyGpk8(Y7J9Otr{|={r%f7fb!|isi>U8OLuE!a%{YbCi{Ta=+XK6MBOOD zz%kJObUti&@rXqvLDc&LaJpq05;!?lXF<4t?LFx7B`0`*eVNA=;I)!V7$ognvtL*y}r24)>NTuGcNS|*tL=` zgMe&*pJTttM9K@9-NJ&eE9nDQ*bv|GuGriGj`&&irwNO@`%Igjt;|u%6Oa28(Kr^{ zXjTU~DG>JDSeKJJ@oX?|V$A1N>Trdo-#>tYBR0T~RoQ&aHn5V5$K9Z2vs zq|1LBu7emzyoNsQ0fDviEgesg?bLTE+u=}uc152p>6bN&eHQzHDl>E0PGWeK&%LIu zpxnnG@1wXja8#f8Zc^y4srAuPy_WF5o1fW1mp#RA>>E9NuFRXXsbeg2MnjP) z95xolvq>5wCuH_*Akkmht;+Q2aBBRS-3u zNS{`KF_i)O%dD>AN6F2wN$!@Im^-@r>!@uQ`E~gHxV<;y`ytvw6Ni$rzgOxI=+}cK zhcFc2Rt0&k2|I9hKT{iac+Ne2=de3}%YI!GyOYs5M(?hGwM8cGOVYQ)YxZo62QFd1 zY0dpg7VWj^V6)1jcDX<-LW!bQQDf7BG zU)>i_dp#kv65q>ye<4R=M4I*!g+nj;9|UeUM8BNm&+z_4+h6kcv0?&JI#%4x{I-Xm zp9{W>1MZ6l!aiqw^$1TR1)e5-mBwzYc%}RveY= z>LT;nzRk71Rrz8ahEV#QF`ZR^K_8U9XR&W^keN!qi#R~D5hGZP3nmp@w=l*E>uhs``d;d(5PCJ}!IqIQql zLax>9n!-;m{pFe8xf;iRdB6R=2e|}e!E5r&)cMg0`F5nQ&siIdxp$Tm`eZ(c{9|Rm zAJy$;uk{7-2Nr5}er_RV)kF-WRn*4`S8~kQNqrP$eH{A3=R!f|++m=bjQO!^rPz3K zl4cPnJPjs!U(qK}+gEZ>@npK5 zpxb%tuIqR+O_xw>T#GxCn0*V=Qz0nm-6|^CtyV%d9rOC}0eN*LWmZMqJF0Ns7jcq z(b3_Ehv$v8#Kut117jHutLGG9l>M?hMKg4zrqbwN*Akvso0nd(ca~js% zr{l5kX-M0D^d6Xc8PiS@CNStKbvH*b|5qymOXn=i)A zRIikO@ln_+j%Ab2EsxzdEB@i~wSk}d2w-$fDWd?NJ?e8i!`Elk`TCF-l=jqukBYLf zqCcy~4_LPCZZ~>eVWF-m8yEb3k?{M4CHbja@%xqg*ae=e_hZ{X?^=B1T2#8$>MNV1 z6$)Pr+9boGP13B|B+a5t($w>FD4S$AB-ToQ;D9pQoyeh4@R=>g?N zHT?L3b{=KUci^+`9L9xSZh4Mn#61@ysH2bvWgZ(8?7dofk;euF9;>hms5_Y}+cG1Y zBW?m^JNEFkq&xZJ-nI0ncw4Wh z$ZMrNH`4G*6~9iC-$m=A%2VMn$9Jyg7%S4PksoXCll0-=49mWEx9j!kF&?eQX9z1* zH;fT)6v14(3)d^eQ%90#M1p5n**$7+up&^H?I;R_txKFUAn}uK{~*pJfFhEA?Z5JO zhT$+}PL=!LTMF|la>!NknkxMW+lqj6SAwoyGXE=)>-fb@V+zrSljYqh_)iM?qt4(P z9N~g?6pQ#~^d)Aoh?*@==9D8c<`CEKn9Ze+#gsmlb9jfD=J-X}k&v&^kG}`LR1-rg z^=H+8LEW+~ z2yrm_TrRq)4}Qy;b5?njV;u|SR(`+YWg*NI&~mU6K4jfySGE&3V8TeV4Yzbni|IaQ zD^_vk8YW~V{D&)B#sz+n_#U}G$TDo>3oD*OBW=IP(@@UCI%EuZDL;hZG6&!tif>7b2z}X%FWpH?*{7=8FS&4`Vlj@4A&lNh{8 ze3q7LAmgpFOnw&P%8&6!eeJwv{r`aVkGW&39Wm8*;Qvm|ezf2cXdv)Tb@hh-j zi~J%Izmb*c`r%o7H@1f&Ul-d$xgaUDCECGrdor0o&)0aCe1?KS7~6Q3O$kSy+c_IB zx_yF?=9u@O ziuY3WM|y09SBS?KaSvp+=Q(~8^#>wsG4Cu8pzSnoNSIV{ZKyk!uF1S2I1ozaI-x`4 zwuO+OC@DM>scm6ZwJofqp0HB31%19mo~bC!U77LWSnMr-v0co;qwOuSOtXopv)fK# z77_OwX7Lz1diR%n*W_j>=v&7l!a6`%BFud8`d(CveXrA<%Xu_2TU4aTT61iNndJ?W*ED+JA|M_JHp^>L%^CXhP{h}k z^Dk2OQ2X3}#i6+Fby)-XJxmSkT^7(pEOUh+T^8&asK4U)V@_B-r)sVJrkKx4#M5Ty z02cCBWo7?ytg>t`J=gKPcx8D`p3J`^Wqf&VUYZj}zbC2mQ^uvl$$s*heffoU6y{m( z%?*7H?d}S3u_(tNZb3ZP=BW-*8{?$mt3f%GYozvnIT`bm z5Z79_1sX zk&je=pDXhbJ*RWVOF}$rDHLYRK=2aS=TEgC)Z{QeR$dneA@r#_Oh6LZDkqv;)5^IBbQ&r9Wzd)MI$p&`pp_rhzgHNBiTXFJeZ&Pw`yadA5V_a)u2Wl7 zmg7Z_v#aF+n*BFiiIp5y*AJ=EY}qf*K{jiDT0h6Gfs9kATgkr`+8S{GGXo*dr|&BK z^W}F?K^%-Ao2v{t}> zW!06}l-2IF*;%_{&FL6RIoGfT)tvT{!d(Ar(fh z%Um0p9i$k~9K6o{A=^74KLGUwF#ROJxNC{$-ZL|lc-K3+-7Wr-7;Tp3ccsPq&_1(v z-uuqnc#q%v&iljrol}laNOMBHU(erv8xF=KiF+5$`$7!CdP2+fhjBmUL^eB-4Nv|JY!JzWr^Rgw((rT0!9G!CFDmh^!Ennrr~UBncFVFIDUjSaOrCo z`Yf;bhAP*j-GV2_W6+Pt;(0%RA`ADd2;|3Dr!SC2&D#8aMSVHAG8<+5$~EMpAm2C2 zyHl^PVrD_64AVJIWl9;3rRVrlSPw4Z&!i7gsztV9Z&ew4Z?;-andmqYptFg0Q7xRzP{GL3%DBZiH>^@r-ayGpRpSbO$^~)Sl zO?E_b#EwYn>{BJ*Z7HvH!De2!7J`%y6VyB761Lp(f`aV*vtQi@V2xQv^vz?}%)0(W z7|)JJCPz_F#lu()m_w_7m=DUT%?B0h`Jm+YLY|f*I?nNa#SJ)}xQNq<3pgFCPPgnu zw!q?;U-t!^sgA{#G0(^N#h>P2%-X5N&vx>dQVa3r7O`XGqnIBS-aEo`2@JL*#LY)ir zY8*;8jbrR$9HVwNLEX6odJc?4x9`9jGco^|39HQ{X|4l#{?AV%mvhknEXPy-u8*^R z=0nR-^{4oBsGqC)%`42pT9}ut4C*!=c6Hbt^7;A4$j_RunQsfRxp<}on+=pc{m(Uh zn!SK7!iYTQUhj8*9y8E|t=F_yh+!|u1L|Cj26->g&f}uQXPt@Uz%_H`R@-?*emCp`PXM)w(x8lrJUUz;|$-Wgg*s+?*RX&!W;fy8e zmxR3_OmVTPTr`gJ*n&)6RA)t@{c@b=e<{*_%{c%KJ|eY$mytNk2@2ZaGpR2QkqUg`9_ zSiGH&9d^fkQpuCOxEa26Kb|6Mt6p;>RgEy%_7_TB24UnyUyJ&#QBEA)ihF?y@{MX%k{`M3CeL1>%y zdSX6M4u^H>Ob>@MV{<1$>Ug*w%IiJTNh;^3 z_D7mNkP>veL&AhK0|J|wAUVBTvjCy^-6&%`*ojtVxi znzIRCV^@-O*XB%>HZc=@h7rP*@uZMy9#XXP>N$;yaSi$Pf=(LeXEW*NHWlMT0`+WD zzVy2D+RA!jnJ$=n5ISQGKNk8U=tqrf0`!6aQ{4sPd?Ed82eXg|EGv__f=wMuki`%e z?Bhm%J>4jocw%=IF2mmQ?tf1x^^Jc2&H+tp@yQ!Ht+DGE_XCgW&$RkO0}X3bj59}a zC-4c27^DLN|8oMr2@&^!!sT9i>&%y)<5UK`*bl4)7T0|1u*!2L+N@S~0CEKSFV*=> zFmMx2DR&7SGhh`)YuI;S%-61WnaXcjUdL{KF|Q-OD@DDKaNhtWZQHN5X@w#y;LZj5UbGEo2^cUMVHSY_WBB+Tx z%YRsCo51^y2}PP)FP#3Tn)U;mW9rgi71Xp(MEhgV2$=J!zhJ{{3!e5JpqKT>OQ9cs znj#h-!O&*NpKX;l(FS=P=ar7(ZrtxYm@9ASZb9(z;f(~ZD&irFG3Ir-*+E`YdtX<> z<3Oc6=h=1bd9JlD`d@0Fm)hs0_Ias& zUTUB7LVKL&?N2HFZwDltOJhxOozumg|H%<&@(R0=bIJM?&&4)e`gZLx!v*1gs!Ka0 z3|EMNAeoP*V5fQRr1U*aItm-G3Y+5Df^+g%21oK(HeM^seWmA)UF+7#i)Eja?(fzx zx5kCjjOQ!H|KVh$0Bl^qqavZEX;JCaygO$T{3mK70X zA?ERe&9ihqP#MdLSmN62MR_k&IRZ5Nn3S(I?3^jEbFnGU*sJO`=7AM|eL=NyqS^TD zYxF3P@G3YJveC{L<1kXO%~3{?7@cx11epg^VsObBW7I%zDyM6my;?h4P_s{0%Zjo= z(41R0B(*pX1YyE|7{Y;gh!nFMV&-IC!LVg#KQS$@a^-&Aj~Ue9wvy7hhw?mEMXP~G z^M*bzOW?Xk>OAF2%;o5RnyR{F`y;A?TXv*>_>(tjkgyzuYJ4`q5Ngd;WG)+ex8q zQrC9fdTT1Lloi_6WB|D)ets{++^BVd`+CaDzYE(-d7q#46;@Dx`Hsu`Cyx2+&wvWq z^!xe#J!$u^V1csp%;|HY#$=n+?t2wXP}6znukrZ}I;vks&x--I4m2!0eq|Mx_PDN= zH>%D*9{Syec#Vegy8KkojwQ=wOibC|CC^tzykMD^BpEN!&t*^iYT3>&oU2^eqZD>e zob3}6+cbrJUc-Zb)$%Zq5sBUg^00Clwp-r!j^fOqZP-gOjAqq@k0w5=@KPBoD)+Zc z2C98l-+NI;I^`EkBD?NvWFfOB4lP_uX2svzS^eIAOm6RLu|gOZ{+ifX{S4;L?d;XE zdIR3P=wq$FNActGn2-GWInr{p*6s;qS}N}e68or~MbT7$UMV|gPdL)H!WEU;t}CyU zX>Ic`uuZf%2E}tnw7gXoX~3>j?vcFa>rm-57TTJ1{L0RGug;jC5vKGZlkDyHX*|8K zXX;qCukR~5Qo~-5=a;7s?Ho^ea0+yx=b?xD3V!-oT#Ku$rK?xk!V7gAi!-f_f2m?b z)x0K~oZsqyXWq<`+IOj?hgZkPKz};7o8!21jfahZhspJ%vXqi;`{dCK3cWb+`4ODyrU!u1@-m+LL-AnjkBekWzd_s_|wMm87z zX<4T+O!0*N_^x)($Mquhg3@|X@~-SdA?76SS5V&Lk>}RwI)B2)@ZHc(+90P3K817j m=uluEG!*lJxBd?R0RR8ua!}v`00030{{sNE`RHRb%#;FC)L delta 12992 zcmV;xGC$3yq^VCp#GwdUMB3X* z(Rw{eMzwdX-7&!^Kfbf3MTG;WeawEZnVrpM(0Rvb_+`a?8VrIaED09N}N}0Qpr!6ib0@ zT{n0-o*3S2-$HhMT`XcLQn@Y+VGcLeEaCn{0fIa5S$hO+Yv7ZtAvi-?97F9)-=byB zBqH&Vr0F~%Yd-+H{}Z-i%GqXs3ZV}|N5Wx@^R>1H;Y@rQ1sQ!CMtS3GO;Ia=JAnSv zAc>DQh_$1CM~sGkga1*74^0D37=4tRgGX^&_a+j~!Fi~qcb=+#Od|sPm)*FRvSD#7 zz!Oy@Jy^zxCDXfa_cwR9yK-__#O7ZX?7GXM?Hi`x!z7t*AHJ89ZK)K6?hF1vuT+r1 zRXU(j71?z$UhJ*>0tvjtpExPABF*x=$X59)0`cxQgHo;LUjls@f!rJ=b6+X7-lVIP zAC+p{k<3VYc3#dOA9iDHZ(Q3gqc2f-w^|pQO>vdH|MUd2vjM^Zf2=+IZ`wS!Kaalx zkx3WSEdpT$2*0d^^~qi}p;&@Gn>tyLY;>ke8(6pZ_Uiuc_Z%m7oZtX`-aBap@?js_ z$LISTe+cLY(spClZ4(l^(I-l;=>E-R^3q#y9@CH4UU+rm@5kY^LBpH=jc%YI+=ABNs`y7c@hZM4T>|At!ZZLnNFz`fR| z{oNbdx|_In-qzaRS$7Zr4!hp)?(XVgw)L!_d*|OhcCSBf?-q9n@5cPi6zIH1Ow$@$G_F4CVd$gbMe`qmoJ7NspY#OeEE%U7I zx=WZ(A3Vt)ePcq`8OecQJJi#_Zu zi+RJYPa9l}e`on=dc^>q?*DjHynnTve%iD36G2^Qj~oAs@8G=qzV-0e)EkC0coffDwEy-YV9Q5~?blaZM*Sg|*8`Zrj}J3gRBK_k z+X}utvtZW3j}mqk^M~$s+PAEIOTIe|nC}H|_RwC-fBB!mWYbRmwA=5a_xv*2B<=Sk zZ4MUi?G4%daUxj&Z^5E?n#qj8!o4h3yT(gwXv^u-WSjM;^w~i z$-emUf4&yj*CM%fJ6T_yTVr1x+PXc~*DdXw?rVd6ZD3r3Yz_zJIUJmv!@;RJvy+J_-`rVQrAbkCO|LNjYpc_Ki(g~ z{s{EQ&hu)Zy27O$MHnR8DxHs3`tNrqE8d_tzDDD&U{SQ9i2x9fmi ze=YYw1j9$#Sd4va+sWE?MB9WCbK3;w*w5w|_q?5ufV)lh_j7OZoHm;@_`CNE#5SFn z#t}R>|Ay`QJQ+7zjStsiKH4}R5&Z33Haksv*=clue)<%aeTwur@WKb$Y+ZK79i+oP z&TH4&`&}>W(gqnnUao0#-02*f^9A;if52aM`HRz-U-Dlp7IeDO&#%@c?w!`vxIx<= zKEU{Z+`+tDChjl9*I)Ef;GSV@*d|9_@!^Voh}$mgFcNRzZ+iJ_!hf*%lG9&5(uvsH z^4grU`^L%$N6IEY1dqw*I~IE=CYxVwc8_u{cwF5+%KOM*@&!yb!qkSpKPFECe~$dq zG@c22s`MXY|8e`torpf4=%lvqtXR?|_XzOY4gUx?_V=v>CTBAhFN0QA4;3myK z>tJfO{(gq}xXR`O`4GJQ?EZP_f3XRD`SZ-^r1ytCzf4Gvnc5Kd#yzzj3xOl>7vH__ zHG6-W^tt!Kn$7!O-TS>>^S%l6;0pKb2IeFtE^vJm&^OI~(wRNl=NvBBG24HM5f0*>r9|J;r zfcr+8k`8*4)(=ugv&8$b&ui(Ho%ID+?F=TX^v8-0((&YniEpj|2EkXZXn#NSAN~IV zX=fW;bsPVc&1amo1&9`~Ve@m(OKTpl{<9F*@D%Z1} zjR~+d?$F+Ke7<5edF;9R00&eg!=&_Wo(m`w9 zk@U$yTy&ktPPwbve?s`#Q}c=byj6YXaIxE`pH#Nj>}BKn$)0Y-^V2KXdl=*Y+z7Qo^dw58w*EvopzS1~~9pZH{rzIPN5J=X8EQxu4IC zv>mS3;@NyMzlVEkya&qLe%f7z^wC-Xjt2qVI%9_G9`tXlf6((-(4DenNFFVk*v>eB z_X*H~>Ue(xM&OwF*WC!(4nY43*$wEwURU6;O{mjI#*f>81~bCe-j-R7F6@thT3&d= zx`D?~=A{;~$4&xt5)|Wr%-DMCF{?|?ZPV^DfH9W@6Bu~WW>{WvP9$yGCFv?O)^5OA${>Glu z!KwCMe+V1Edh;{a?kS(&Tpv3=2dHTm*$obEsM*U;@M`6EIYGyBU&N`g44Cpi9M_W;%pd!~S#n3_t2vL?GZtIhe?9!w2v5?I z@bSS9x9r*q*|0kdncvu-mRHYNPmR_O!?4Tt!_)7C5qk*zM`m4b82|$f4EJa7VPX42 z8ICc2_PEcZwYGSU@bh`Aa|R!j&n9O)f4khU^$c|BQ}e)VvIIS1*07F`$qsC`zxGbf zDQ>hCa*s8Is4Txkbp2he0T&6bUQ>M)eVPZe}8iCpnvG3{%arN=Q(m3=%Z)Q zNpd}V4BwzW5-`8}&tS1n(-Pphpj8deSR)~i4>;-rj6GQY+K&GU&(@KUH=WOee+6BY zHn!Kimy*d3)PA_o8?B|?lc3*{xIDRV^=H4wlv9`cG`P|GiOcZD z;`$D*H%8-i<`)sZ;TT%uft%U3zK=?6g)kmv1KiP+jFW7>G@rMaFSJp`kvr1ICa>jo zvuNbT6m;#)!X?F+Y_yl#E+^TTpr2&qZc1~GaKS8&^zQ&mj6QQY7LxhQf1s6(7>l%p zQO--a5MDpd_#4Kz0OK`bk8i@6hyjs)RoI{F%>N|XvoGAXXm1LQ#p21*+D)OY7~7KH zC!9W^ZYbMXWbG^@T+*HfSYO7`<(dVSX94yGOTYx%T2qD z+vtKM0yfQNR$5Q}x<{oipUV6>T`&8J3o>KPnfBS7|FVxxUYJCCd zXZcOdZ8vV^x?ofbK*Vjrooo+?`H1=8J1_T-b~)e+T(|$x0aw@MI>azG94Bn7O+IHT zMg#gU;V`gm73aa(;J{uOaH`)|w9VxX!tUS}L3~2`F7^lf|IB*#-zSH#OPeCSpO~&4 z*d5h+C9a#`dXa1ofBj-vtOPubI8)k3x@~5=qQNC$GCo7#Tg6r>*PxxPLEDyeNPljy zD)Rune|Enbn6CYz<==8`Hgat?uH*};@I&nZsZ+T-yULSLzZ7;@*g2z${S^C9_c1O^&U*r5!10g-2y!;>-;gpK z;Lo?;iZ03T`KLD5ZSjZXbN!95XVCsibMef+r7)q&4vVn>XzP~q3XB0pjPsiDmjQcG z@{zt?x_uV$e_8+ivZ8}3y#$yx0+&Hn;O%TK^VqrrIxO{N?6dk?#`58JIfv*t2iR@m zD7qr!bDmkJInd#r5QZ^9FV8F1fweiX2Tz~_?S3)0@d9IT;&>tYQ1TVZArf{eYwZ{z zvk{eY+78xU$~dHuamWUF`341*I8rJ5jN>gbf;I zzl=MB)nxD^qt7d}2TXnpU{aL5I$!%}!CfE#k=j$zenwx)xG3${xb7XG)2m(+=Z|W3 z?R6I8huhQ!d~#rn8-C@kEtFR#cYQU-4$ysl%+~q}eSmjQ{mBosdt)p$XAWBvdNs4y zwEyN#e_vY%baAS$-`G*(No?-gKzH~CM9DRGP45HF6#L_f9>QlgnFH{x*_AcBo4)Dm zeKFf17?&pDbeLVNO?wkzJcqM!VenkNzfe9-&a5?i3sTL&*n|Fdfp8$6GZ49EZ;#*0 z4r3r-Ja$jS?je1avL$TA z4R8~81H$-)9jkS>?)ndC2eKc-SnkR7japYLd=NUUy^asBO=2Ox@@LMPif2WDun|b| zeB}*g0|}b$4(r#jYz={{H@itE50n_HG|BAaj+;wBkWyo zzZG+iF?C&!?&;RUYx!8YkhU*en}_ale=7B!=`ysI9u2*zCB8SjgLa4co*52jbT*^I zV$a*4G$x=q0?EU;RY@0s--@=E!zDe!YfAU1?WmYlj0bKRLwsChjE$9Tid-CDmXl?8?wuy1>I1Ullf9eO_=}ic$%}?Sd@GY@VmUOtBc(la(D*VmJpW!;2 z5L$}0mi!rb!fVH(c=OoF#>4zzy1u%ijrcge0PRD0Xn}FcQszNiwm2PH%O#c9FlJYi zffRmZe(rr&*!LdS6GI$cWR5A$+C7EOCHTHQv+n~zM=Zb*3*~U26G|qRf4H!nE7|W= zbl|!5Ej_jW7a}nvlWl{IThg}?ABNOg(xp|>(Y2fllrz;m#OHkdRm{X|_FF((djBFm z67$6TfCV>xH*8HQ&aaf67ii|o;NM^@`oQKQ?B`9J0n zAX}T}TEP#Rm4mquj^Gt-mG>a^Q=O-w9%uTl@w(pl%luV)OKa~GoSR7KQ?{IEA(MV5 zr8ySYkXGe9h*bI7f56Yk$^G9Je4?%M33lHcRPaF1a@=bYwkEsBf4x@X9shJLWuGRz z)p&*NZen7i2=55Hgp&Ugv;$s0VqTM2|6v%EWa{gLJ)%r!x>lhb%08&Af$Tqa6B5BZ z5ozbvVwG~4?y6*8#p}XG+50W*p|CfUjg_`X#doLpE`2NxtPALhutOaX3KQu!u%uo6 ziqn1WHxSpfcqppdetFlbpwiA?pi?JN)2wzh+-~IZ^bDPow-TqZ;+x_)*{&lqD zCu6-$HeT$9{`#uVO-T+{vSGsAWC5BLNb3Xc3ZJ@^(f#h8Vjd0qK}e4ZpPT%RbfLsA za4)^kpDTd3CWlhWCP@qnV1q1`NZI6Xpd8ZfB;`@0f6W#9e|!GS?k6krZ%H5j19=K* z9P*=i>wa~Vhi=eBYYTnfK^vhiA7wf^@U+kOjN3cm%LqZ|rNf(&JpnNv_QhuyfsN~W ztPP!B9kB@%^SXd-+;$fZDcd1bzxPGInSL4$HJx119JHO=6Sf1sd9)2B>kde*&0rkN z#JzgVItC==!|FPsR?4h#3fCj7Un=#TJ;)4xJR7k|@(dvf0?|FnE2 zN_QhkGj%(OvJ=N3HEw_^C&E6j<^f=IK zO2!Q+I661eH80vH-mi}rMbDss>VTrF- z+PEB+_}>9sgB2_AsNDvh7`3@;m+X_9z?Q!7*yk+x-ZjEyUvJ9xy<_*JuZP1PtNMea zJs!>9t_vb$dm-?s)Lvq;C6@6zsldJN*55-vf4CmEult|0I1R_OqZov5djU}8HZv9f z6fiIJuBYtN^h&{#%P1-i2(+qeHua|T>Qn8zCf{UDUfk38=dF^L2nb4O{7l>^lb4c0 zUdnl#iq*zA1bJWcvmfM8w01xiYv(>(c+sc-_7q*i7*>q4#+ZcsUdjKa``R$x ze^a#!T-p)M#~I9a0ket?u@#&$rd7pBVa#P+TUK-cW29ir?iKLyQ3+nm+feOBz+Zr# zfyO1;-P`PwE`#E4gzXdC)9SiuzL)}sHrxi@55kG^>xvl0T<5r=`x|vltP?@9F3X z%n<=xB6`k&Xg}vKDki{jGP>kAwv0ER`%fhw6Z{so%I&9&uGY~sazN9vIE5kGDq5+1 zkK}h1_mqh8EJ(*hdvY9l44`&R#hL5$xUMZNAEpA^RDv0j!P!j~ z%fP#g@8F({ZIHjWqarpBWg9(4RgVYV+Qq%N%S`d8GOmIX&UezDi}YQ_RtVjf>_&CJ zrCmhzN$O)+r5umr2mISyxCvjos$B#@*Vz?p*df4X{Eaalb@TD+{)xGNQt6+R`{zaM z$*vS5Nts=W!#$1zqz(s2e+36hzwJt&M`Dvt^-t>iCzby7-#RYXwYYE!|Dby#{*fFn z>V9K9kc>g4_DGNYK6~us*w{&B?4)k&W;jmHJ2CHlQrY{}-|_kDZlCDiIQFbRQt7{L z?C~)gpvO9ZK;=Xi?n25Sg1>e_*6#S3+|eQ8Q^W$GEU(Gf!e8`re@$QbO5{f$)a)?% z+2$MfnLn|(>aU`fceJJw`mQFUvX2dLO1Fo-(m$x`Fy*nPHZT?t0&wFyCH)4-IRb0--i*I$ zZ;6@>%7+4~7r+Wqe=aH6IP6Lvxh__JZTcM4n1p zUYE9wxDC_=NlYBO7a;EHcD~t0TQ`IMVWZjRY2ejeSAKwPld8C4wFhcspM34=_D2lD zEQz^@pV{d8m!tQ+E^I2g?lp6=ajjuob=M33>GMC?A9Zk-Vu59SL>W&neN~ssvVL|s zIQ|>;w}0Y)f4-!xQaj^;$*)Tanv@V?V{B~Z4-)vF>&vl>n;!z+>N)G~E*9$wyie%U z@n;dwF5eUUO2wewH>Hk`q0Ly&5>#2c5`((9=8tHYP&TMid~EJtHeD07H1)m1f<&~X z3cV{H@``_??z+8D*OlHGe_@%&P2?u95jPoKD(bl~ksFZj z!{K&VvI8@lh(U;)V?^XEXf{QM|=EDXO?nLfITjZt4e1F|< zuCB#W?~IjgP}pk?wnTyi27_6E%p|fdXYQNaxga;@zH2>>LBXfv2>LpA_Y-)-RsKxb z4&S(=f2(&zyo(;ow_}A2^iN|SuNvu* zjTk4pn1}m(9*Aik?(=ydrFpo|=Yi<+aIfZbJ$iS{5BrZ}f3$v#aaP(tasLzThu%II zZrA(Z5qK%cy#m)TJ_9|WKvUY495|W#DecSZfBH5q`CQ|w&(+|+(_%s}*xF4tj)+ZG z^r5ZuiyHsutex?N>K@jwSK?ES`nk1yIALanxvaC{NjpCXwV5oT@!^AeST8!u8B#I| z*3ne3#6_;C@Ffkqtghb@Kdro@XhF%J=al_<>!D6(ol-nJWy6CQ?qj~e#!&g$+Af;< ze@uS1_MxAx3L~@I^)dTL?ZA$kFn`o5T0i!5FST*~jZSomq_LYoR*no=hq2CBY zt``^!B;$-gUh%0P=za_b>zRmpAlbcAj^&P~HthYB?Cg>FZjaI!zUMi}8z~!(2$%WM zq_}3wYjJTclGmK#T2ko9(FX0Z8;o7bf9jhvWf#FXAk2pY9>sejI^TV13Yj(ccd5C+ z7~pgC3+4rcNf2e3|RHCs_wU&>P1)aqL^(gegcepELJO24Fjz#)TH2tXhtf=){ z)uS4r)GMP6mS@I%or`u-r=Kv8S!btol&UYqaIeHGHuHafcP!_%1GaE!p@B4gTC4u=nM0gFJ8DS{JYq; z+{g0#2g=9``eHMmM^-0H_kWyAHweZmZqOPe_@4CdDqG%@PZbP#&Z%BUf2>@4>I)6Wk?SN?UmmpjVx>!$Y2~tC=D09BXh)Xj_?eR4xzXCSso$} z8etyqKb;99NiZHd!IY&q(S59@xTwP3K@NPZq#A2fwWDAi7*mczU2N+2uLYe=y;>jT zfsawXzy367x9nlbFOc+c*zn@XwMgLZQ6*- zD7Thu7$mUIvEkZPd-Sn;S#JL`TMuZhtr&H4t=4K@%SHGP7wA7ke|mJwItTt>c}>>0 zlQdr1O?XDrxQtPkdH<%Ie?4yJg=e%?wnMUZNYT#IK|4=cJM#NerJc%NQL=^1=h`oq zR>z|Ux#zu7EgnqpCoXvd%u6GzDr!@GXwgU@2` z97F!Ih=~G32&Un+f46qb*EVkPLj6a3v>D4+qMi((0$i;BFg`L-La6CQc&2yMI<6`aA?~7yprepq{V?GLr zi)d4GF)T0Q2%pf!ba^H}M-1a_dX?D0EH)+A4@Et;GkCYqe}Yghh!T_wQoSz@eL+85 ze1c4%>!fGOXEAJ05GCU_(hFS{XR)K~nI<_L^jSxaiw=3+^-}(aUT=opuPQm;1HBDf zYoo}~X=gr;D635aWDXahY)_63W35blg3O|_u2I$tqS{z4l(+SJlUX@;m+y+YVW>a2 zgrhCSLBCYBe+K9Gu;3Hbd%cjN&Wo}{tjk=-bck`cEo}?XA@mBk8oTYxwy-#`Ei9Ct zuu!&z(t2t2RC61j;4O*dEqQ$>L*1-c*~CCk7JH=N2?XO=MUdA8s09+|(!0K>_hX7t zt z@$)~;fBjYcy(Z^7uNSQ99Z4Bqzpj6WUJiJ)%tspSl2`HNL93|abPVPgZIoEs3~(6Z z7BKfui^*2{p@tV?A2xZWpU51VK}e17$$TeA%Xg~EMk)sps7AEN@@A_wbk4{TS?D?* z4Z5}OV~pO5K_)HmHHxy_kSMOynX#|!b8Oc3e-Ybr`CeAJT6UGvj0afZ!V&&4&Ny{78z;+Q-5E3z3S)C^eJOZ0CzLlOyA=y>pyr*=n3UuirmM?p-fRZ5Q^*m=KhgA|h-JVyrkvBt0A}$_jFKBCnG^e}*Rcbn(C}(e66bWzU7oJ4Ak<>ex#kh>QWM z%EvNiTd#wz%bqe{o81>V6sBthzad9u>7v%^Cfe9YEF)F#u?7yw=f# zkk9FUp;y{JZqJb)uwHc(i=$&*%)5{=SFv5hyKdJHe-*V7?HFSsD$fb~O!#g&eP?;% z`~F>bJM-R^@(z|GQK#!2>H}Tx-TvJ+dv^V z=0N4}VNJ1j)wp>LCUL*gmWWx`f7>#`mBpZ|_iNx1G2q=1RpU3pmB)2g+mYWZ@z(`k zh}u|Jr5zpEKM6w;fqdLx%PQS3(`%yWnThsEo&kF}%Is)BqN8@%PGQHTe07tp!q#%Q z3_4NuUa9U%8QylOFXeMmIYLCl21J#d9+{Jv*)%xS?kj&j%2j&(dD%AVe??9L9NBg+ zCg=yB-z#6%#HZr-oKEK3`%;X6@b@7-TK#;fJvTh(6_`WFGoPm;eeI~v9^~KkZs@_i zLLV;t!KRwVb+Y(4=t?+X_Ao{;ijs2P<<_pqqPdJ;(wAXQ?K&CXa8JMJ@ec)rF^D2fA3P~ffRN)E^^c4x@&c*BeX?u5DQAW%&OC4p9fFOcFOco z;$Y{lFKpM&XC`t1>aOcFF5mx>e`Rzar!gJxUDXy|jRAe9)p0z^W2^Ohl|HLo*T3uX z1L%WN7W#kGai7l8ajWCdYsSm?UApvC%(=#&vbypW-X&#syR~Es`jY^->se_x?YuS=H|T+iKDeq@4Rp-_Ts1<6K3KA&Jlg{*uCohFW{-IyU)Hm zH8F=yN$zo^4WgZ5jU;*YPVt><-?iE;M|GT|a3wWyI!Otxq8Pk{z5)Egvn1%u()pu0&q)6+b^e@J zW@mk9c@KGPK3tDPp2VL~mNTKBjg`Z(5jCxWj$5ufg0EG@=4Vf)uM^XPuBuZz5<&WF<1=b8R_X|mq^xYs;bZzrpf z`-eThOh~WLr}3R0o3KBGK2Kgizk>OdaF1t}%o%8t1t#{`7{gwzTPY(Cu-^}9kKP1LtCGr#ztE9gKPG!B=f<9 z$#MHuQe(%eyDs}g{Aa{?2AuRuV*Nr97n{pPiN%JRKPap9F)V7msQVS%S7%8z(&Ij& z-d$hvvINg&$Z6hM_Z7|iS3+x`r64sS>vLZyq$?)q=N z*_4ID2cqM*UBkBxYemXymHF;U>ob&jAnM$m*rxkeb;bCnK(^wLooz?xUQOER;C-Xq zfU(dAbA{Ceq(lDd6V$wNNyslLXpf7GKb(RS;1YO1rS#faDo41eWzR(G#d z%Pc9*%QEIQX!kW8B+<^|Qs?dAI+fmy)Vp={JZ%HM9O#Kvyde8bXROj==I=$_9Fs3Z z`G5!rHF(Mx&-_u+hxy$ubOLpDh@IK~?Ck82k`7k!UBURQ1H6&-4LRb6R!6nX-4Uh# ze+}AY=z#(=3qJbZu+jG(EkDrYXt&WG^+R}ydcyVr|1mKE1JMXZvA}=$B%Cw|5zmRZ z=;c)&r;?~Rl?oi9si{Bo8f<;|UPRE@0J4aUwhdLwZ{)Tq0x-m|B#$+^eOQjJ=U)ZO zJhvBvC}4FX5ocW*E2oqIf3?ssXY6wke>n@`QaJ1r2We603f}Gq4KZi1^2-lb!e6jK z>T08%%XSXO3Dfmpg=^#ovu^mt(0Al=;&2#rY#c8TB{~GE$Gnf-*+Kv4H*nBjfwB2^ zWaytz#6OQMyKMGxZ8iE`4{&_x2Uo`Wi@EOZ?s%JyVCaMZq(i~)8Z79q{a_n*e}f0W z2SLk9@sO1qb3@(y=XFz;?UZe9*a11ZziP~8)2la9x7p9BA6Wk5ka>^9YrKE|ULc$J z|DM8c@;*a8aSeWR^_z?P@H0bHyq3L>zj^jP!3PRtZgB0ldY|M7FW=7)o@@QfO(6Rh zzqS5(eogkD{m1)6^p|a_{Q37pf50c-f6wJ_p8Yo6=juKe_ql$bm)_^^dF6dxywAmj z%KO>)i}&Sk?S7?yUg@7#`sbDYi|4uMKl=^DjC%h~gDcREyVL?j)_eFEV*H3F>_%R9 z%4qkBpe+Vv1B)#mfcR3Hcmlrp7xK&sW1YkNdU@-u&9UDFuhD(H!)Bb~U{M*@GPOb(`YC zjCL%P^{VFxk%|xS*m}viO+@?%;7AgQobcl8xx-wm&s3e|E_DfNc)pYqI-9+n4n6k3 zLsIA+ZF_+=O)I(g!k#hYf9!#V&Vk-x^)nkc=opTc*CgyAsH#>7YK-Rsf4L^Xb1@gP_9;26 zg`m~7N1vFg2XJJLjQE!3F=KW1YQve(#%srD>5;R(3YZq~4`9Oc-}3W~;;)=3{a&+~ zWb#GKze=ChB%}w=m*cjg16z(fKUU^yW$~VI0na8P7;^&BQj+ftVFTd?I-u&7YW4`z zwPP^laRDd$H`OjLfAvKS{gc!Em+nQ}oMT;yXOm}r>hw?Y&A|=T@X9X58S2;c_?cro zwCaD*c;Ug>jM{mmr96Jq`K1z$ZD_u3g1Ly5GWDGv%)8!qTIz$SzD?KDQs31$t&$9& z_Jp2ugXcr#`$E=cXUngd?WM}+Wvn1$MZNMW|AaYz{aIH4f75IHdy=+PVu92-wrN_- ziHYw`oP(I4(mA+t|Dd1rH9kKHSB>pM`2tA{7?HSWbg0CX$Et3WDV{vu2JM=Bjk@c` z`c#hAkF*I^{9WpNY_c-Z5_PV&MoSK_RnN=Tc$D#+5Ts!;9?aaYIGd!>@8LBA-#Zp( zhJ8nNcHUcUe|)s8TU(-~S+1z$Z$bvDdAG!S&+`jrIY`)#$Vt&;cA1|f?^S=-$v?;B zb{4l4e6P%%EuF_bo*a!!HOcBmKWsIo(DaN>kE?TDw??woO$|>tz@;irC}JPWFjTK2 zPpDoyhbPqat;ZFac!GXCRk8YqedDo6*moq(rH}M8e|%NlDpC`>Vt7W;8mZGbxz9$A zUkTo2_@32K+KW_w4r|`&etJ_} zWgT9e~K<+yqPJs80B^YuRh=tujSPehEwN3={dQRjjPW1KpfZ3u_|4cI{yxE9OE~n zZu7aXaNp7WF0ZY9CijLZ2Y|PD0lP3n+gK7t*s^5pfdLYmRXU*aj@si|{eeN6;sLgE zYIt7xS`B_@xz{6;zYYDeNC!-QkepW3`Lkuaenx$}VITK55AOO(7NjgXplOx+ik@kD zOO(TB((jbaI7r_e$l={k_@|3Dvv|Ux^AOhU7o~5Oa!m$G-c>MYIVb8`WXLjP z=bz9q99x~7{(UXzlvpc9e-TFSNn_k-{2u@S0RR8ua!}v`00030{{sM#tcXM~vj70K C)a|GM diff --git a/Logic/bus68030.exf b/Logic/bus68030.exf index 05fea8f..91b5bf4 100644 --- a/Logic/bus68030.exf +++ b/Logic/bus68030.exf @@ -50,384 +50,386 @@ Section Member Rename Array-Notation Array Number Port FC_0_ FC[0] 3 1 End Section Cross Reference File -Design 'BUS68030' created Sun Jan 24 16:20:54 2016 +Design 'BUS68030' created Mon Jan 25 07:24:19 2016 Type New Name Original Name // ---------------------------------------------------------------------- - Inst i_z3U3U AS_030 - Inst i_z3V3V AS_000 - Inst i_z4040 RW_000 - Inst i_z4141 DS_030 - Inst i_z4242 UDS_000 - Inst i_z4343 LDS_000 - Inst i_z5454 A0 - Inst i_z5757 BERR - Inst i_z5P5P DSACK1 - Inst i_z6060 RESET - Inst i_z6161 RW - Inst i_z6868 CIIN - Inst cpu_est_0_1__r cpu_est_0_1_.r - Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] - Inst cpu_est_0_1__m cpu_est_0_1_.m - Inst SM_AMIGA_srsts_i_0_0_a3_0_4_ SM_AMIGA_srsts_i_0_0_a3_0[4] - Inst cpu_est_0_1__n cpu_est_0_1_.n - Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] - Inst cpu_est_0_1__p cpu_est_0_1_.p - Inst SM_AMIGA_srsts_i_0_0_a3_0_ SM_AMIGA_srsts_i_0_0_a3[0] - Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] - Inst SM_AMIGA_srsts_i_0_0_a3_5_ SM_AMIGA_srsts_i_0_0_a3[5] + Inst i_z3S3S AS_030 + Inst i_z3T3T AS_000 + Inst i_z3U3U RW_000 + Inst i_z3V3V DS_030 + Inst i_z4040 UDS_000 + Inst i_z4141 LDS_000 + Inst i_z5252 A0 + Inst i_z5555 BERR + Inst i_z5N5N DSACK1 + Inst i_z5U5U RESET + Inst i_z5V5V RW + Inst i_z6666 CIIN + Inst SM_AMIGA_srsts_i_i_a3_0_2_ SM_AMIGA_srsts_i_i_a3_0[2] Inst pos_clk_un8_bg_030 pos_clk.un8_bg_030 - Inst IPL_030_0_2__r IPL_030_0_2_.r - Inst IPL_030_0_2__m IPL_030_0_2_.m - Inst IPL_030_0_2__n IPL_030_0_2_.n - Inst pos_clk_un37_as_030_d0_i_a2_1_1 pos_clk.un37_as_030_d0_i_a2_1_1 - Inst IPL_030_0_2__p IPL_030_0_2_.p - Inst pos_clk_un37_as_030_d0_i_a2_1_2 pos_clk.un37_as_030_d0_i_a2_1_2 - Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] - Inst DSACK1_INT_0_r DSACK1_INT_0.r - Inst pos_clk_un7_clk_000_pe_0_0_a3_0_2 pos_clk.un7_clk_000_pe_0_0_a3_0_2 - Inst SM_AMIGA_srsts_i_0_0_o2_1_ SM_AMIGA_srsts_i_0_0_o2[1] - Inst DSACK1_INT_0_m DSACK1_INT_0.m - Inst pos_clk_un7_clk_000_pe_0_0_a3_0 pos_clk.un7_clk_000_pe_0_0_a3_0 - Inst DSACK1_INT_0_n DSACK1_INT_0.n - Inst pos_clk_un7_clk_000_pe_0_0_a3_1 pos_clk.un7_clk_000_pe_0_0_a3_1 - Inst pos_clk_un3_as_030_d0_0_o2_0_o3 pos_clk.un3_as_030_d0_0_o2_0_o3 - Inst DSACK1_INT_0_p DSACK1_INT_0.p - Inst pos_clk_un7_clk_000_pe_0_0_a3_2 pos_clk.un7_clk_000_pe_0_0_a3_2 - Inst pos_clk_un24_bgack_030_int_i_0_i_a3_i_x2 pos_clk.un24_bgack_030_int_i_0_i_a3_i_x2 - Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r - Inst pos_clk_un7_clk_000_pe_0_0_a3 pos_clk.un7_clk_000_pe_0_0_a3 - Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m - Inst SM_AMIGA_nss_i_i_0_0_a3_2_1_0_ SM_AMIGA_nss_i_i_0_0_a3_2_1[0] - Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n - Inst SM_AMIGA_nss_i_i_0_0_a3_2_2_0_ SM_AMIGA_nss_i_i_0_0_a3_2_2[0] - Inst pos_clk_un37_as_030_d0_i_i pos_clk.un37_as_030_d0_i_i - Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p - Inst SM_AMIGA_nss_i_i_0_0_a3_2_3_0_ SM_AMIGA_nss_i_i_0_0_a3_2_3[0] - Inst pos_clk_DS_000_DMA_4_f0_i_a2_i pos_clk.DS_000_DMA_4_f0_i_a2_i - Inst LDS_000_INT_0_r LDS_000_INT_0.r - Inst SM_AMIGA_nss_i_i_0_0_a3_2_0_ SM_AMIGA_nss_i_i_0_0_a3_2[0] - Inst LDS_000_INT_0_m LDS_000_INT_0.m - Inst pos_clk_CLK_000_P_SYNC_2_0_a2_i_0_ pos_clk.CLK_000_P_SYNC_2_0_a2_i[0] - Inst LDS_000_INT_0_n LDS_000_INT_0.n - Inst SM_AMIGA_srsts_i_0_0_0_ SM_AMIGA_srsts_i_0_0[0] - Inst LDS_000_INT_0_p LDS_000_INT_0.p - Inst pos_clk_un24_bgack_030_int_i_0_i_a3_i_a2 pos_clk.un24_bgack_030_int_i_0_i_a3_i_a2 - Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] - Inst SM_AMIGA_srsts_i_0_0_a3_1_ SM_AMIGA_srsts_i_0_0_a3[1] - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__r un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.r - Inst SM_AMIGA_nss_i_i_0_0_o2_0_ SM_AMIGA_nss_i_i_0_0_o2[0] - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__m un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.m - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.n - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0__p un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.p - Inst SM_AMIGA_srsts_i_0_0_1_3_ SM_AMIGA_srsts_i_0_0_1[3] - Inst SM_AMIGA_srsts_i_0_0_2_3_ SM_AMIGA_srsts_i_0_0_2[3] - Inst SM_AMIGA_srsts_i_0_0_3_ SM_AMIGA_srsts_i_0_0[3] - Inst SM_AMIGA_nss_i_i_0_0_1_0_ SM_AMIGA_nss_i_i_0_0_1[0] - Inst SM_AMIGA_nss_i_i_0_0_2_0_ SM_AMIGA_nss_i_i_0_0_2[0] - Inst SM_AMIGA_i_i_7_ SM_AMIGA_i_i[7] - Inst SM_AMIGA_nss_i_i_0_0_3_0_ SM_AMIGA_nss_i_i_0_0_3[0] - Inst SM_AMIGA_nss_i_i_0_0_0_ SM_AMIGA_nss_i_i_0_0[0] - Inst SM_AMIGA_srsts_i_0_0_m3_1__r SM_AMIGA_srsts_i_0_0_m3_1_.r - Inst SM_AMIGA_srsts_i_i_0_a3_0_1_2_ SM_AMIGA_srsts_i_i_0_a3_0_1[2] - Inst SM_AMIGA_srsts_i_0_0_m3_1__m SM_AMIGA_srsts_i_0_0_m3_1_.m - Inst SM_AMIGA_srsts_i_i_0_a3_0_2_2_ SM_AMIGA_srsts_i_i_0_a3_0_2[2] - Inst SM_AMIGA_srsts_i_0_0_m3_1__n SM_AMIGA_srsts_i_0_0_m3_1_.n - Inst SM_AMIGA_srsts_i_i_0_a3_0_2_ SM_AMIGA_srsts_i_i_0_a3_0[2] - Inst SM_AMIGA_srsts_i_0_0_m3_1__p SM_AMIGA_srsts_i_0_0_m3_1_.p - Inst pos_clk_un7_clk_000_pe_0_0_a3_0_1 pos_clk.un7_clk_000_pe_0_0_a3_0_1 - Inst pos_clk_CYCLE_DMA_5_1_i_0_x2 pos_clk.CYCLE_DMA_5_1_i_0_x2 - Inst pos_clk_CYCLE_DMA_5_0_i_0_x2 pos_clk.CYCLE_DMA_5_0_i_0_x2 - Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] - Inst SM_AMIGA_6_ SM_AMIGA[6] - Inst SM_AMIGA_srsts_i_0_0_m3_5__r SM_AMIGA_srsts_i_0_0_m3_5_.r - Inst SM_AMIGA_5_ SM_AMIGA[5] - Inst SM_AMIGA_srsts_i_0_0_m3_5__m SM_AMIGA_srsts_i_0_0_m3_5_.m - Inst SM_AMIGA_4_ SM_AMIGA[4] - Inst SM_AMIGA_srsts_i_0_0_m3_5__n SM_AMIGA_srsts_i_0_0_m3_5_.n - Inst SM_AMIGA_3_ SM_AMIGA[3] - Inst pos_clk_un8_bg_030_i pos_clk.un8_bg_030_i - Inst SM_AMIGA_srsts_i_0_0_m3_5__p SM_AMIGA_srsts_i_0_0_m3_5_.p - Inst SM_AMIGA_2_ SM_AMIGA[2] - Inst pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_1 pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3_1 - Inst SM_AMIGA_srsts_i_0_0_o2_0_ SM_AMIGA_srsts_i_0_0_o2[0] - Inst SM_AMIGA_1_ SM_AMIGA[1] - Inst pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_2 pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3_2 - Inst SM_AMIGA_srsts_i_0_0_o2_5_ SM_AMIGA_srsts_i_0_0_o2[5] - Inst SM_AMIGA_0_ SM_AMIGA[0] - Inst pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3 pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3 - Inst cpu_est_2_ cpu_est[2] - Inst pos_clk_un8_sm_amiga_1 pos_clk.un8_sm_amiga_1 - Inst pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3 pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3 - Inst cpu_est_3_ cpu_est[3] - Inst pos_clk_un8_sm_amiga pos_clk.un8_sm_amiga - Inst pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3 pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3 - Inst IPL_030DFF_0_ IPL_030DFF[0] - Inst SM_AMIGA_srsts_i_0_0_a2_0_1_3_ SM_AMIGA_srsts_i_0_0_a2_0_1[3] - Inst IPL_030DFF_1_ IPL_030DFF[1] - Inst SM_AMIGA_srsts_i_0_0_a2_0_2_3_ SM_AMIGA_srsts_i_0_0_a2_0_2[3] - Inst pos_clk_un6_bgack_000_0_0_a2 pos_clk.un6_bgack_000_0_0_a2 - Inst IPL_030DFF_2_ IPL_030DFF[2] - Inst SM_AMIGA_srsts_i_0_0_a2_0_3_ SM_AMIGA_srsts_i_0_0_a2_0[3] - Inst IPL_D0_0_ IPL_D0[0] - Inst SM_AMIGA_nss_i_i_0_0_o2_1_0_0_ SM_AMIGA_nss_i_i_0_0_o2_1_0[0] - Inst IPL_D0_1_ IPL_D0[1] - Inst pos_clk_un6_bgack_000_0_0 pos_clk.un6_bgack_000_0_0 - Inst IPL_D0_2_ IPL_D0[2] - Inst CLK_000_N_SYNC_5_ CLK_000_N_SYNC[5] - Inst SIZE_c_i_1_ SIZE_c_i[1] - Inst pos_clk_SIZE_DMA_6_0_0_0_0_ pos_clk.SIZE_DMA_6_0_0_0[0] - Inst CLK_000_N_SYNC_6_ CLK_000_N_SYNC[6] - Inst pos_clk_SIZE_DMA_6_0_0_0_1_ pos_clk.SIZE_DMA_6_0_0_0[1] - Inst CLK_000_N_SYNC_7_ CLK_000_N_SYNC[7] - Inst IPL_030_1_i_0_ IPL_030_1_i[0] - Inst CLK_000_N_SYNC_8_ CLK_000_N_SYNC[8] - Inst IPL_c_i_0_ IPL_c_i[0] - Inst pos_clk_SIZE_DMA_6_0_0_0_o2_0_ pos_clk.SIZE_DMA_6_0_0_0_o2[0] - Inst CLK_000_N_SYNC_9_ CLK_000_N_SYNC[9] - Inst IPL_D0_0_i_0_ IPL_D0_0_i[0] - Inst CLK_000_N_SYNC_10_ CLK_000_N_SYNC[10] - Inst CLK_000_N_SYNC_11_ CLK_000_N_SYNC[11] - Inst CYCLE_DMA_0_ CYCLE_DMA[0] - Inst CYCLE_DMA_1_ CYCLE_DMA[1] - Inst SIZE_DMA_0_ SIZE_DMA[0] - Inst SIZE_DMA_0_0__r SIZE_DMA_0_0_.r - Inst SIZE_DMA_1_ SIZE_DMA[1] - Inst SIZE_DMA_0_0__m SIZE_DMA_0_0_.m - Inst cpu_est_0_ cpu_est[0] - Inst SIZE_DMA_0_0__n SIZE_DMA_0_0_.n - Inst cpu_est_1_ cpu_est[1] - Inst SIZE_DMA_0_0__p SIZE_DMA_0_0_.p - Inst CLK_000_P_SYNC_1_ CLK_000_P_SYNC[1] - Inst SIZE_DMA_0_1__r SIZE_DMA_0_1_.r - Inst CLK_000_P_SYNC_2_ CLK_000_P_SYNC[2] - Inst SIZE_DMA_0_1__m SIZE_DMA_0_1_.m - Inst CLK_000_P_SYNC_3_ CLK_000_P_SYNC[3] - Inst SM_AMIGA_srsts_i_i_0_i_6_ SM_AMIGA_srsts_i_i_0_i[6] - Inst SIZE_DMA_0_1__n SIZE_DMA_0_1_.n - Inst CLK_000_P_SYNC_4_ CLK_000_P_SYNC[4] - Inst SIZE_DMA_0_1__p SIZE_DMA_0_1_.p - Inst CLK_000_P_SYNC_5_ CLK_000_P_SYNC[5] - Inst A_i_18_ A_i[18] - Inst CLK_000_P_SYNC_6_ CLK_000_P_SYNC[6] + Inst SIZE_DMA_i_1_ SIZE_DMA_i[1] Inst A_i_19_ A_i[19] - Inst CLK_000_P_SYNC_7_ CLK_000_P_SYNC[7] - Inst A_i_16_ A_i[16] - Inst CLK_000_P_SYNC_8_ CLK_000_P_SYNC[8] - Inst SM_AMIGA_srsts_i_i_0_i_2_ SM_AMIGA_srsts_i_i_0_i[2] - Inst CLK_000_P_SYNC_9_ CLK_000_P_SYNC[9] - Inst CLK_000_N_SYNC_0_ CLK_000_N_SYNC[0] - Inst CLK_000_N_SYNC_1_ CLK_000_N_SYNC[1] - Inst cpu_est_2_0_0_0_i_1_ cpu_est_2_0_0_0_i[1] - Inst CLK_000_N_SYNC_2_ CLK_000_N_SYNC[2] - Inst pos_clk_SIZE_DMA_6_0_0_0_a3_0_ pos_clk.SIZE_DMA_6_0_0_0_a3[0] - Inst CLK_000_N_SYNC_3_ CLK_000_N_SYNC[3] - Inst pos_clk_SIZE_DMA_6_0_0_0_a3_1_ pos_clk.SIZE_DMA_6_0_0_0_a3[1] - Inst CLK_000_N_SYNC_4_ CLK_000_N_SYNC[4] - Inst pos_clk_un7_clk_000_pe_0_0_i pos_clk.un7_clk_000_pe_0_0_i - Inst pos_clk_A0_DMA_3_0_a2_0_a3 pos_clk.A0_DMA_3_0_a2_0_a3 - Inst RST_DLY_0_ RST_DLY[0] - Inst RST_DLY_1_ RST_DLY[1] - Inst cpu_est_2_0_0_0_i_3_ cpu_est_2_0_0_0_i[3] - Inst RST_DLY_2_ RST_DLY[2] - Inst CLK_000_P_SYNC_0_ CLK_000_P_SYNC[0] - Inst cpu_est_2_0_0_0_i_2_ cpu_est_2_0_0_0_i[2] + Inst A_i_18_ A_i[18] + Inst SIZE_DMA_0_1__r SIZE_DMA_0_1_.r + Inst SIZE_DMA_0_1__m SIZE_DMA_0_1_.m + Inst SIZE_DMA_0_1__n SIZE_DMA_0_1_.n + Inst SIZE_DMA_0_1__p SIZE_DMA_0_1_.p + Inst DS_000_DMA_0_r DS_000_DMA_0.r + Inst SIZE_DMA_0_0__r SIZE_DMA_0_0_.r + Inst DS_000_DMA_0_m DS_000_DMA_0.m + Inst SIZE_DMA_0_0__m SIZE_DMA_0_0_.m + Inst DS_000_DMA_0_n DS_000_DMA_0.n + Inst SIZE_DMA_0_0__n SIZE_DMA_0_0_.n + Inst DS_000_DMA_0_p DS_000_DMA_0.p + Inst SIZE_DMA_0_0__p SIZE_DMA_0_0_.p Inst AS_000_DMA_0_r AS_000_DMA_0.r - Inst pos_clk_un6_bg_030_0_a2_i_i pos_clk.un6_bg_030_0_a2_i_i Inst AS_000_DMA_0_m AS_000_DMA_0.m Inst AS_000_DMA_0_n AS_000_DMA_0.n Inst AS_000_DMA_0_p AS_000_DMA_0.p - Inst BGACK_030_INT_0_r BGACK_030_INT_0.r - Inst BGACK_030_INT_0_m BGACK_030_INT_0.m - Inst BGACK_030_INT_0_n BGACK_030_INT_0.n - Inst BGACK_030_INT_0_p BGACK_030_INT_0.p + Inst pos_clk_un7_clk_000_pe_0_0_a3_0_1 pos_clk.un7_clk_000_pe_0_0_a3_0_1 + Inst LDS_000_INT_0_r LDS_000_INT_0.r + Inst pos_clk_un7_clk_000_pe_0_0_a3_0_2 pos_clk.un7_clk_000_pe_0_0_a3_0_2 + Inst LDS_000_INT_0_m LDS_000_INT_0.m + Inst pos_clk_un7_clk_000_pe_0_0_a3_0 pos_clk.un7_clk_000_pe_0_0_a3_0 + Inst LDS_000_INT_0_n LDS_000_INT_0.n + Inst pos_clk_un7_clk_000_pe_0_0_a3_1 pos_clk.un7_clk_000_pe_0_0_a3_1 + Inst LDS_000_INT_0_p LDS_000_INT_0.p + Inst pos_clk_un7_clk_000_pe_0_0_a3_2 pos_clk.un7_clk_000_pe_0_0_a3_2 Inst RW_000_DMA_0_r RW_000_DMA_0.r + Inst pos_clk_un7_clk_000_pe_0_0_a3_3 pos_clk.un7_clk_000_pe_0_0_a3_3 Inst RW_000_DMA_0_m RW_000_DMA_0.m + Inst pos_clk_un7_clk_000_pe_0_0_a3 pos_clk.un7_clk_000_pe_0_0_a3 + Inst SM_AMIGA_srsts_i_0_a2_0_ SM_AMIGA_srsts_i_0_a2[0] Inst RW_000_DMA_0_n RW_000_DMA_0.n + Inst SM_AMIGA_nss_i_i_0_a3_2_1_0_ SM_AMIGA_nss_i_i_0_a3_2_1[0] Inst RW_000_DMA_0_p RW_000_DMA_0.p - Inst A0_DMA_0_r A0_DMA_0.r - Inst A0_DMA_0_m A0_DMA_0.m - Inst cpu_est_2_0_0_0_o2_i_2_ cpu_est_2_0_0_0_o2_i[2] - Inst A0_DMA_0_n A0_DMA_0.n - Inst cpu_est_2_0_0_0_o2_i_3_ cpu_est_2_0_0_0_o2_i[3] - Inst A0_DMA_0_p A0_DMA_0.p - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_r AMIGA_BUS_ENABLE_DMA_LOW_0.r - Inst SM_AMIGA_nss_i_i_0_0_o2_i_0_ SM_AMIGA_nss_i_i_0_0_o2_i[0] - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_m AMIGA_BUS_ENABLE_DMA_LOW_0.m - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_n AMIGA_BUS_ENABLE_DMA_LOW_0.n - Inst SM_AMIGA_nss_i_i_0_0_o2_3_i_0_ SM_AMIGA_nss_i_i_0_0_o2_3_i[0] - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_p AMIGA_BUS_ENABLE_DMA_LOW_0.p - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_r AMIGA_BUS_ENABLE_DMA_HIGH_0.r - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_m AMIGA_BUS_ENABLE_DMA_HIGH_0.m - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.n - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_p AMIGA_BUS_ENABLE_DMA_HIGH_0.p - Inst SM_AMIGA_nss_i_i_0_0_o2_2_i_0_ SM_AMIGA_nss_i_i_0_0_o2_2_i[0] - Inst SM_AMIGA_srsts_i_0_0_o2_i_4_ SM_AMIGA_srsts_i_0_0_o2_i[4] - Inst SM_AMIGA_srsts_i_i_0_o2_i_2_ SM_AMIGA_srsts_i_i_0_o2_i[2] - Inst SM_AMIGA_srsts_i_i_0_o2_i_6_ SM_AMIGA_srsts_i_i_0_o2_i[6] - Inst SM_AMIGA_nss_i_i_0_0_o2_1_i_0_ SM_AMIGA_nss_i_i_0_0_o2_1_i[0] - Inst SM_AMIGA_srsts_i_0_0_o2_0_i_3_ SM_AMIGA_srsts_i_0_0_o2_0_i[3] + Inst SM_AMIGA_nss_i_i_0_a3_2_2_0_ SM_AMIGA_nss_i_i_0_a3_2_2[0] + Inst SM_AMIGA_nss_i_i_0_a3_2_3_0_ SM_AMIGA_nss_i_i_0_a3_2_3[0] + Inst SM_AMIGA_srsts_i_0_a3_4_ SM_AMIGA_srsts_i_0_a3[4] + Inst SM_AMIGA_srsts_i_i_a2_2_2_ SM_AMIGA_srsts_i_i_a2_2[2] + Inst SM_AMIGA_srsts_i_i_a2_2_ SM_AMIGA_srsts_i_i_a2[2] + Inst pos_clk_SIZE_DMA_6_0_0_a3_1_ pos_clk.SIZE_DMA_6_0_0_a3[1] + Inst SM_AMIGA_nss_i_i_0_o2_1_0_0_ SM_AMIGA_nss_i_i_0_o2_1_0[0] + Inst pos_clk_SIZE_DMA_6_0_0_a3_0_ pos_clk.SIZE_DMA_6_0_0_a3[0] + Inst SM_AMIGA_nss_i_i_0_o2_0_ SM_AMIGA_nss_i_i_0_o2[0] + Inst pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a3 pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a3 + Inst pos_clk_un24_bgack_030_int_i_i_a4_i_o3_1 pos_clk.un24_bgack_030_int_i_i_a4_i_o3_1 + Inst pos_clk_un24_bgack_030_int_i_i_a4_i_o3_2 pos_clk.un24_bgack_030_int_i_i_a4_i_o3_2 + Inst pos_clk_un37_as_030_d0_i_a3_0 pos_clk.un37_as_030_d0_i_a3_0 + Inst pos_clk_un24_bgack_030_int_i_i_a4_i_o3 pos_clk.un24_bgack_030_int_i_i_a4_i_o3 + Inst pos_clk_un37_as_030_d0_i_a3 pos_clk.un37_as_030_d0_i_a3 + Inst SM_AMIGA_srsts_i_0_0_1_3_ SM_AMIGA_srsts_i_0_0_1[3] + Inst SM_AMIGA_srsts_i_0_0_2_3_ SM_AMIGA_srsts_i_0_0_2[3] + Inst SM_AMIGA_srsts_i_0_0_3_ SM_AMIGA_srsts_i_0_0[3] + Inst SIZE_DMA_i_0_ SIZE_DMA_i[0] + Inst SM_AMIGA_nss_i_i_0_1_0_ SM_AMIGA_nss_i_i_0_1[0] + Inst SM_AMIGA_nss_i_i_0_2_0_ SM_AMIGA_nss_i_i_0_2[0] + Inst pos_clk_un3_as_030_d0_0_o3 pos_clk.un3_as_030_d0_0_o3 + Inst SM_AMIGA_nss_i_i_0_3_0_ SM_AMIGA_nss_i_i_0_3[0] + Inst SM_AMIGA_nss_i_i_0_0_ SM_AMIGA_nss_i_i_0[0] + Inst pos_clk_un6_bgack_000_0_0 pos_clk.un6_bgack_000_0_0 + Inst SM_AMIGA_5_ SM_AMIGA[5] + Inst pos_clk_SIZE_DMA_6_0_0_1_ pos_clk.SIZE_DMA_6_0_0[1] + Inst SM_AMIGA_4_ SM_AMIGA[4] + Inst pos_clk_un6_bg_030_i pos_clk.un6_bg_030_i + Inst pos_clk_SIZE_DMA_6_0_0_0_ pos_clk.SIZE_DMA_6_0_0[0] + Inst SM_AMIGA_3_ SM_AMIGA[3] + Inst pos_clk_un8_bg_030_i pos_clk.un8_bg_030_i + Inst SM_AMIGA_2_ SM_AMIGA[2] + Inst pos_clk_un37_as_030_d0_i_o2_1 pos_clk.un37_as_030_d0_i_o2_1 + Inst SM_AMIGA_1_ SM_AMIGA[1] + Inst pos_clk_un37_as_030_d0_i_o2 pos_clk.un37_as_030_d0_i_o2 + Inst pos_clk_un37_as_030_d0_i pos_clk.un37_as_030_d0_i + Inst SM_AMIGA_0_ SM_AMIGA[0] + Inst A_i_16_ A_i[16] + Inst IPL_030DFF_0_ IPL_030DFF[0] + Inst pos_clk_un6_bgack_000_0_0_a2 pos_clk.un6_bgack_000_0_0_a2 + Inst IPL_030DFF_1_ IPL_030DFF[1] + Inst IPL_030DFF_2_ IPL_030DFF[2] + Inst pos_clk_un8_sm_amiga_1 pos_clk.un8_sm_amiga_1 + Inst IPL_D0_0_ IPL_D0[0] + Inst pos_clk_un8_sm_amiga pos_clk.un8_sm_amiga + Inst SM_AMIGA_srsts_i_0_m2_1__r SM_AMIGA_srsts_i_0_m2_1_.r + Inst IPL_D0_1_ IPL_D0[1] + Inst SM_AMIGA_srsts_i_i_a2_1_2_ SM_AMIGA_srsts_i_i_a2_1[2] + Inst SM_AMIGA_srsts_i_0_m2_1__m SM_AMIGA_srsts_i_0_m2_1_.m + Inst IPL_D0_2_ IPL_D0[2] + Inst SM_AMIGA_srsts_i_0_m2_1__n SM_AMIGA_srsts_i_0_m2_1_.n + Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] + Inst SM_AMIGA_srsts_i_0_m2_1__p SM_AMIGA_srsts_i_0_m2_1_.p + Inst SM_AMIGA_6_ SM_AMIGA[6] + Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] + Inst CLK_000_N_SYNC_9_ CLK_000_N_SYNC[9] + Inst SM_AMIGA_srsts_i_0_o2_4_ SM_AMIGA_srsts_i_0_o2[4] + Inst CLK_000_N_SYNC_10_ CLK_000_N_SYNC[10] + Inst CLK_000_N_SYNC_11_ CLK_000_N_SYNC[11] + Inst pos_clk_CYCLE_DMA_5_0_i_o3 pos_clk.CYCLE_DMA_5_0_i_o3 + Inst CYCLE_DMA_0_ CYCLE_DMA[0] + Inst SM_AMIGA_srsts_i_0_o3_0_ SM_AMIGA_srsts_i_0_o3[0] + Inst CYCLE_DMA_1_ CYCLE_DMA[1] + Inst SM_AMIGA_srsts_i_i_o2_2_ SM_AMIGA_srsts_i_i_o2[2] + Inst SIZE_DMA_0_ SIZE_DMA[0] + Inst SM_AMIGA_srsts_i_i_0_o2_6_ SM_AMIGA_srsts_i_i_0_o2[6] + Inst SIZE_DMA_1_ SIZE_DMA[1] + Inst pos_clk_SIZE_DMA_6_0_0_o2_0_ pos_clk.SIZE_DMA_6_0_0_o2[0] + Inst cpu_est_0_ cpu_est[0] + Inst SM_AMIGA_i_i_7_ SM_AMIGA_i_i[7] + Inst cpu_est_1_ cpu_est[1] + Inst SM_AMIGA_nss_i_i_0_o2_4_0_ SM_AMIGA_nss_i_i_0_o2_4[0] + Inst cpu_est_2_ cpu_est[2] + Inst SM_AMIGA_srsts_i_0_o2_0_0_ SM_AMIGA_srsts_i_0_o2_0[0] + Inst cpu_est_3_ cpu_est[3] + Inst CLK_000_P_SYNC_5_ CLK_000_P_SYNC[5] + Inst CLK_000_P_SYNC_6_ CLK_000_P_SYNC[6] + Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r + Inst CLK_000_P_SYNC_7_ CLK_000_P_SYNC[7] + Inst IPL_030_1_i_1_ IPL_030_1_i[1] + Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m + Inst CLK_000_P_SYNC_8_ CLK_000_P_SYNC[8] + Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n + Inst CLK_000_P_SYNC_9_ CLK_000_P_SYNC[9] + Inst IPL_030_1_i_0_ IPL_030_1_i[0] + Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p + Inst CLK_000_N_SYNC_0_ CLK_000_N_SYNC[0] + Inst IPL_c_i_2_ IPL_c_i[2] + Inst pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk.CYCLE_DMA_5_0_i_x2 + Inst CLK_000_N_SYNC_1_ CLK_000_N_SYNC[1] + Inst IPL_D0_0_i_2_ IPL_D0_0_i[2] + Inst SM_AMIGA_srsts_i_0_m2_5__r SM_AMIGA_srsts_i_0_m2_5_.r + Inst CLK_000_N_SYNC_2_ CLK_000_N_SYNC[2] + Inst IPL_c_i_1_ IPL_c_i[1] + Inst SM_AMIGA_srsts_i_0_m2_5__m SM_AMIGA_srsts_i_0_m2_5_.m + Inst CLK_000_N_SYNC_3_ CLK_000_N_SYNC[3] + Inst IPL_D0_0_i_1_ IPL_D0_0_i[1] + Inst SM_AMIGA_srsts_i_0_m2_5__n SM_AMIGA_srsts_i_0_m2_5_.n + Inst CLK_000_N_SYNC_4_ CLK_000_N_SYNC[4] + Inst IPL_c_i_0_ IPL_c_i[0] + Inst SM_AMIGA_srsts_i_0_m2_5__p SM_AMIGA_srsts_i_0_m2_5_.p + Inst CLK_000_N_SYNC_5_ CLK_000_N_SYNC[5] + Inst IPL_D0_0_i_0_ IPL_D0_0_i[0] + Inst cpu_est_0_0_x2_0_ cpu_est_0_0_x2[0] + Inst CLK_000_N_SYNC_6_ CLK_000_N_SYNC[6] + Inst pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk.CYCLE_DMA_5_1_i_x2 + Inst CLK_000_N_SYNC_7_ CLK_000_N_SYNC[7] + Inst CLK_000_N_SYNC_8_ CLK_000_N_SYNC[8] + Inst cpu_est_i_2_ cpu_est_i[2] + Inst RST_DLY_1_ RST_DLY[1] + Inst cpu_est_i_1_ cpu_est_i[1] + Inst RST_DLY_2_ RST_DLY[2] + Inst cpu_est_i_0_ cpu_est_i[0] + Inst CLK_000_P_SYNC_0_ CLK_000_P_SYNC[0] + Inst cpu_est_i_3_ cpu_est_i[3] + Inst CLK_000_P_SYNC_1_ CLK_000_P_SYNC[1] + Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] + Inst CLK_000_P_SYNC_2_ CLK_000_P_SYNC[2] + Inst CLK_000_P_SYNC_3_ CLK_000_P_SYNC[3] + Inst SM_AMIGA_srsts_i_i_i_2_ SM_AMIGA_srsts_i_i_i[2] + Inst A_i_24_ A_i[24] + Inst CLK_000_P_SYNC_4_ CLK_000_P_SYNC[4] + Inst RST_DLY_0_ RST_DLY[0] + Inst pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3 pos_clk.un5_bgack_030_int_d_i_0_a4_i_o3 + Inst SM_AMIGA_nss_i_i_0_o2_5_0_ SM_AMIGA_nss_i_i_0_o2_5[0] + Inst SM_AMIGA_nss_i_i_0_o2_0_0_ SM_AMIGA_nss_i_i_0_o2_0[0] + Inst SM_AMIGA_srsts_i_i_o2_0_2_ SM_AMIGA_srsts_i_i_o2_0[2] + Inst SM_AMIGA_nss_i_i_0_o2_1_0_ SM_AMIGA_nss_i_i_0_o2_1[0] + Inst SM_AMIGA_srsts_i_0_o2_5_ SM_AMIGA_srsts_i_0_o2[5] + Inst SIZE_c_i_1_ SIZE_c_i[1] + Inst SM_AMIGA_srsts_i_0_o2_1_ SM_AMIGA_srsts_i_0_o2[1] + Inst SM_AMIGA_nss_i_i_0_o2_2_0_ SM_AMIGA_nss_i_i_0_o2_2[0] + Inst IPL_030_1_i_2_ IPL_030_1_i[2] + Inst pos_clk_DS_000_DMA_4_f0_i_a2_i_i pos_clk.DS_000_DMA_4_f0_i_a2_i_i + Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] + Inst SM_AMIGA_srsts_i_0_o2_0_ SM_AMIGA_srsts_i_0_o2[0] + Inst SM_AMIGA_srsts_i_0_0_o2_3_ SM_AMIGA_srsts_i_0_0_o2[3] + Inst SM_AMIGA_srsts_i_i_0_i_6_ SM_AMIGA_srsts_i_i_0_i[6] + Inst pos_clk_un24_bgack_030_int_i_i_a4_i_x2 pos_clk.un24_bgack_030_int_i_i_a4_i_x2 + Inst pos_clk_CLK_000_N_SYNC_2_0_a4_0_o2_0_ pos_clk.CLK_000_N_SYNC_2_0_a4_0_o2[0] Inst RST_DLY_i_0_ RST_DLY_i[0] Inst RST_DLY_i_1_ RST_DLY_i[1] - Inst SIZE_0_ SIZE[0] - Inst SM_AMIGA_nss_i_i_0_0_o2_0_i_0_ SM_AMIGA_nss_i_i_0_0_o2_0_i[0] - Inst SIZE_1_ SIZE[1] - Inst CLK_000_N_SYNC_i_10_ CLK_000_N_SYNC_i[10] - Inst RST_DLY_i_2_ RST_DLY_i[2] - Inst cpu_est_i_3_ cpu_est_i[3] - Inst cpu_est_i_0_ cpu_est_i[0] - Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] - Inst A_i_24_ A_i[24] - Inst SIZE_DMA_i_0_ SIZE_DMA_i[0] - Inst SIZE_DMA_i_1_ SIZE_DMA_i[1] - Inst A_16_ A[16] - Inst A_17_ A[17] - Inst SM_AMIGA_srsts_i_0_0_o2_i_3_ SM_AMIGA_srsts_i_0_0_o2_i[3] - Inst A_18_ A[18] - Inst A_19_ A[19] - Inst A_20_ A[20] - Inst A_21_ A[21] - Inst SM_AMIGA_nss_i_i_0_0_o2_5_0_ SM_AMIGA_nss_i_i_0_0_o2_5[0] - Inst A_22_ A[22] - Inst SM_AMIGA_nss_i_i_0_0_o2_6_0_ SM_AMIGA_nss_i_i_0_0_o2_6[0] - Inst A_23_ A[23] - Inst SM_AMIGA_nss_i_i_0_0_o2_0_0_ SM_AMIGA_nss_i_i_0_0_o2_0[0] - Inst A_24_ A[24] - Inst SM_AMIGA_srsts_i_0_0_o2_0_3_ SM_AMIGA_srsts_i_0_0_o2_0[3] - Inst A_25_ A[25] - Inst SM_AMIGA_nss_i_i_0_0_o2_1_0_ SM_AMIGA_nss_i_i_0_0_o2_1[0] - Inst A_26_ A[26] - Inst SM_AMIGA_srsts_i_i_0_o2_6_ SM_AMIGA_srsts_i_i_0_o2[6] - Inst A_27_ A[27] - Inst SM_AMIGA_srsts_i_i_0_o2_2_ SM_AMIGA_srsts_i_i_0_o2[2] - Inst A_28_ A[28] - Inst SM_AMIGA_nss_i_i_0_0_o3_0_ SM_AMIGA_nss_i_i_0_0_o3[0] - Inst A_29_ A[29] - Inst A_30_ A[30] - Inst SM_AMIGA_srsts_i_0_0_o2_4_ SM_AMIGA_srsts_i_0_0_o2[4] - Inst A_31_ A[31] - Inst SM_AMIGA_nss_i_i_0_0_o2_2_0_ SM_AMIGA_nss_i_i_0_0_o2_2[0] - Inst SM_AMIGA_srsts_i_0_0_o2_3_ SM_AMIGA_srsts_i_0_0_o2[3] - Inst cpu_est_0_0_x2_0_x2_0_ cpu_est_0_0_x2_0_x2[0] - Inst pos_clk_SIZE_DMA_6_0_0_0_i_1_ pos_clk.SIZE_DMA_6_0_0_0_i[1] - Inst pos_clk_SIZE_DMA_6_0_0_0_i_0_ pos_clk.SIZE_DMA_6_0_0_0_i[0] - Inst cpu_est_2_0_0_0_2_ cpu_est_2_0_0_0[2] - Inst cpu_est_2_0_0_0_3_ cpu_est_2_0_0_0[3] - Inst SM_AMIGA_nss_i_i_0_0_o2_3_0_ SM_AMIGA_nss_i_i_0_0_o2_3[0] - Inst pos_clk_un6_bgack_000_0_0_i pos_clk.un6_bgack_000_0_0_i - Inst pos_clk_CLK_000_N_SYNC_2_0_o3_i_o2_0_ pos_clk.CLK_000_N_SYNC_2_0_o3_i_o2[0] - Inst cpu_est_2_0_0_0_o2_3_ cpu_est_2_0_0_0_o2[3] - Inst IPL_030_0_ IPL_030[0] - Inst pos_clk_un7_clk_000_pe_0_0_o2 pos_clk.un7_clk_000_pe_0_0_o2 - Inst IPL_030_1_ IPL_030[1] - Inst cpu_est_i_1_ cpu_est_i[1] - Inst IPL_030_2_ IPL_030[2] - Inst pos_clk_un37_as_030_d0_i_i_i pos_clk.un37_as_030_d0_i_i_i + Inst cpu_est_2_i_0_i_o2_3_ cpu_est_2_i_0_i_o2[3] + Inst cpu_est_2_i_0_i_i_3_ cpu_est_2_i_0_i_i[3] Inst cpu_est_2_0_0_0_o2_2_ cpu_est_2_0_0_0_o2[2] - Inst IPL_0_ IPL[0] - Inst cpu_est_2_0_0_0_a3_2_ cpu_est_2_0_0_0_a3[2] - Inst IPL_1_ IPL[1] - Inst cpu_est_2_0_0_0_a3_3_ cpu_est_2_0_0_0_a3[3] - Inst IPL_2_ IPL[2] - Inst SM_AMIGA_srsts_i_0_0_a2_3_ SM_AMIGA_srsts_i_0_0_a2[3] - Inst pos_clk_un3_as_030_d0_0_o2_0_o3_i pos_clk.un3_as_030_d0_0_o2_0_o3_i - Inst pos_clk_un24_bgack_030_int_i_0_i_a3_i_o3_i pos_clk.un24_bgack_030_int_i_0_i_a3_i_o3_i - Inst SM_AMIGA_srsts_i_0_0_o2_i_1_ SM_AMIGA_srsts_i_0_0_o2_i[1] - Inst SM_AMIGA_srsts_i_0_0_o2_i_5_ SM_AMIGA_srsts_i_0_0_o2_i[5] - Inst SM_AMIGA_srsts_i_0_0_a2_1_3_ SM_AMIGA_srsts_i_0_0_a2_1[3] - Inst SM_AMIGA_srsts_i_0_0_o2_i_0_ SM_AMIGA_srsts_i_0_0_o2_i[0] - Inst SM_AMIGA_nss_i_i_0_0_a2_0_ SM_AMIGA_nss_i_i_0_0_a2[0] - Inst cpu_est_i_2_ cpu_est_i[2] - Inst cpu_est_2_0_0_0_a2_2_ cpu_est_2_0_0_0_a2[2] - Inst pos_clk_un7_clk_000_pe_0_0 pos_clk.un7_clk_000_pe_0_0 - Inst FC_0_ FC[0] - Inst pos_clk_SIZE_DMA_6_0_0_0_o2_i_0_ pos_clk.SIZE_DMA_6_0_0_0_o2_i[0] - Inst cpu_est_2_0_0_0_1_ cpu_est_2_0_0_0[1] - Inst FC_1_ FC[1] - Inst SM_AMIGA_srsts_i_i_0_2_ SM_AMIGA_srsts_i_i_0[2] + Inst RST_DLY_i_2_ RST_DLY_i[2] + Inst cpu_est_2_0_0_0_i_2_ cpu_est_2_0_0_0_i[2] + Inst SM_AMIGA_srsts_i_0_0_ SM_AMIGA_srsts_i_0[0] + Inst SM_AMIGA_srsts_i_i_2_ SM_AMIGA_srsts_i_i[2] + Inst cpu_est_2_0_0_0_i_1_ cpu_est_2_0_0_0_i[1] + Inst pos_clk_un7_clk_000_pe_0_0_i pos_clk.un7_clk_000_pe_0_0_i Inst SM_AMIGA_srsts_i_i_0_6_ SM_AMIGA_srsts_i_i_0[6] + Inst SIZE_0_ SIZE[0] + Inst pos_clk_DS_000_DMA_4_f0_i_a2_i pos_clk.DS_000_DMA_4_f0_i_a2_i + Inst SIZE_1_ SIZE[1] + Inst pos_clk_un7_clk_000_pe_0_0 pos_clk.un7_clk_000_pe_0_0 + Inst cpu_est_2_0_0_0_o2_i_2_ cpu_est_2_0_0_0_o2_i[2] + Inst cpu_est_2_0_0_0_1_ cpu_est_2_0_0_0[1] + Inst cpu_est_2_i_0_i_o2_i_3_ cpu_est_2_i_0_i_o2_i[3] + Inst cpu_est_2_0_0_0_2_ cpu_est_2_0_0_0[2] + Inst cpu_est_2_i_0_i_3_ cpu_est_2_i_0_i[3] + Inst SM_AMIGA_nss_i_i_0_o2_i_0_ SM_AMIGA_nss_i_i_0_o2_i[0] + Inst pos_clk_DS_000_DMA_4_f0_i_a2_i_a3 pos_clk.DS_000_DMA_4_f0_i_a2_i_a3 + Inst cpu_est_2_0_0_0_a3_1_ cpu_est_2_0_0_0_a3[1] + Inst cpu_est_2_0_0_0_a3_2_ cpu_est_2_0_0_0_a3[2] + Inst cpu_est_2_i_0_i_a3_3_ cpu_est_2_i_0_i_a3[3] + Inst pos_clk_un24_bgack_030_int_i_i_a4_i_a2 pos_clk.un24_bgack_030_int_i_i_a4_i_a2 + Inst A_16_ A[16] + Inst SM_AMIGA_srsts_i_0_0_a2_3_ SM_AMIGA_srsts_i_0_0_a2[3] + Inst A_17_ A[17] + Inst A_18_ A[18] + Inst SM_AMIGA_nss_i_i_0_o2_2_i_0_ SM_AMIGA_nss_i_i_0_o2_2_i[0] + Inst A_19_ A[19] + Inst SM_AMIGA_srsts_i_0_o2_i_1_ SM_AMIGA_srsts_i_0_o2_i[1] + Inst A_20_ A[20] + Inst SM_AMIGA_srsts_i_0_o2_i_5_ SM_AMIGA_srsts_i_0_o2_i[5] + Inst SM_AMIGA_srsts_i_i_a2_0_2_ SM_AMIGA_srsts_i_i_a2_0[2] + Inst A_21_ A[21] + Inst SM_AMIGA_nss_i_i_0_o2_1_i_0_ SM_AMIGA_nss_i_i_0_o2_1_i[0] + Inst cpu_est_2_0_0_0_a2_2_ cpu_est_2_0_0_0_a2[2] + Inst A_22_ A[22] + Inst SM_AMIGA_srsts_i_0_a3_0_ SM_AMIGA_srsts_i_0_a3[0] + Inst A_23_ A[23] + Inst A_24_ A[24] + Inst SM_AMIGA_srsts_i_i_o2_0_i_2_ SM_AMIGA_srsts_i_i_o2_0_i[2] + Inst A_25_ A[25] + Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] + Inst A_26_ A[26] + Inst SM_AMIGA_nss_i_i_0_o2_0_i_0_ SM_AMIGA_nss_i_i_0_o2_0_i[0] + Inst SM_AMIGA_srsts_i_0_a3_1_ SM_AMIGA_srsts_i_0_a3[1] + Inst A_27_ A[27] + Inst pos_clk_un5_bgack_030_int_d_i_0_a4_i_o3_i pos_clk.un5_bgack_030_int_d_i_0_a4_i_o3_i + Inst SM_AMIGA_srsts_i_0_a3_0_4_ SM_AMIGA_srsts_i_0_a3_0[4] + Inst A_28_ A[28] + Inst pos_clk_un24_bgack_030_int_i_i_a4_i_o3_i pos_clk.un24_bgack_030_int_i_i_a4_i_o3_i + Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] + Inst A_29_ A[29] + Inst CLK_000_N_SYNC_i_10_ CLK_000_N_SYNC_i[10] + Inst SM_AMIGA_srsts_i_0_a3_5_ SM_AMIGA_srsts_i_0_a3[5] + Inst A_30_ A[30] + Inst SM_AMIGA_nss_i_i_0_a3_0_ SM_AMIGA_nss_i_i_0_a3[0] + Inst A_31_ A[31] + Inst SM_AMIGA_srsts_i_0_0_a3_3_ SM_AMIGA_srsts_i_0_0_a3[3] + Inst A_i_31_ A_i[31] + Inst SM_AMIGA_srsts_i_0_0_o2_i_3_ SM_AMIGA_srsts_i_0_0_o2_i[3] + Inst SM_AMIGA_srsts_i_0_o2_i_0_ SM_AMIGA_srsts_i_0_o2_i[0] + Inst IPL_030_0_ IPL_030[0] + Inst SM_AMIGA_srsts_i_0_o2_0_i_0_ SM_AMIGA_srsts_i_0_o2_0_i[0] + Inst pos_clk_CLK_000_P_SYNC_2_0_a3_0_ pos_clk.CLK_000_P_SYNC_2_0_a3[0] + Inst IPL_030_1_ IPL_030[1] + Inst pos_clk_A0_DMA_3_0_a3 pos_clk.A0_DMA_3_0_a3 + Inst IPL_030_2_ IPL_030[2] + Inst IPL_0_ IPL[0] + Inst pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a3 pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a3 + Inst IPL_1_ IPL[1] + Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] + Inst IPL_2_ IPL[2] + Inst pos_clk_SIZE_DMA_6_0_0_o2_i_0_ pos_clk.SIZE_DMA_6_0_0_o2_i[0] + Inst SM_AMIGA_srsts_i_i_0_o2_i_6_ SM_AMIGA_srsts_i_i_0_o2_i[6] + Inst SM_AMIGA_srsts_i_i_o2_i_2_ SM_AMIGA_srsts_i_i_o2_i[2] + Inst pos_clk_CYCLE_DMA_5_0_i_o3_i pos_clk.CYCLE_DMA_5_0_i_o3_i + Inst A_i_25_ A_i[25] + Inst pos_clk_un37_as_030_d0_i_o2_i pos_clk.un37_as_030_d0_i_o2_i + Inst A_i_26_ A_i[26] + Inst SM_AMIGA_srsts_i_0_o2_i_4_ SM_AMIGA_srsts_i_0_o2_i[4] Inst A_i_27_ A_i[27] Inst A_i_28_ A_i[28] - Inst IPL_c_i_1_ IPL_c_i[1] Inst A_i_29_ A_i[29] - Inst IPL_D0_0_i_1_ IPL_D0_0_i[1] Inst A_i_30_ A_i[30] - Inst SM_AMIGA_nss_i_i_0_0_a3_1_1_0_ SM_AMIGA_nss_i_i_0_0_a3_1_1[0] - Inst IPL_c_i_2_ IPL_c_i[2] - Inst A_i_31_ A_i[31] - Inst SM_AMIGA_nss_i_i_0_0_a3_1_0_ SM_AMIGA_nss_i_i_0_0_a3_1[0] - Inst IPL_D0_0_i_2_ IPL_D0_0_i[2] - Inst SM_AMIGA_nss_i_i_0_0_a3_0_1_0_ SM_AMIGA_nss_i_i_0_0_a3_0_1[0] - Inst SM_AMIGA_nss_i_i_0_0_a3_0_0_ SM_AMIGA_nss_i_i_0_0_a3_0[0] - Inst IPL_030_1_i_1_ IPL_030_1_i[1] - Inst SM_AMIGA_nss_i_i_0_0_a3_0_ SM_AMIGA_nss_i_i_0_0_a3[0] - Inst SM_AMIGA_srsts_i_0_0_a3_3_ SM_AMIGA_srsts_i_0_0_a3[3] - Inst IPL_030_1_i_2_ IPL_030_1_i[2] - Inst cpu_est_2_0_0_0_a3_1_ cpu_est_2_0_0_0_a3[1] - Inst SM_AMIGA_srsts_i_0_0_a3_4_ SM_AMIGA_srsts_i_0_0_a3[4] - Inst pos_clk_DS_000_DMA_4_f0_i_a2_i_i pos_clk.DS_000_DMA_4_f0_i_a2_i_i - Inst SM_AMIGA_srsts_i_0_0_4_ SM_AMIGA_srsts_i_0_0[4] - Inst SM_AMIGA_srsts_i_0_0_1_5_ SM_AMIGA_srsts_i_0_0_1[5] - Inst SM_AMIGA_srsts_i_0_0_5_ SM_AMIGA_srsts_i_0_0[5] - Inst SM_AMIGA_srsts_i_i_0_a3_0_1_6_ SM_AMIGA_srsts_i_i_0_a3_0_1[6] - Inst A_i_25_ A_i[25] - Inst SM_AMIGA_srsts_i_i_0_a3_0_6_ SM_AMIGA_srsts_i_i_0_a3_0[6] - Inst A_i_26_ A_i[26] - Inst SM_AMIGA_srsts_i_i_0_a3_1_6_ SM_AMIGA_srsts_i_i_0_a3_1[6] - Inst cpu_est_0_2__r cpu_est_0_2_.r - Inst SM_AMIGA_srsts_i_i_0_a3_6_ SM_AMIGA_srsts_i_i_0_a3[6] - Inst cpu_est_0_2__m cpu_est_0_2_.m - Inst SM_AMIGA_srsts_i_i_0_a3_1_2_ SM_AMIGA_srsts_i_i_0_a3_1[2] - Inst cpu_est_0_2__n cpu_est_0_2_.n - Inst SM_AMIGA_srsts_i_i_0_a3_2_ SM_AMIGA_srsts_i_i_0_a3[2] - Inst cpu_est_0_2__p cpu_est_0_2_.p - Inst cpu_est_2_0_0_a3_0_1_1_ cpu_est_2_0_0_a3_0_1[1] - Inst cpu_est_0_3__r cpu_est_0_3_.r - Inst cpu_est_2_0_0_a3_0_1_ cpu_est_2_0_0_a3_0[1] - Inst cpu_est_0_3__m cpu_est_0_3_.m - Inst SM_AMIGA_srsts_i_0_0_a3_0_1_3_ SM_AMIGA_srsts_i_0_0_a3_0_1[3] - Inst cpu_est_0_3__n cpu_est_0_3_.n - Inst SM_AMIGA_srsts_i_0_0_a3_0_3_ SM_AMIGA_srsts_i_0_0_a3_0[3] - Inst IPL_030_0_1__r IPL_030_0_1_.r - Inst cpu_est_0_3__p cpu_est_0_3_.p - Inst pos_clk_un6_bg_030_0_a2_i_1 pos_clk.un6_bg_030_0_a2_i_1 - Inst IPL_030_0_1__m IPL_030_0_1_.m - Inst IPL_030_0_0__r IPL_030_0_0_.r - Inst pos_clk_un6_bg_030_0_a2_i pos_clk.un6_bg_030_0_a2_i - Inst IPL_030_0_1__n IPL_030_0_1_.n - Inst IPL_030_0_0__m IPL_030_0_0_.m - Inst SM_AMIGA_srsts_i_0_0_1_1_ SM_AMIGA_srsts_i_0_0_1[1] - Inst IPL_030_0_1__p IPL_030_0_1_.p - Inst IPL_030_0_0__n IPL_030_0_0_.n - Inst SM_AMIGA_srsts_i_0_0_1_ SM_AMIGA_srsts_i_0_0[1] - Inst IPL_030_1_2_ IPL_030_1[2] - Inst IPL_030_0_0__p IPL_030_0_0_.p - Inst IPL_030_1_1_ IPL_030_1[1] - Inst IPL_D0_0_2_ IPL_D0_0[2] - Inst RW_000_INT_0_r RW_000_INT_0.r - Inst pos_clk_CYCLE_DMA_5_1_i_0_1 pos_clk.CYCLE_DMA_5_1_i_0_1 + Inst FC_0_ FC[0] + Inst FC_1_ FC[1] + Inst pos_clk_un37_as_030_d0_i_i pos_clk.un37_as_030_d0_i_i + Inst IPL_D0_0_0_ IPL_D0_0[0] Inst IPL_D0_0_1_ IPL_D0_0[1] + Inst IPL_D0_0_2_ IPL_D0_0[2] + Inst SM_AMIGA_srsts_i_i_a3_2_ SM_AMIGA_srsts_i_i_a3[2] + Inst pos_clk_SIZE_DMA_6_0_0_i_0_ pos_clk.SIZE_DMA_6_0_0_i[0] + Inst IPL_030_1_0_ IPL_030_1[0] + Inst IPL_030_1_1_ IPL_030_1[1] + Inst pos_clk_SIZE_DMA_6_0_0_i_1_ pos_clk.SIZE_DMA_6_0_0_i[1] + Inst IPL_030_1_2_ IPL_030_1[2] + Inst cpu_est_0_1__r cpu_est_0_1_.r + Inst pos_clk_un6_bgack_000_0_0_i pos_clk.un6_bgack_000_0_0_i + Inst cpu_est_0_1__m cpu_est_0_1_.m + Inst cpu_est_0_1__n cpu_est_0_1_.n + Inst cpu_est_0_1__p cpu_est_0_1_.p + Inst pos_clk_un3_as_030_d0_0_o3_i pos_clk.un3_as_030_d0_0_o3_i + Inst cpu_est_0_2__r cpu_est_0_2_.r + Inst cpu_est_0_2__m cpu_est_0_2_.m + Inst cpu_est_2_0_0_a3_1_1_1_ cpu_est_2_0_0_a3_1_1[1] + Inst cpu_est_0_2__n cpu_est_0_2_.n + Inst cpu_est_2_0_0_a3_1_1_ cpu_est_2_0_0_a3_1[1] + Inst cpu_est_0_2__p cpu_est_0_2_.p + Inst pos_clk_un6_bg_030_0_a2_0_a3_1 pos_clk.un6_bg_030_0_a2_0_a3_1 + Inst cpu_est_0_3__r cpu_est_0_3_.r + Inst pos_clk_un6_bg_030_0_a2_0_a3 pos_clk.un6_bg_030_0_a2_0_a3 + Inst cpu_est_0_3__m cpu_est_0_3_.m + Inst SM_AMIGA_srsts_i_i_0_a3_0_1_6_ SM_AMIGA_srsts_i_i_0_a3_0_1[6] + Inst cpu_est_0_3__n cpu_est_0_3_.n + Inst SM_AMIGA_srsts_i_i_0_a3_0_6_ SM_AMIGA_srsts_i_i_0_a3_0[6] + Inst cpu_est_0_3__p cpu_est_0_3_.p + Inst SM_AMIGA_srsts_i_i_0_a3_1_6_ SM_AMIGA_srsts_i_i_0_a3_1[6] + Inst IPL_030_0_0__r IPL_030_0_0_.r + Inst SM_AMIGA_srsts_i_i_0_a3_6_ SM_AMIGA_srsts_i_i_0_a3[6] + Inst IPL_030_0_0__m IPL_030_0_0_.m + Inst SM_AMIGA_srsts_i_0_0_a3_0_1_3_ SM_AMIGA_srsts_i_0_0_a3_0_1[3] + Inst IPL_030_0_0__n IPL_030_0_0_.n + Inst SM_AMIGA_srsts_i_0_0_a3_0_3_ SM_AMIGA_srsts_i_0_0_a3_0[3] + Inst IPL_030_0_0__p IPL_030_0_0_.p + Inst SM_AMIGA_nss_i_i_0_a3_1_1_0_ SM_AMIGA_nss_i_i_0_a3_1_1[0] + Inst IPL_030_0_1__r IPL_030_0_1_.r + Inst SM_AMIGA_nss_i_i_0_a3_1_0_ SM_AMIGA_nss_i_i_0_a3_1[0] + Inst IPL_030_0_1__m IPL_030_0_1_.m + Inst SM_AMIGA_nss_i_i_0_a3_0_1_0_ SM_AMIGA_nss_i_i_0_a3_0_1[0] + Inst IPL_030_0_1__n IPL_030_0_1_.n + Inst SM_AMIGA_nss_i_i_0_a3_0_0_ SM_AMIGA_nss_i_i_0_a3_0[0] + Inst IPL_030_0_1__p IPL_030_0_1_.p + Inst SM_AMIGA_srsts_i_i_a3_1_2_ SM_AMIGA_srsts_i_i_a3_1[2] + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_r AMIGA_BUS_ENABLE_DMA_LOW_0.r + Inst IPL_030_0_2__r IPL_030_0_2_.r + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_m AMIGA_BUS_ENABLE_DMA_LOW_0.m + Inst IPL_030_0_2__m IPL_030_0_2_.m + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_n AMIGA_BUS_ENABLE_DMA_LOW_0.n + Inst IPL_030_0_2__n IPL_030_0_2_.n + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_p AMIGA_BUS_ENABLE_DMA_LOW_0.p + Inst IPL_030_0_2__p IPL_030_0_2_.p + Inst SM_AMIGA_srsts_i_0_1_5_ SM_AMIGA_srsts_i_0_1[5] + Inst A0_DMA_0_r A0_DMA_0.r + Inst SM_AMIGA_srsts_i_0_5_ SM_AMIGA_srsts_i_0[5] + Inst RW_000_INT_0_r RW_000_INT_0.r + Inst A0_DMA_0_m A0_DMA_0.m + Inst SM_AMIGA_srsts_i_0_1_4_ SM_AMIGA_srsts_i_0_1[4] Inst RW_000_INT_0_m RW_000_INT_0.m - Inst pos_clk_CYCLE_DMA_5_1_i_0 pos_clk.CYCLE_DMA_5_1_i_0 + Inst A0_DMA_0_n A0_DMA_0.n + Inst SM_AMIGA_srsts_i_0_4_ SM_AMIGA_srsts_i_0[4] Inst RW_000_INT_0_n RW_000_INT_0.n - Inst pos_clk_CYCLE_DMA_5_0_i_0_1 pos_clk.CYCLE_DMA_5_0_i_0_1 + Inst A0_DMA_0_p A0_DMA_0.p + Inst SM_AMIGA_srsts_i_0_1_1_ SM_AMIGA_srsts_i_0_1[1] Inst RW_000_INT_0_p RW_000_INT_0.p - Inst pos_clk_CYCLE_DMA_5_0_i_0 pos_clk.CYCLE_DMA_5_0_i_0 Inst UDS_000_INT_0_r UDS_000_INT_0.r + Inst SM_AMIGA_srsts_i_0_1_ SM_AMIGA_srsts_i_0[1] + Inst BGACK_030_INT_0_r BGACK_030_INT_0.r Inst UDS_000_INT_0_m UDS_000_INT_0.m + Inst BGACK_030_INT_0_m BGACK_030_INT_0.m Inst UDS_000_INT_0_n UDS_000_INT_0.n + Inst BGACK_030_INT_0_n BGACK_030_INT_0.n Inst UDS_000_INT_0_p UDS_000_INT_0.p - Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r + Inst pos_clk_CYCLE_DMA_5_0_i_1 pos_clk.CYCLE_DMA_5_0_i_1 + Inst BGACK_030_INT_0_p BGACK_030_INT_0.p Inst VMA_INT_0_r VMA_INT_0.r - Inst SM_AMIGA_srsts_i_0_0_1_4_ SM_AMIGA_srsts_i_0_0_1[4] - Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m + Inst pos_clk_CYCLE_DMA_5_0_i pos_clk.CYCLE_DMA_5_0_i + Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r Inst VMA_INT_0_m VMA_INT_0.m - Inst pos_clk_un37_as_030_d0_i_a2_1_3 pos_clk.un37_as_030_d0_i_a2_1_3 - Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n + Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m Inst VMA_INT_0_n VMA_INT_0.n - Inst pos_clk_un37_as_030_d0_i_a2_1_4 pos_clk.un37_as_030_d0_i_a2_1_4 - Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p + Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n Inst VMA_INT_0_p VMA_INT_0.p - Inst pos_clk_un37_as_030_d0_i_a2_1 pos_clk.un37_as_030_d0_i_a2_1 + Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p + Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r + Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_r AMIGA_BUS_ENABLE_DMA_HIGH_0.r + Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m + Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_m AMIGA_BUS_ENABLE_DMA_HIGH_0.m + Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n + Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.n + Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p + Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_p AMIGA_BUS_ENABLE_DMA_HIGH_0.p Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] Inst BG_000_0_r BG_000_0.r Inst AS_000_INT_0_r AS_000_INT_0.r @@ -437,135 +439,135 @@ Design 'BUS68030' created Sun Jan 24 16:20:54 2016 Inst AS_000_INT_0_n AS_000_INT_0.n Inst BG_000_0_p BG_000_0.p Inst AS_000_INT_0_p AS_000_INT_0.p - Inst DS_000_DMA_0_r DS_000_DMA_0.r - Inst DS_000_DMA_0_m DS_000_DMA_0.m - Inst DS_000_DMA_0_n DS_000_DMA_0.n - Inst pos_clk_un37_as_030_d0_i_i_a3_1 pos_clk.un37_as_030_d0_i_i_a3_1 - Inst DS_000_DMA_0_p DS_000_DMA_0.p - Inst pos_clk_un37_as_030_d0_i_i_a3_2 pos_clk.un37_as_030_d0_i_i_a3_2 - Inst pos_clk_un37_as_030_d0_i_i_a3 pos_clk.un37_as_030_d0_i_i_a3 - Inst IPL_D0_0_0_ IPL_D0_0[0] - Inst pos_clk_DS_000_DMA_4_f0_i_a2_i_a3 pos_clk.DS_000_DMA_4_f0_i_a2_i_a3 - Inst IPL_030_1_0_ IPL_030_1[0] - Net rw_000_dma_0_un1_n RW_000_DMA_0.un1 - Net rw_000_dma_0_un0_n RW_000_DMA_0.un0 - Net a0_dma_0_un3_n A0_DMA_0.un3 - Net a0_dma_0_un1_n A0_DMA_0.un1 - Net a0_dma_0_un0_n A0_DMA_0.un0 - Net amiga_bus_enable_dma_low_0_un3_n AMIGA_BUS_ENABLE_DMA_LOW_0.un3 - Net amiga_bus_enable_dma_low_0_un1_n AMIGA_BUS_ENABLE_DMA_LOW_0.un1 - Net pos_clk_size_dma_6_0_1__n pos_clk.SIZE_DMA_6_0[1] - Net amiga_bus_enable_dma_low_0_un0_n AMIGA_BUS_ENABLE_DMA_LOW_0.un0 - Net vcc_n_n VCC - Net amiga_bus_enable_dma_high_0_un3_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un3 - Net pos_clk_size_dma_6_0_0__n pos_clk.SIZE_DMA_6_0[0] - Net amiga_bus_enable_dma_high_0_un1_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un1 - Net amiga_bus_enable_dma_high_0_un0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un0 - Net gnd_n_n GND + Inst pos_clk_CYCLE_DMA_5_1_i_1 pos_clk.CYCLE_DMA_5_1_i_1 + Inst pos_clk_CYCLE_DMA_5_1_i pos_clk.CYCLE_DMA_5_1_i + Inst DSACK1_INT_0_r DSACK1_INT_0.r + Inst DSACK1_INT_0_m DSACK1_INT_0.m + Inst DSACK1_INT_0_n DSACK1_INT_0.n + Inst DSACK1_INT_0_p DSACK1_INT_0.p + Inst SM_AMIGA_nss_i_i_0_a3_2_0_ SM_AMIGA_nss_i_i_0_a3_2[0] + Inst SM_AMIGA_srsts_i_i_a3_0_1_2_ SM_AMIGA_srsts_i_i_a3_0_1[2] + Inst SM_AMIGA_srsts_i_i_a3_0_2_2_ SM_AMIGA_srsts_i_i_a3_0_2[2] + Net cpu_est_0_1__un0_n cpu_est_0_1_.un0 Net cpu_est_0_2__un3_n cpu_est_0_2_.un3 Net cpu_est_0_2__un1_n cpu_est_0_2_.un1 Net cpu_est_0_2__un0_n cpu_est_0_2_.un0 - Net pos_clk_un6_bgack_000_0_n pos_clk.un6_bgack_000_0 Net cpu_est_0_3__un3_n cpu_est_0_3_.un3 Net cpu_est_0_3__un1_n cpu_est_0_3_.un1 Net cpu_est_0_3__un0_n cpu_est_0_3_.un0 + Net vcc_n_n VCC Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 + Net gnd_n_n GND Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 - Net rw_000_int_0_un3_n RW_000_INT_0.un3 - Net sm_amiga_i_5__n SM_AMIGA_i[5] - Net rw_000_int_0_un1_n RW_000_INT_0.un1 - Net sm_amiga_i_6__n SM_AMIGA_i[6] - Net rw_000_int_0_un0_n RW_000_INT_0.un0 - Net cpu_est_0__n cpu_est[0] - Net sm_amiga_i_0__n SM_AMIGA_i[0] + Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 + Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 + Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 + Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 + Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 + Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 + Net a0_dma_0_un3_n A0_DMA_0.un3 + Net a0_dma_0_un1_n A0_DMA_0.un1 + Net a0_dma_0_un0_n A0_DMA_0.un0 Net uds_000_int_0_un3_n UDS_000_INT_0.un3 - Net cpu_est_1__n cpu_est[1] - Net uds_000_int_0_un1_n UDS_000_INT_0.un1 Net cpu_est_2__n cpu_est[2] - Net sm_amiga_i_4__n SM_AMIGA_i[4] - Net uds_000_int_0_un0_n UDS_000_INT_0.un0 + Net sm_amiga_i_5__n SM_AMIGA_i[5] + Net uds_000_int_0_un1_n UDS_000_INT_0.un1 Net cpu_est_3__n cpu_est[3] + Net uds_000_int_0_un0_n UDS_000_INT_0.un0 + Net cpu_est_0__n cpu_est[0] Net vma_int_0_un3_n VMA_INT_0.un3 - Net sm_amiga_i_2__n SM_AMIGA_i[2] + Net cpu_est_1__n cpu_est[1] Net vma_int_0_un1_n VMA_INT_0.un1 - Net sm_amiga_5__n SM_AMIGA[5] - Net vma_int_0_un0_n VMA_INT_0.un0 - Net bg_000_0_un3_n BG_000_0.un3 - Net sm_amiga_i_1__n SM_AMIGA_i[1] - Net bg_000_0_un1_n BG_000_0.un1 - Net bg_000_0_un0_n BG_000_0.un0 - Net cpu_est_0_1__un3_n cpu_est_0_1_.un3 - Net sm_amiga_i_i_7__n SM_AMIGA_i_i[7] - Net cpu_est_0_1__un1_n cpu_est_0_1_.un1 - Net cpu_est_0_1__un0_n cpu_est_0_1_.un0 - Net dsack1_int_0_un3_n DSACK1_INT_0.un3 - Net cycle_dma_0__n CYCLE_DMA[0] - Net dsack1_int_0_un1_n DSACK1_INT_0.un1 - Net cycle_dma_1__n CYCLE_DMA[1] - Net dsack1_int_0_un0_n DSACK1_INT_0.un0 - Net size_dma_0__n SIZE_DMA[0] - Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3 - Net size_dma_1__n SIZE_DMA[1] - Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1 - Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0 - Net a_i_16__n A_i[16] - Net lds_000_int_0_un3_n LDS_000_INT_0.un3 - Net a_i_18__n A_i[18] - Net lds_000_int_0_un1_n LDS_000_INT_0.un1 Net a_i_19__n A_i[19] - Net lds_000_int_0_un0_n LDS_000_INT_0.un0 - Net a_15__n A[15] - Net a_14__n A[14] - Net rst_dly_i_2__n RST_DLY_i[2] - Net a_13__n A[13] - Net rst_dly_i_0__n RST_DLY_i[0] - Net rst_dly_i_1__n RST_DLY_i[1] - Net a_12__n A[12] - Net clk_000_p_sync_9__n CLK_000_P_SYNC[9] + Net vma_int_0_un0_n VMA_INT_0.un0 + Net sm_amiga_5__n SM_AMIGA[5] + Net a_i_18__n A_i[18] + Net amiga_bus_enable_dma_high_0_un3_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un3 Net size_dma_i_1__n SIZE_DMA_i[1] - Net a_11__n A[11] + Net amiga_bus_enable_dma_high_0_un1_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un1 Net size_dma_i_0__n SIZE_DMA_i[0] - Net clk_000_n_sync_11__n CLK_000_N_SYNC[11] - Net a_10__n A[10] - Net ipl_d0_0__n IPL_D0[0] + Net amiga_bus_enable_dma_high_0_un0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un0 + Net bg_000_0_un3_n BG_000_0.un3 + Net bg_000_0_un1_n BG_000_0.un1 + Net a_i_16__n A_i[16] + Net bg_000_0_un0_n BG_000_0.un0 + Net ds_000_dma_0_un3_n DS_000_DMA_0.un3 + Net ds_000_dma_0_un1_n DS_000_DMA_0.un1 + Net cycle_dma_0__n CYCLE_DMA[0] + Net sm_amiga_i_i_7__n SM_AMIGA_i_i[7] + Net ds_000_dma_0_un0_n DS_000_DMA_0.un0 + Net cycle_dma_1__n CYCLE_DMA[1] + Net as_000_dma_0_un3_n AS_000_DMA_0.un3 + Net size_dma_0__n SIZE_DMA[0] + Net sm_amiga_i_4__n SM_AMIGA_i[4] + Net as_000_dma_0_un1_n AS_000_DMA_0.un1 + Net size_dma_1__n SIZE_DMA[1] + Net as_000_dma_0_un0_n AS_000_DMA_0.un0 + Net lds_000_int_0_un3_n LDS_000_INT_0.un3 + Net lds_000_int_0_un1_n LDS_000_INT_0.un1 Net a_i_24__n A_i[24] - Net ipl_d0_1__n IPL_D0[1] - Net sm_amiga_i_3__n SM_AMIGA_i[3] - Net a_9__n A[9] - Net ipl_d0_2__n IPL_D0[2] - Net cpu_est_i_3__n cpu_est_i[3] - Net cpu_est_i_0__n cpu_est_i[0] - Net a_8__n A[8] - Net sm_amiga_0__n SM_AMIGA[0] - Net cpu_est_i_1__n cpu_est_i[1] - Net a_7__n A[7] - Net pos_clk_ipl_n pos_clk.ipl - Net a_6__n A[6] - Net sm_amiga_4__n SM_AMIGA[4] - Net cpu_est_i_2__n cpu_est_i[2] - Net a_5__n A[5] - Net rst_dly_0__n RST_DLY[0] - Net rst_dly_1__n RST_DLY[1] - Net a_i_31__n A_i[31] - Net a_4__n A[4] - Net rst_dly_2__n RST_DLY[2] - Net a_i_29__n A_i[29] - Net pos_clk_un8_bg_030_n pos_clk.un8_bg_030 - Net a_i_30__n A_i[30] - Net a_3__n A[3] - Net clk_000_p_sync_0__n CLK_000_P_SYNC[0] - Net a_i_27__n A_i[27] - Net clk_000_p_sync_1__n CLK_000_P_SYNC[1] - Net a_i_28__n A_i[28] - Net a_2__n A[2] - Net clk_000_p_sync_2__n CLK_000_P_SYNC[2] - Net a_i_25__n A_i[25] - Net clk_000_p_sync_3__n CLK_000_P_SYNC[3] - Net a_i_26__n A_i[26] Net clk_000_n_sync_i_10__n CLK_000_N_SYNC_i[10] + Net lds_000_int_0_un0_n LDS_000_INT_0.un0 + Net sm_amiga_i_3__n SM_AMIGA_i[3] + Net rw_000_dma_0_un3_n RW_000_DMA_0.un3 + Net cpu_est_i_0__n cpu_est_i[0] + Net rw_000_dma_0_un1_n RW_000_DMA_0.un1 + Net cpu_est_i_3__n cpu_est_i[3] + Net rw_000_dma_0_un0_n RW_000_DMA_0.un0 + Net cpu_est_i_2__n cpu_est_i[2] + Net a_15__n A[15] + Net cpu_est_i_1__n cpu_est_i[1] + Net a_14__n A[14] + Net clk_000_p_sync_9__n CLK_000_P_SYNC[9] + Net sm_amiga_i_1__n SM_AMIGA_i[1] + Net a_13__n A[13] + Net rst_dly_i_2__n RST_DLY_i[2] + Net clk_000_n_sync_11__n CLK_000_N_SYNC[11] + Net a_12__n A[12] + Net ipl_d0_0__n IPL_D0[0] + Net rst_dly_i_0__n RST_DLY_i[0] + Net ipl_d0_1__n IPL_D0[1] + Net rst_dly_i_1__n RST_DLY_i[1] + Net a_11__n A[11] + Net ipl_d0_2__n IPL_D0[2] + Net a_10__n A[10] + Net pos_clk_un6_bg_030_n pos_clk.un6_bg_030 + Net sm_amiga_0__n SM_AMIGA[0] + Net a_9__n A[9] + Net sm_amiga_i_6__n SM_AMIGA_i[6] + Net sm_amiga_i_2__n SM_AMIGA_i[2] + Net a_8__n A[8] + Net pos_clk_clk_000_p_sync_2_0__n pos_clk.CLK_000_P_SYNC_2[0] + Net pos_clk_ipl_n pos_clk.ipl + Net sm_amiga_i_0__n SM_AMIGA_i[0] + Net a_7__n A[7] + Net sm_amiga_4__n SM_AMIGA[4] + Net a_i_31__n A_i[31] + Net a_6__n A[6] + Net rst_dly_0__n RST_DLY[0] + Net a_i_29__n A_i[29] + Net rst_dly_1__n RST_DLY[1] + Net a_i_30__n A_i[30] + Net a_5__n A[5] + Net rst_dly_2__n RST_DLY[2] + Net a_i_27__n A_i[27] + Net pos_clk_un8_bg_030_n pos_clk.un8_bg_030 + Net a_i_28__n A_i[28] + Net cpu_est_2_0_2__n cpu_est_2_0[2] + Net a_4__n A[4] + Net clk_000_p_sync_0__n CLK_000_P_SYNC[0] + Net a_i_25__n A_i[25] + Net clk_000_p_sync_1__n CLK_000_P_SYNC[1] + Net a_i_26__n A_i[26] + Net a_3__n A[3] + Net clk_000_p_sync_2__n CLK_000_P_SYNC[2] + Net cpu_est_2_0_1__n cpu_est_2_0[1] + Net clk_000_p_sync_3__n CLK_000_P_SYNC[3] + Net a_2__n A[2] Net clk_000_p_sync_4__n CLK_000_P_SYNC[4] Net clk_000_p_sync_5__n CLK_000_P_SYNC[5] + Net pos_clk_un7_clk_000_pe_0_n pos_clk.un7_clk_000_pe_0 Net clk_000_p_sync_6__n CLK_000_P_SYNC[6] Net clk_000_p_sync_7__n CLK_000_P_SYNC[7] Net clk_000_p_sync_8__n CLK_000_P_SYNC[8] @@ -581,58 +583,56 @@ Design 'BUS68030' created Sun Jan 24 16:20:54 2016 Net clk_000_n_sync_9__n CLK_000_N_SYNC[9] Net clk_000_n_sync_10__n CLK_000_N_SYNC[10] Net pos_clk_un7_clk_000_pe_n pos_clk.un7_clk_000_pe - Net cpu_est_2_0_3__n cpu_est_2_0[3] - Net sm_amiga_6__n SM_AMIGA[6] - Net cpu_est_2_0_2__n cpu_est_2_0[2] - Net sm_amiga_1__n SM_AMIGA[1] + Net pos_clk_a0_dma_3_n pos_clk.A0_DMA_3 Net size_c_0__n SIZE_c[0] - Net sm_amiga_3__n SM_AMIGA[3] + Net sm_amiga_6__n SM_AMIGA[6] Net size_0__n SIZE[0] - Net sm_amiga_2__n SM_AMIGA[2] Net size_c_1__n SIZE_c[1] - Net pos_clk_un3_as_030_d0_n pos_clk.un3_as_030_d0 - Net cpu_est_2_0_1__n cpu_est_2_0[1] - Net pos_clk_un7_clk_000_pe_0_n pos_clk.un7_clk_000_pe_0 + Net sm_amiga_1__n SM_AMIGA[1] + Net sm_amiga_3__n SM_AMIGA[3] + Net sm_amiga_2__n SM_AMIGA[2] + Net pos_clk_un8_sm_amiga_i_n pos_clk.un8_sm_amiga_i + Net size_c_i_1__n SIZE_c_i[1] + Net ipl_c_i_2__n IPL_c_i[2] + Net ipl_c_i_1__n IPL_c_i[1] Net a_c_16__n A_c[16] + Net ipl_c_i_0__n IPL_c_i[0] Net a_16__n A[16] Net a_c_17__n A_c[17] - Net pos_clk_un8_sm_amiga_i_n pos_clk.un8_sm_amiga_i Net a_17__n A[17] Net a_c_18__n A_c[18] - Net size_c_i_1__n SIZE_c_i[1] Net a_18__n A[18] Net a_c_19__n A_c[19] Net a_19__n A[19] - Net ipl_c_i_0__n IPL_c_i[0] Net a_c_20__n A_c[20] Net a_20__n A[20] Net a_c_21__n A_c[21] Net a_21__n A[21] - Net sm_amiga_i_7__n SM_AMIGA_i[7] Net a_c_22__n A_c[22] Net a_22__n A[22] - Net cpu_est_2_1__n cpu_est_2[1] Net a_c_23__n A_c[23] - Net cpu_est_2_2__n cpu_est_2[2] Net a_23__n A[23] - Net cpu_est_2_3__n cpu_est_2[3] + Net sm_amiga_i_7__n SM_AMIGA_i[7] Net a_c_24__n A_c[24] Net a_24__n A[24] + Net cpu_est_2_1__n cpu_est_2[1] Net a_c_25__n A_c[25] + Net cpu_est_2_2__n cpu_est_2[2] Net a_25__n A[25] Net a_c_26__n A_c[26] Net a_26__n A[26] Net a_c_27__n A_c[27] - Net pos_clk_un8_bg_030_0_n pos_clk.un8_bg_030_0 Net a_27__n A[27] Net a_c_28__n A_c[28] Net a_28__n A[28] - Net pos_clk_un8_sm_amiga_i_1_n pos_clk.un8_sm_amiga_i_1 Net a_c_29__n A_c[29] Net a_29__n A[29] + Net pos_clk_un6_bg_030_i_n pos_clk.un6_bg_030_i Net a_c_30__n A_c[30] + Net pos_clk_un8_bg_030_0_n pos_clk.un8_bg_030_0 Net a_30__n A[30] Net a_c_31__n A_c[31] + Net pos_clk_un8_sm_amiga_i_1_n pos_clk.un8_sm_amiga_i_1 Net ipl_030_c_0__n IPL_030_c[0] Net ipl_030_0__n IPL_030[0] Net ipl_030_c_1__n IPL_030_c[1] @@ -645,52 +645,55 @@ Design 'BUS68030' created Sun Jan 24 16:20:54 2016 Net ipl_c_2__n IPL_c[2] Net fc_c_0__n FC_c[0] Net fc_0__n FC[0] + Net pos_clk_un6_bg_030_1_n pos_clk.un6_bg_030_1 Net fc_c_1__n FC_c[1] Net pos_clk_ipl_1_n pos_clk.ipl_1 - Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 - Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 - Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 - Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3 - Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1 - Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 - Net as_000_int_0_un3_n AS_000_INT_0.un3 - Net as_000_int_0_un1_n AS_000_INT_0.un1 - Net as_000_int_0_un0_n AS_000_INT_0.un0 - Net ds_000_dma_0_un3_n DS_000_DMA_0.un3 - Net ds_000_dma_0_un1_n DS_000_DMA_0.un1 - Net ds_000_dma_0_un0_n DS_000_DMA_0.un0 - Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 - Net pos_clk_un6_bgack_000_n pos_clk.un6_bgack_000 - Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 - Net ipl_c_i_1__n IPL_c_i[1] - Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 - Net un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un3_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.un3 - Net ipl_c_i_2__n IPL_c_i[2] - Net un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un1_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.un1 - Net un1_amiga_bus_enable_dma_high_i_m2_0_m2_0__un0_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_m2_0_.un0 - Net pos_clk_a0_dma_3_n pos_clk.A0_DMA_3 - Net sm_amiga_srsts_i_0_0_m3_1__un3_n SM_AMIGA_srsts_i_0_0_m3_1_.un3 - Net sm_amiga_srsts_i_0_0_m3_1__un1_n SM_AMIGA_srsts_i_0_0_m3_1_.un1 - Net sm_amiga_srsts_i_0_0_m3_1__un0_n SM_AMIGA_srsts_i_0_0_m3_1_.un0 - Net sm_amiga_srsts_i_0_0_m3_5__un3_n SM_AMIGA_srsts_i_0_0_m3_5_.un3 - Net sm_amiga_srsts_i_0_0_m3_5__un1_n SM_AMIGA_srsts_i_0_0_m3_5_.un1 - Net pos_clk_size_dma_6_0__n pos_clk.SIZE_DMA_6[0] - Net sm_amiga_srsts_i_0_0_m3_5__un0_n SM_AMIGA_srsts_i_0_0_m3_5_.un0 - Net size_dma_0_0__un3_n SIZE_DMA_0_0_.un3 - Net pos_clk_size_dma_6_1__n pos_clk.SIZE_DMA_6[1] - Net size_dma_0_0__un1_n SIZE_DMA_0_0_.un1 - Net size_dma_0_0__un0_n SIZE_DMA_0_0_.un0 - Net size_dma_0_1__un3_n SIZE_DMA_0_1_.un3 - Net size_dma_0_1__un1_n SIZE_DMA_0_1_.un1 - Net size_dma_0_1__un0_n SIZE_DMA_0_1_.un0 - Net as_000_dma_0_un3_n AS_000_DMA_0.un3 - Net as_000_dma_0_un1_n AS_000_DMA_0.un1 - Net as_000_dma_0_un0_n AS_000_DMA_0.un0 - Net pos_clk_un3_as_030_d0_i_n pos_clk.un3_as_030_d0_i + Net amiga_bus_enable_dma_low_0_un3_n AMIGA_BUS_ENABLE_DMA_LOW_0.un3 + Net amiga_bus_enable_dma_low_0_un1_n AMIGA_BUS_ENABLE_DMA_LOW_0.un1 + Net amiga_bus_enable_dma_low_0_un0_n AMIGA_BUS_ENABLE_DMA_LOW_0.un0 + Net rw_000_int_0_un3_n RW_000_INT_0.un3 + Net rw_000_int_0_un1_n RW_000_INT_0.un1 + Net rw_000_int_0_un0_n RW_000_INT_0.un0 Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 - Net rw_000_dma_0_un3_n RW_000_DMA_0.un3 + Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3 + Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1 + Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 + Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3 + Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1 + Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0 + Net as_000_int_0_un3_n AS_000_INT_0.un3 + Net pos_clk_size_dma_6_0_0__n pos_clk.SIZE_DMA_6_0[0] + Net as_000_int_0_un1_n AS_000_INT_0.un1 + Net as_000_int_0_un0_n AS_000_INT_0.un0 + Net pos_clk_size_dma_6_0_1__n pos_clk.SIZE_DMA_6_0[1] + Net dsack1_int_0_un3_n DSACK1_INT_0.un3 + Net dsack1_int_0_un1_n DSACK1_INT_0.un1 + Net dsack1_int_0_un0_n DSACK1_INT_0.un0 + Net pos_clk_un6_bgack_000_0_n pos_clk.un6_bgack_000_0 + Net size_dma_0_1__un3_n SIZE_DMA_0_1_.un3 + Net size_dma_0_1__un1_n SIZE_DMA_0_1_.un1 + Net size_dma_0_1__un0_n SIZE_DMA_0_1_.un0 + Net size_dma_0_0__un3_n SIZE_DMA_0_0_.un3 + Net size_dma_0_0__un1_n SIZE_DMA_0_0_.un1 + Net pos_clk_un3_as_030_d0_i_n pos_clk.un3_as_030_d0_i + Net size_dma_0_0__un0_n SIZE_DMA_0_0_.un0 + Net sm_amiga_srsts_i_0_m2_1__un3_n SM_AMIGA_srsts_i_0_m2_1_.un3 + Net sm_amiga_srsts_i_0_m2_1__un1_n SM_AMIGA_srsts_i_0_m2_1_.un1 + Net pos_clk_un3_as_030_d0_n pos_clk.un3_as_030_d0 + Net sm_amiga_srsts_i_0_m2_1__un0_n SM_AMIGA_srsts_i_0_m2_1_.un0 + Net un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3 + Net pos_clk_un6_bgack_000_n pos_clk.un6_bgack_000 + Net un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1 + Net un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0 + Net pos_clk_size_dma_6_1__n pos_clk.SIZE_DMA_6[1] + Net sm_amiga_srsts_i_0_m2_5__un3_n SM_AMIGA_srsts_i_0_m2_5_.un3 + Net sm_amiga_srsts_i_0_m2_5__un1_n SM_AMIGA_srsts_i_0_m2_5_.un1 + Net pos_clk_size_dma_6_0__n pos_clk.SIZE_DMA_6[0] + Net sm_amiga_srsts_i_0_m2_5__un0_n SM_AMIGA_srsts_i_0_m2_5_.un0 + Net cpu_est_0_1__un3_n cpu_est_0_1_.un3 + Net cpu_est_0_1__un1_n cpu_est_0_1_.un1 End Section Type Name // ---------------------------------------------------------------------- diff --git a/Logic/bus68030.srf b/Logic/bus68030.srf index d0b1a72..10f4c3b 100644 --- a/Logic/bus68030.srf +++ b/Logic/bus68030.srf @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Sun Jan 24 16:20:47 2016 +#Mon Jan 25 07:24:12 2016 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -27,6 +27,8 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D4_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D3_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_000_D2_2 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_OUT_EXP_INT_1 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":122:36:122:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:61:134:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... @@ -46,10 +48,10 @@ State machine has 8 reachable states with original encodings of: @W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused @END -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) +At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:47 2016 +# Mon Jan 25 07:24:12 2016 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -59,7 +61,7 @@ File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs cha At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:48 2016 +# Mon Jan 25 07:24:13 2016 ###########################################################] Map & Optimize Report @@ -84,15 +86,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFF 80 uses +DFF 78 uses BI_DIR 10 uses BUFTH 4 uses IBUF 46 uses OBUF 15 uses -AND2 297 uses +AND2 299 uses INV 261 uses OR2 27 uses -XOR2 8 uses +XOR2 7 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -102,6 +104,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:49 2016 +# Mon Jan 25 07:24:14 2016 ###########################################################] diff --git a/Logic/dm/BUS68030_comp.xdm b/Logic/dm/BUS68030_comp.xdm index e0b1a0c..ebe85f0 100644 --- a/Logic/dm/BUS68030_comp.xdm +++ b/Logic/dm/BUS68030_comp.xdm @@ -26,10 +26,10 @@ S7RCVMI="F3s Anz1Ujjd3ELCNFPHs"NDR"D=PDE8"S> SRSqS SRSqSSqSSqSSqS"/ - + SRSqS diff --git a/Logic/run_options.txt b/Logic/run_options.txt index 7cf6168..b40406f 100644 --- a/Logic/run_options.txt +++ b/Logic/run_options.txt @@ -1,7 +1,7 @@ #-- Synopsys, Inc. #-- Version I-2014.03LC #-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt -#-- Written on Sun Jan 24 16:20:47 2016 +#-- Written on Mon Jan 25 07:24:12 2016 #project files diff --git a/Logic/synlog/BUS68030_multi_srs_gen.srr b/Logic/synlog/BUS68030_multi_srs_gen.srr index bc3d023..d274c44 100644 --- a/Logic/synlog/BUS68030_multi_srs_gen.srr +++ b/Logic/synlog/BUS68030_multi_srs_gen.srr @@ -5,6 +5,6 @@ File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs cha At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:48 2016 +# Mon Jan 25 07:24:13 2016 ###########################################################] diff --git a/Logic/synlog/bus68030_fpga_mapper.srr b/Logic/synlog/bus68030_fpga_mapper.srr index 2c8da42..40cfcfa 100644 --- a/Logic/synlog/bus68030_fpga_mapper.srr +++ b/Logic/synlog/bus68030_fpga_mapper.srr @@ -18,15 +18,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFF 80 uses +DFF 78 uses BI_DIR 10 uses BUFTH 4 uses IBUF 46 uses OBUF 15 uses -AND2 297 uses +AND2 299 uses INV 261 uses OR2 27 uses -XOR2 8 uses +XOR2 7 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -36,6 +36,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sun Jan 24 16:20:49 2016 +# Mon Jan 25 07:24:14 2016 ###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml index 9d9d415..6273306 100644 --- a/Logic/synlog/report/BUS68030_compiler_runstatus.xml +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt - 11 + 13 C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt @@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t - - 1453648847 + 1453703052 \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_compiler_warnings.txt b/Logic/synlog/report/BUS68030_compiler_warnings.txt index ba2c16f..93d375d 100644 --- a/Logic/synlog/report/BUS68030_compiler_warnings.txt +++ b/Logic/synlog/report/BUS68030_compiler_warnings.txt @@ -4,6 +4,8 @@ @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D4_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D3_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_000_D2_2 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_OUT_EXP_INT_1 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":122:36:122:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:61:134:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml index 546b1ed..9cc17c8 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -40,7 +40,7 @@ The file contains the job information from mapper to be displayed as part of the 105MB -1453648849 +1453703054 diff --git a/Logic/syntmp/BUS68030_srr.htm b/Logic/syntmp/BUS68030_srr.htm index a515dea..d828a74 100644 --- a/Logic/syntmp/BUS68030_srr.htm +++ b/Logic/syntmp/BUS68030_srr.htm @@ -1,5 +1,5 @@
-
+
 #Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
 #install: C:\ispLever\synpbase
 #OS: Windows 7 6.2
@@ -8,32 +8,34 @@
 #Implementation: logic
 
 $ Start of Compile
-#Sun Jan 24 16:20:47 2016
+#Mon Jan 25 07:24:12 2016
 
 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
 
-@N:CD720 : std.vhd(123) | Setting time resolution to ns
-@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
 VHDL syntax check successful!
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
-@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
-@N:CD233 : 68030-68000-bus.vhd(65) | Using sequential encoding for type sm_e
-@N:CD233 : 68030-68000-bus.vhd(82) | Using sequential encoding for type sm_68000
-@W:CD638 : 68030-68000-bus.vhd(124) | Signal clk_out_pre is undriven 
+@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
+@N:CD233 : 68030-68000-bus.vhd(65) | Using sequential encoding for type sm_e
+@N:CD233 : 68030-68000-bus.vhd(82) | Using sequential encoding for type sm_68000
+@W:CD638 : 68030-68000-bus.vhd(124) | Signal clk_out_pre is undriven 
 Post processing for work.bus68030.behavioral
-@W:CL169 : 68030-68000-bus.vhd(138) | Pruning register DS_030_D0_3  
-@W:CL169 : 68030-68000-bus.vhd(138) | Pruning register AMIGA_BUS_ENABLE_INT_4  
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D4_2  
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D3_2  
-@W:CL169 : 68030-68000-bus.vhd(130) | Pruning register CLK_000_D2_2  
-@W:CL169 : 68030-68000-bus.vhd(155) | Pruning register CLK_030_D0_2  
-@W:CL265 : 68030-68000-bus.vhd(134) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL271 : 68030-68000-bus.vhd(133) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL189 : 68030-68000-bus.vhd(138) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
-@N:CL201 : 68030-68000-bus.vhd(138) | Trying to extract state machine for register SM_AMIGA
+@W:CL169 : 68030-68000-bus.vhd(138) | Pruning register DS_030_D0_3  
+@W:CL169 : 68030-68000-bus.vhd(138) | Pruning register AMIGA_BUS_ENABLE_INT_4  
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D4_2  
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D3_2  
+@W:CL169 : 68030-68000-bus.vhd(130) | Pruning register CLK_000_D2_2  
+@W:CL169 : 68030-68000-bus.vhd(126) | Pruning register CLK_OUT_EXP_INT_1  
+@W:CL169 : 68030-68000-bus.vhd(122) | Pruning register CLK_OUT_PRE_25_3  
+@W:CL169 : 68030-68000-bus.vhd(155) | Pruning register CLK_030_D0_2  
+@W:CL265 : 68030-68000-bus.vhd(134) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL271 : 68030-68000-bus.vhd(133) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL189 : 68030-68000-bus.vhd(138) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
+@N:CL201 : 68030-68000-bus.vhd(138) | Trying to extract state machine for register SM_AMIGA
 Extracted state machine for register SM_AMIGA
 State machine has 8 reachable states with original encodings of:
    000
@@ -44,24 +46,24 @@ State machine has 8 reachable states with original encodings of:
    101
    110
    111
-@N:CL201 : 68030-68000-bus.vhd(138) | Trying to extract state machine for register cpu_est
-@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
+@N:CL201 : 68030-68000-bus.vhd(138) | Trying to extract state machine for register cpu_est
+@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
 @END
 
-At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
+At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 71MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Sun Jan 24 16:20:47 2016
+# Mon Jan 25 07:24:12 2016
 
 ###########################################################]
 Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs changed - recompiling
 
 At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Sun Jan 24 16:20:48 2016
+# Mon Jan 25 07:24:13 2016
 
 ###########################################################]
 Map & Optimize Report
@@ -69,8 +71,8 @@ Map & Optimize Report
 Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May  6 2014
 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use or distribution of the software is strictly prohibited.
 Product Version I-2014.03LC 
-@N:MF248 :  | Running in 64-bit mode. 
-@N: : 68030-68000-bus.vhd(138) | Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0]
+@N:MF248 :  | Running in 64-bit mode. 
+@N: : 68030-68000-bus.vhd(138) | Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0]
 Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral))
 original code -> new code
    000 -> 00000000
@@ -81,30 +83,30 @@ original code -> new code
    101 -> 00100001
    110 -> 01000001
    111 -> 10000001
-@W:BN132 : 68030-68000-bus.vhd(133) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
+@W:BN132 : 68030-68000-bus.vhd(133) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
 ---------------------------------------
 Resource Usage Report
 
 Simple gate primitives:
-DFF             80 uses
+DFF             78 uses
 BI_DIR          10 uses
 BUFTH           4 uses
 IBUF            46 uses
 OBUF            15 uses
-AND2            297 uses
+AND2            299 uses
 INV             261 uses
 OR2             27 uses
-XOR2            8 uses
+XOR2            7 uses
 
 
-@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
+@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
 I-2014.03LC 
 Mapper successful!
 
 At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Sun Jan 24 16:20:49 2016
+# Mon Jan 25 07:24:14 2016
 
 ###########################################################]
 
diff --git a/Logic/syntmp/BUS68030_toc.htm b/Logic/syntmp/BUS68030_toc.htm
index e6a821f..508bb6b 100644
--- a/Logic/syntmp/BUS68030_toc.htm
+++ b/Logic/syntmp/BUS68030_toc.htm
@@ -16,7 +16,7 @@
 
  • Mapper Report
  • -
  • Session Log (16:20 24-Jan) +
  • Session Log (07:24 25-Jan)
    • diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index bfdac24..0a047c9 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version I-2014.03LC Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml - Written on Sun Jan 24 16:20:47 2016 + Written on Mon Jan 25 07:24:12 2016 --> diff --git a/Logic/syntmp/statusReport.html b/Logic/syntmp/statusReport.html index fe8835b..1c40e26 100644 --- a/Logic/syntmp/statusReport.html +++ b/Logic/syntmp/statusReport.html @@ -33,12 +33,12 @@ Compile InputComplete 8 - 11 + 13 0 - 0m:00s - -24.01.2016
      16:20:47 +25.01.2016
      07:24:12 @@ -49,12 +49,12 @@ 0m:00s 0m:00s 105MB -24.01.2016
      16:20:49 +25.01.2016
      07:24:14 Multi-srs Generator - Complete0m:00s24.01.2016
      16:20:48 + Complete0m:00s25.01.2016
      07:24:13 \ No newline at end of file diff --git a/Logic/synwork/BUS68030_comp.fdep b/Logic/synwork/BUS68030_comp.fdep index e80c793..00711af 100644 --- a/Logic/synwork/BUS68030_comp.fdep +++ b/Logic/synwork/BUS68030_comp.fdep @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401223968 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401223722 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401223722 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1453648836 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1453703040 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.fdeporig b/Logic/synwork/BUS68030_comp.fdeporig index ac054ff..aaeaa8f 100644 --- a/Logic/synwork/BUS68030_comp.fdeporig +++ b/Logic/synwork/BUS68030_comp.fdeporig @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401223968 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401223722 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401223722 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1453648836 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1453703040 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.srs b/Logic/synwork/BUS68030_comp.srs index b883ba47d8f01eb89dffe538ffe2802ab32ae429..f269fdd9e9c89d09f724cc7319eb6fda1d88f0bc 100644 GIT binary patch delta 9725 zcmV#8 z+~}SL!d;6FoUZ+zSqV%D@Gbp2r#VjHgyuspm1jN%iGz&Q#-jAhj^Op%z@CkuRX0K< zVQ_>)VsNglV2VrFqi-ieFKzE8d#;th=;c7yz+i9Dd#Qk?CzYdUjg>M6dJ_NR4xhRX zG!bpIn}d~H+iwia-hXtJ?-=L_q&VhtJ}>iBwm|vet)|c`kOq(APQFmm*wLsMbCw~q!b7WmesMC(dPk)qxJ|wPX zHp;Z30bR(`luX&+wWF8VC~f6#P(s$Iq}X*>MNSp=+CI#Vj<@$Wo7r|#mgvl-q%dU} zb5_jBvaC?8in8Rp$5wO;`Ag0=RGnF#7g<{TM@t@MNjhq2K9$v?XNCYv(w?lB>-Phk z7Yk~__Ma0ly$-tIUv*uNs2txn%fpc?V* z;r_}|CCRt8fexZ|W!W?To1`z_6Y6Qmcp%Vp9Q;G9hX(ocb3*qtO{&4Ypih=->Mzox zKL7v#|Npeou};J=3eTgGkC=ki+LVOa4x;mt? zu_9wXWyO-oOo7*qR2Q8#fO4Rs&??W9j6E(UahFxq?JXow5$bPlQ!oP z$`)B5O#8g8WeFUCZCPVeEjukr$TppiYUrfUAVp?N)DmFNo$({s9;b`iVQ^yqflSbHq^qz9j`;ltFmc%+JZa+3e8A`43a}w~4t1o~4j6TFS ze}DghmnV+!mLrsol4|mQW9wLNBv4w<-grV4?i!$)9>;|>;MG&W(p}FMMuCsjV+xD2 z^r1fhv$X{A1Sdph`&n~&6bBg_xVgj^`+DU21?4y^WjYnarG6{wjHLF!r{tN`K5lyE!QRTGLOn4NiMWI?=2$8^#L+U~vm>z{L+*l|Lj z-FsKsA#Z*^ufKEbe!6C#JlD^BpL$8=v*m1gw`8+r=WZGgLw4Z(`SrsgjDq-{EiY!v z>D@)=)0TaruAf2~nx#Iw4I@T(5#KGgydQr!wd?YCKP8j5%JCg#(Sq`Au;UKx^Qx?P zM_E#pC3ac)j;X-kNWBjuS)ywzuW$UBI1)Il}R_@OA; zJ5cWI`o(Xr&jy`M(T4O_{>t^GfMI_%*C|P0?&t*DT|m3gR6p_e30+KBxpsSBuXpPD zuJ5}kG@9u-9q=4xK=RG`Vh%Aa1A`76H~-0^PSy?TG-`RIjz zdHkjNg7seI{IxsacaQm1_l1x0U;Ob4>oE4h@+E)DulVbrdv%vTj=KEiG3UQJU-X>8 zQu@%MBsBDj`OPUG2sPSKHC7=OFc1vqTW9g{>^qS3 zOtpbBU^=3venNa8bxNe4H0w{QI-+L%&3BFZrM7KWFsBlx2Xro})qg*9co^S5h?ulK zGj%<8Rb2`26U+se2#HLN=R(|2{_r7QDB0XU|IX^G(7b;FRT7cFzk(EWf6cZIjxql} zME%PLSFb$p-d&-*1F8GSe|@3v`37|?Ww~w#$i$-_C_~^$(xf$@2im-E(i;c@H$&Ed z3vFLD^p>)9o6oLjo9>dzl3+gR2ZtTo^$)>;^>>{>@sz}OXq(1tJqNpM?Zoo{ucuB> z&`n9&fzb!i~4u|tYpNH3nhx;fFX6ypr zy)HNWZo0Wp?<40U55uTGzm3_&1-0a!D}bK~(2QKOv66eTv{y7;flNRiMEh0o;&Sk9 z8g^pVA0FlhejUaS(fvih)HkRnr}B+9MS|){ASHj3nTlo1nlPwod}VQ*?O(pxtn#Boh|Pq z@9I8rem9tXy#v33s=j^uHCST(2R7_q&-oz=A7XYNTlGa1f!4pw{r=j z*YbaJrDQeE!AdwO8$0W@k+B;#0z2;KXe1fRV$&Ydxx)|rDW^wkJz^J1ZeNV#QM>f5 zcK-s+K4Q<%Dqg>ub+nPTvpHIy@ zol@hUCn$)M!W66VH}Wy_WKF+q%dYSJ6ld#YCyOaL!lK*&;KMH@G1^d(Vfq`uIb#Nr&a&Cb#JKA3v5)OpkxE zcWeG!g*jyCNn~IJrJ!5G0mwr-`^iUOyMm0P`W|(m?e|V4t8^*xGngH)1CM#F)S0i( z8{UI{xANf``ZhK^$QIN;4*wX1{V2R;@%+N#_Z1k3uC_zRab)bH+4rHMlV^XWRld&l zoXG8@#_vYoks052%)B*Pl?dJ zi3jo@cq0K9rQnY?Tn%Lk2brSR<+NNX+V6ahadA*p&Gb{i ze8e{o3&dMP$)hp&&bEJFq%mBO_aTq^V_dfuAPGc2Q=JheiF9?>bQ*n^ZW)yTPBS;e#5}^Aw3v z(6Jt@m#fwb>Equ{i1@k)x%2N`pk&gLe^c{LL06k=y$0ykfh!Kv;aT`IsdP0leWPXH zsYiBjfDgTbe?4Cu*fsjl;oKPs%cZG6OM#JYcj*=Qb7!(ig)?C@Pg*cCK!WKZq~q z+b_RSvIB98_h2!n`UeEp#?sOc8Uy59DqTB^E8lv+dI;ct1-eyz+5pLY z9X;+Do%DbBU^Ci3ekE0GEs+@SlHjT^H$xt>b(}bZyU~TH+bB5ybzMx2F1Br`LN`&R zFTgIuHfwys!6LO~Kfl|Iz@~4NPftIVKlB`QVy$BpJ1Tj}RtoPvDhqOr=k&;yHfwXw zIqeet8q7! z8MKfYSl2QP)#hV4j();ADY3OiP@S)MN`WvIYGbJ8p{n?o%)j zW#Z`=*PQaP{=Rmd6xTXFmZEG2ACUQ(qF;@*gN%?UOPlwn;@hHM@YIQzJMYjp+oO&4 z?0bK-qwE-~k{?BUw}=PW*V^v2DU3x9hI_*B{c6*r`vsJq{DWs8Y{+#t(*Yk z&toJ3!*8gg7Pm!gLiV}bQz~_=;`qMS?*BN4w6SKj`#mGIIV8hO?4PpPFbRYOY>UX_i zaWHpkb`QQggTV%fIig-c+;KT!&+?HA^o7wc-akK*i7$^ZQCp9pFWs3RwEQDtXz;8}>Txd1CT*U`|9aC!%dm$eB4I@0k;uFGzCK z4`JoY7#}KuIRVoO^`h7G_l4!}^C*89+jvm(9Rt&9!76#%X!~ewfbaC&iOPQ=z*j3U zS5gwnLdW(fcn5>7!VN$n{{i-C+=#;&pS@`pPCv&Qo57el<~@0-zdFWG$V%BNW7)t? ztmiZK_r60F&;BiECI>9Rae=LX!s(AMZtfm=LVx@ z-9ktHMY)Qhw9#S9JU8ehsW2sw4G5pX{G`X&w84$G+o1jVEMOX6>^9{ltod!+SuJYaHt5=fZuH_(cLQTG&!EpAR9_1l5@MeWW)7ic~ z_TZ*v>_Jp`hB(4AS}_3d7eu0R7>{DGrcJH)kmm-^{OkU12Flx?RB?aVXBC%~sXw{C zJdLkw4EtQH+%D6G?aS&vMVG&`VH_Ny@osyF9>8bOHV=#o{Fuy7a9plei!GPqqP20| zmE)rJxQckQ52M{qE}OA|yO!9%TQNCRW;#2Li%Dx_I&OCOJ&v})_z>=*h(54NHf02; zOc3U~I_6~JSD3eJ;q!l@iO=)i7nQ38ezL~dt$H(*d0Coajz?4Gxmvc3>%3PzZ;Q*F zi+5D{r$weCuK5{!Sm)M(AyMeMCDXZg71qH9n{zm_l{b@MUXBOsBN2h*QT1{YrWATbaZZpt|~BDH_MZ~Ld;v3>`9fa-mA zrfk}H*XbL1;vsh6DV##oa0*h#DKO?Ej&KTBAhwA)K2&3*3y+5^jFeuC!mychyPtqa zAo;$?6(q8U!{kz3%C}t@GB6@1sN?%T$!M+l2jNp(em}EjWQpUmTl0t+n`k& z)W*4MZJhF7p4e4^tzJE;{C4?NQ>va z8KY~y>qHA%|K91mr#faS-iuk9cA9Y(lp$N!@aIu{2IPg?#7dj;sgfrcVWldUfMpv0 zOBL_o_`*dP!Mb=MUxb}VV*|kOK3YSGit~SAJv9eC%9Nte_lWshcwoi%=_-XfRxsYe z2QOm;uati&JRfb5orV8loJG+UGngfKpP+Fhp?%2?k6}>`vC+Zf$iyCW+@PfoxM>3& zShFVqbFz`w>}$=FIfBG3a^g{N9aoX#BDaMUr{bgU_3bc0AS?;{24!adGYASZE)<~zhKY@fP@{g65?r1pvY z^-_P!zesGh9nmUQa9kyl)Z)~&uO{JP)ysfJK3Kp3Z9T% zgqV+@;&duUL6|sLGj>O`K1*;^pjG_D%}}38mSgNts^8H|YZ(HD6!-zcC#$2pA}@au z`uiHM+Rxkkt~2|;_;ys)L#%pWgeLdVj`>oIA7IRvD4fB@UeE2dpv}CrBiWVK-yi3B z%T|94=V)+SK+88Opq@vA@#Fa}iR}l$LqtavXnnF4g#~906sQFfP=dh7o%m=zXmx@)rcC0wNS3 zC5(J*&eJZg@@LM?r;eFpt{vEH0&KtJ+HLx+r*ZM-JJc_>-|C$y+tf{Z{$TDTssIV= z$CA|KoM=0HjE+-39<%U(F>gZyF(3EGF%Pc}HQp$4i9t7M{et^ez*JeGZB2ju%*>&t z2ehSk5qJ_S9!|sPr2Netv&WP*_nkIvF^uW9=n+LXnAiM9&TE_OZ{72Udwg5`!)ott zHaW8UUfG4o(|Kb*i}2kQ+CZq+RQzOgTkQGNwp?W&mwP-5+pi`6)2qq$hTf}WgjlVF zpRAIWJkXof$-KVRi5xrI_IQ7^Z4S&KOwo71972)QWFp9SaweaT{1ew)(kuD1VXGG%l>|u`&;pcYc_hm=tqjQp}0Tikv%olBejqcuL!R7GDW)r;vXM zj7^OT`xGbqJ`NwabF<@6Sse25;oS2R`H3~V@`TT!WU1E6njYj(-SdB$IE|ZlEx2ME zzhWNaH2)_&65d+=w(-FcPXymo@f|35lmcL+>S9JiZL0~?W5Ts|GA|6&dQT(dsgL9)5$rz zM~?;1Mj&`j0!tF@!?e|V>iN+#9j84Z*Udcl@@oDy4JkUNbvo`iO6L4iS~l&xLC>u? zhK@OazA?G#y-kg_b?ij%k*;Kh!3>ilUDRV2rj9psVwxmA*jRt5zjYbfSSF{%-wP{G zi0`HFC%9MiQtunRRM)uA^s-Q)2t5@nHu#S^^aQp z!<<=NM|=N@`mBGsIO-q89JEIN?7Hx}{w=lQodxDy8$~oMFq4zj6a!ZZ8Xp=3L zUF#uki}(pk+@rk#4WIGcyV|~T+zWTk4z6+`J+#$9wqpCj*s@g)W@2(M^?4zUGhFO= zOolcq^Ih~~XLrjb%7P_Z-qqqUXvbV1DY+D|aKC7a&h=$sI?d7mEf zlC+S`spnm1bzg!+$w|G{e203g#|oKp&IYRV7q%-rKL!0&p1-59>0(b{k?)FrzFq@u zyWm+iBJl~#Rf4wWZhQY(x7qtX9E>*n(fi8PKGsvuTV#wapA8Qp-}Hh@RaSnj=?^={ z;?l}-dyjwKXg$9Ju4{XiG=E^eIO>qjx23kP-t-e5-|r{XbO!E2T?EzHMOOZfz<9lu zGlHmhMsL`>2K;n{<8ChsS*CI5^R!Nzv`+sCtv78d9b-is9Jn2;!D%yI$?)KjLx%TbRK`^23UJStLx0VE_7aV@7^eG_v=ia zE3}{DZr}2(j{5%Fte(G+)%$oV=c%`5iYLfdMF(cD0@8?<%Y$Ko)9$%f%iV0lFw3}O z>;Cl%JKmKM0*jbo!kos5QdsZZddu0uv9HbfV)Zl8Ts3|X@9kK1^7n+zP;c)4o~hh0 zE#H4Dd17nkQM(E-bAaWQ;W#fv4Ya*gq=CdQJJ(+3_HV9BbY(972W_miZhY!wEm!1n9?+8^Qy2IN0-d zbY2<(4_IX@W`r`2AphVuGZ+AS{rj2o*+74d9ot`k{4iKEaWOoMV^vVUbg zuO%_(#_9bf9Vu%$S$Vghd$Wf-$$aqFGXbt<6UQZIe6Z#_)MXfVY1_lqSR>;@XMR@s z(Afze`o!~c{k6?~&R6qLgn{daVpC|E7}xgojd{zyzP7WvY}|8e@2y%6i@csJ=jwkP zEv-Wb--lWrXa1hb{)8EO)%F`K+q23UD)R(v`?@Lb*KuWw4uVVF7HPz46IfvvR$8nY z=O%p|E*5+qjOl%BY>>tG;l}`My?A_2ZTf1i`T0B?I@Wo8V|p~#Z8o3D-)UkqyMwZG z-c27SuTdqd_}MBe(>bc$% zs$-E&o+#sp_+9NB6C?Ks%01%i92F?vWxbxt*TTNzI8Nypwhn6Nx#@f}%+Iv9Hl~Q%80wc7BJ!U6mS=N7g24*SG zT4ka3Nsyj=Sbd-^z5k4~$Om+Pe*EY zz0r649U*K_FYCQK&yhYix=*J&g z`kMHXfbW|SJnsz0j_Vijb)PT(6*c=I71oH6xD}xZ1L4GQO~G#O|EqtsZZMgMshhHK z5b?$0<2(v@CmM#^XxERO`#|wxJ-=Gi&Sz+zK~tVhW$4$na=1EUIoyWPF78M7EO2_A z!De{9m@j4@3?6m}LnjIzz*&C?sQm4>--_jCv_l!*757rz)8BrR)xBi!R(-EXq=V#B z-Ah%TmiJQKQz%~Dm*szD(Vo=hOY$@At9z?`b6=HLBx{w&`=WiQK;0MZ7ybRMAa5*R zd|>Y7Z>Qc%{azODW$nGxPu6ZAG$zvyEAJ-9g!h1e;K@NkHiim$bE%C-iu2A7iAx5g> zM0kd1s?M4a$7f9>XU>{Pj?bE~W9wiqpDuguom+c%7PmcDYnUx4}8l`{~X8 z4xO8B>nqy^tn_~>n7>%Bn-avIEMGz4PGiaMS?pE00EKTi_U_|zbYj`*7zg!|GLL0s z@>oVXkEOCH_1PDoZ>yLL^^hn)Zi{A2M(2Ll_Th;tuVrkVr)=oJwzI6*QC8;Yw%V%X zP~_M&Z3iA6$O$_{wRfV-(K!mZ2ckMZRu;LP(i7pT!~=gzTU+P1wdLXHJva6qAd#rd zewU<$kCfk5XB}%_Bae@t*)gsrJvQTVG!m`!x>9G&z|yZ$q3Komt3v*0oc|zxNN)V1 zy53EW;>I@4tj}UDbggw3Y3gB`Q0jTbnJ=T-xMdA1vY)**ZjI}82^%1dqLWs z*|GTK4NQMcwqa^*@pzNJP~-pOXK&4M9k=#caqBiYH!86lTP9&nPuuhQ{F6ounWlLD zeX8Q*CVrW>%;Tx&N6*gT&;jTMoqI6*VQ5nMlemAf5r03TW6ZlmpRgh4Pw&5ivj0Wy zOzWBDdadH`LnWBOJcwsrYpk_(uG)OSoL!ju&-H&3_v~WU{0jV#X3WGrkuP}axh=nr zXi#`ZvdzYF3)(laCo7-l_*wIB1z57xI{%gknyGUGS6Y7HS%bwnFBR6@e1^1c)n{~0 zJ{rvUPl|H>IN!ddtu_q%RNe)Rc}*v1eBv$aTJO~<=BV2D*1WgZLGR_X` zeUK-gw>-1{=0SoOEMgeRJ=^ZKpQ|$@tNpzmwc`?Bhs7CjAW=NTG1rp{ciaV+DhE(w zz|FnGm&N`!g^AcP#IKj8&L&>jV%r~T=Wldh2g7s5)r(v|JmNa;>cY zADiNqJO;+*(^xcu~Hn=eL{^R{Cqo9&W_PMAhWY7^lh&){W ztqWb&@J?&KeOc$l-R9lrOe3B-TN^(`;hA5VIjit2eZFJgwyw8zZS@`p5A+t;!~}oy z3lR&#xVd%S?u;^*lu%0Vtckj zm&re#>j0D314C7%)OGIp&ar*n)06Q7Yb%+T0_p)agEB4d2Kf2y>lLhZXRwtP9;J1t z6>yr!)2vA6mYZw}7d^HD(lWh;oz>looTDG6aH;q#!nGMJ?tdE(pVprtJ3lXyNQkA{ zxWPRa!d-(7oZk5Zvl5sR;2ZjOPIDZ?3C;UXD$jfj5{r!0#-jAh4&e3sz>W=|RXac} zp?8EsVsNglV2VpPpl?P)FKy>Wd#;th=;c7~fWg6{_fi2ZPbx>z_EyRm=vn-aJA7$d z&_uM+ZVFaXT7S{=2%LhpAkcY>bw93#4E&q8aZIndr^F;|utwU0xSixfR7VBZ(zgWp z8;m%cUcq;!ATJ;*S9!WB7C@U_KA-c=kGsp8>&q%c(# zbJonss;W_yMOE?bVdq|Bi!3evqa}~3A{~u1AKPlyF++ekY0nn(#m528 zix~}G&41^MJpZ^>8Mkd1JCPk&_%SasygQXko5Kcgl6_++!JWL4*ntb!yfs|?}VOdn$*2}MW4;fV!51` zCrm&FboFZou`P(+*86G1#t@!Vw0@`?tc-CeMur&C=ki+f_)N*IzFVb zuqkSxAgy0>9wcitWi9C=m@MUinn6gLHzblSk9-A@6AaJ8apl2#VU(y|70MVg#T zC>vyfFzxfEk|l5iwqdnRmF%=AAzO7G)!0d)L5j?ls3E{!JL4nR9;b`iU~p$wxG@ny zqH|WzUw@}uUW+jrM~S1euH1NaVQPm>po=GGr|VTuVcUifw&Xgpg~4HrRwl`ob!&R+ ziSYf73LPN?cFU&r@MNfgNh9n?dQZ9NeWY5jCGi{-)*ox445iliISKg2r!Rm041Ngj z{{Ha;Z!a9-HAg6ol4|nb!FPvg?MR@sp1tveDjVFvX?pAz)&V~~1uWh5Y#|D~KRu>l zah7}N53{ob@dPXcu|af3d|;xVHJ3+mkg2EZj}<3 zH5V7N%LzRNe>k`QJp2`?%!eR#Ef7|K@MTJPI@whdiY1txxihjLUZrC?X#;I{Z~yvx zjuSghNND#yE6tKOzn|CNId(r?vrn$$rJhIKIQ7_SzPekn`Kog_i$(!E^1G@G)+l%_M**?sap zIryFD5kW&1+!o)&Q%|UJadhyQm}bd=dQ-YSSB563H?^-1EE9x!ac4T)ePW*+3f&Ua zqs&VJe-_^Ec}Q=T577t7rNnX%{3hbd50XiFHGj%};`80C!<}7d9m+VpgL*4u{>lu4ylJ zUmOE&@MDJh`mEhms3`( z-NDmzmN=f{c}@b2rg~0?JcAjKe7m|+_3~_pQ?g=r+e{9*TuFYX`;j2I#3YFmFV^K> z_>ix%m#2Nk!Xf1^FFaeZr)-$L@Rw}$beOq@)+8(rP@9CcmU^zTrdI#h_|9l?KpR+F3X}{O>0d=@}KU@;fe|kTp{K|)S`}yg!Y|bk8ow=zm&Ff2PkmU7U zQU3HZo5PpFtYdcdG)Fqc^}E_K`@J_W3BcM-=x|&{x-PN~BT`NBP zhGj{n_|UwUAjxAXB&uJp$i=oPv>e;!M=MV^|C zrEV);OAlx`hB2pl%>8b6xWzGI*)H!7d3XDZy>89(a-1+>1T>kOk8^j+u9rQ&7=8F| z&x=bXXT9Au|FB$qe;k$bC%Mb#ls*3X$zPwhyzh3oEcq(U>rUmlx(Rig>vlP*)J?kF z)pesX0i8fWt+*&>t-4|Sf9oY*DAl|B2s&`LY=6g=9X4l5w<1qdT|cEj&;-_HP2)h; z>yLFE;c5NVceVP>+$3PgcvKSTKS4cg)!&Qm`F#{TkexVvHWzta&ypK`p2R=^v4}wq zxZ z`@zx2wUUaifUH2oe=RmqZ{xGbU-Z;<$5(wRN>j3A6UHXXNoSe&k-#$$;~rXyOspqt zv#H3fN7gFS$lO&tG@N}~byyUP7RLb(u8$A*VdT%*h2byICl}GI6ZF{VV-WK2HW)+~ z3r0H^rVq;Qbg&Px)O}ETv9M1SjbmT%A^r~euWy~zssHkLf02I!_RHBek@7%*02PA4 z@3?lG&+~4{3%X6t!7loGcVNj>&B1E;Z5DJQHW(cjM}8ed58?fV&rBV&?uD9@u=kM% zK{#04M(pB3T5>rR$N(bs(hZwzbUR&s$9{r-#}Tl*uCDJ6Z6-QD(E0TIoMXT5px-b~ zoSPp17zTqdf4F7Q;$kL^Uq=M-Qe1nOcea^qe0!ZiKB_)!f4%bVbDuNf8oslibK+98 zUG;j*S5M zHaU*@T{hx`nE}LAG4K}@9 zvt4fif6nmy`>67~&lS05fj|a}CxsES z+v{WQqYYn_Uk~<&@|g-OfDb8C_`u;QbB0rM?ONAT<2bSBf(u2!Z3bFS=`}kldpeT6 z9FK{BSI|H1vXVbxUKOT;&uu@7fN|oco&&SOKHu+Kv4s`?@g#rb7#8-YjxYSkIP~#{ ze`M1R%NWbYboI)!?%fsolQ7C3KUPmnkAHe_D!y`w=cHhCu2b;8lOr1hLy*CA{*#X> z8-hTKh*PH$Un})rCJBzZ3(OBr9z^g>+k+B zZ3k?(Fy$A)&dB>`wt9rIsq01_(zuD?AyVNX#B?>z2J~tCq!^Sf$k#QA5i5u$f5Tg5 zzWIaq?xX!@LzkS>-u{pK&%?;@UA&u~`Zyh-aX;uF2ox_#MU+<882ak!wefk#*+jO! z>r5n0v9bkhU%JG~VrV2*eiU=k=r)|#G(ZasR)#uWp|f0Qx*1LQ^2^z4P@xTnkxQ{9i=_tE`5j{@=#3B4`? z{59||L7WLUl4|Z6G0&$Ts~?<8wJurIDqDC@=ItY|3|W6$_lLCq6NAWuK}ql%fjV6^ zHhaEq1b_GtEfpp>0E2%wv2}q^m^sQ(;Q2v^PjKBTvbDhvGnkD7jmIf^e{r6y>z*Ve zt$uL*UEv2C{0{h6;Yg2P=Sp5r52Rd2XPqDfqt~%Q8zila=iED-*BVBMK7{Fm#+F=^ z!7)0lE{t}q+Qf3W{`?`j;rpI{6Gn@L&#(8tD}9AL#d|k<9{J%7U-%1l{X34QGOxP* zCjDdM+t4&k!B3~CALE=?e^QhiQBN`MX-;`|>8|J}w3 z3LNtUhm&rCz<}!|(!EaE5$6JJ4LyJPc;pE#zTdL2PkDDc?4q76=Ojs}3z8G6rFw4n zF`vNrC9aKq{R)Ptj=7AtIX+&<2wfl841%V}*P^U%Vb!6p$FfpB$6g-CT8@?alg20~ zaQ&S)$fH#zq!*S&r+1%_Oz1wJ?c3A8yBo$FIt6 z?1R`IZ}}trdYGd8e&pk?6*(po0YnUzVIBji>NwGgcZ!f!e{7UaxWxIlbule%sL-~d zP&ZLfxh~L@;#-?fP_D!LROW_*rP>sKL;DyOU(Z3w10)tMPGjsTT(4gCvCDtaFPzoa z$6A}{;Xa7={04^RNB+%%|Gu~`>jxqN`#wg1swQ_7&L5H7SIFy1>#_$~3H}c zTYiBU@OQLFYwg+hx!<+p%YBdgg93xFrt&NRV$7Z$r-?l|->sGlZwRzO`gt^c8NzbXVQ=uJbj(UZCuir};2HIVX_XoJQ z+x>6bg32px+4s;EJ=fO1p$&?(K+83$-=m+ZV~HI)#pTj{AU1!zwN|voWA%IS@79XE zF@F>Zf83hC%Duf-;_bCEZN=zVV=NrZ%wTX-F&iDb*=+N;Njzx( z-H1&l%6BdN3V}IHIF2olqAX zvL}?Y6)Y*`55lr@V?huxM0-Cu@nHmMruDYx77yt9F)3H2=%g-*K(Fj4l{_y_f2hfc zG+5Dr)014Uj-2W^mx@6bG2`YKgp(9_f+%AUBKIpwTtHNGp~m~czYwv?6BTw`uF|H~ zdm1l4+|5CK=kY;1o-Pw_dVN{O?F#zmcf+sq11HMIMBMYOn71y|6!(_pe~K=DXQRkJ zhLip77(RgSp>svfj=??*=>sceQ%Zr#1ZAG1V^1XpFDe+ku=8LE$VJ}&qVnM|H>KtaL%lA_ zyfgu2b1L&3E!!ql-YcIs#ph0ADt9KPQeu92HYu+88EjhTFM=VF>$(-wfBB8MPGg^= zJ{xY0t`I=)HfB2+@J3wW$gZpSvwIGIcAN0$6O85@p52+~oTAwAycF@_6FKLc!J_N$ z5R2Zi@_qU*aO}>&$dgzod=fERQD6cwPTk6*Y0cQ{$PLlf-h$n-LmcyySc~z{?!hj8q ze9}gdb4!ah=-X}3vJDtkOB-$e%M-g&I19geQhNyGQ@$qvkSNltZ_YV z9^_2O6Ip!4E}mrWv!DzP79qc8*Sj(|QMFGnCsklRA+EP2cVb)a#2K%|4YdypzK6S3 zRxRRNIksNd3C5n)wt|j7^&>E4x2HH~CC?F)Ol0EJlWEgX0ei0&V5BEAQcE?h)6KFW}tWBBs~O9Xl0*gj^Hd@AJ$Lcvt! z6tI*QJe(-r!|?^nAcS>s1HKG8p^l$|1oYOfmk1T_*YU(YWlB+4@x?MuQsQ?|&PkvS z%&pIT@Yfv2f2B;t3sf9|mN5X0DR!92HvsQ5Nio5GAldOTf5^*WE^!ePs9=Z z?9164tBU_vw=b4Xj+^Wx_uutADRGv%EC3yA%9t3pNIaw?ur8ds9Y;a`x8WA`cZgfq zK6M5AAyr&R#T@^7sl@LvJsy_Z?3ek%`(+FP>`>t0f4=;}Wtn3^Fz;D{U0c~70^ix! z>Q~1f2s)qOecJgcw%pLy#cwOW^)^Re$I9$I5SU|Kr=hw|Vo`@}n8F*IY=2YNxjbG0 zYo+#~fl_yi{T+I~dm|UO;`MN8<57;QY=8Nli$Ij=*q5yWhbwcvoJQuMJpM}1Ap3W-o`JQd>wfo?%9c2=${|xZ7C_5FW1KqggL7eQ#ohM2ZB^!02u}rC zg>)jJbi3LIgtpVVw4FeQS8_VFeO1c56lGpo+rui1?*lZXXiCdGOfC?f3KN5^=-jjY ze`hnlJdf?J=v*(>^M5R?f|5C#vV%Iq|hq_gmX> z@%lT|FSg(6o+(?`O?v)_=O(BE5*PQIBql#c+u0LzoCeW^1xMU>WoRJclffk7!PT+C z8+lGK=q9aSTt{tXS)*-Dz0}O1rU$g8fA=AH5^EmJg0NNoW<9fym(}<7zBA8W(GBJ| zH|i03aZdI(?yJOo)D8Y&xj#Ccp4fe_?84*$&9-mYK#qep5b8A*KN;Uv@_sD)xY$RV z+kPiGq0@s~k?l3TSIP+CtOdB#Nb4s#h3kr~SIOVi`|e>)z%LqTJjb>@9&MWgf9J?5o}#ThMc>6!+UB$P3b!u=A#ILyjdzSqh9tkQrzS;*@xtE+Oz5@lXd_nDR zYMCq)mbgY95cU0?669(d9$0@@#}YBNV$+bx3rBrP!CnHjjQe)`x24~Vel*TMj-Te( zPi*C$!s-q9aT1hs5F_#Yz8kf3A_;Kf5lxu6|3c zxF>kZXnTU1Ki$VpIhqcrk81lbjJ#-)EtXvyBW{cM35%V2E)1#pjOX4}_UquDxN~-} z)>Dbv7J<3u*uF5fY=L(w`&Hwp`b?JEIXQMbCPkZ-c@Fxq^SjjwWxrM@*sgHVy3%JHX>2;rH&cGT!lCsVXxjyG8WCd>7md-@-0#ZV^#1pe%At0@awr)G;peDp1ElyE(A2@w)qY9cZJ_zzpwZevv8o#)Jlj4j!Kfm*KxjI#EQ|mc8t!& z+yHBDXnCDlf7iLrtMAntN6mhn$q9w_p(Ba1?@sm*rq%p~wA#nEoTvUK->X2rDmu`- zpmH>|Tpo^coc6%oO73P8hFQcNn_?H3no-KtloFS!W0Qo&i4s`v{btA6vS(kLGu*1@ z&N*uQB0AWy>iF*|o1@-5{5@B>VOqZ1dw*Quk3{D2f8yfoDmr9YB`$`~?0o$)FV|6d zTKep%hJ9D6PRl<_3B?1wTI^wxrrI@4%=L!yU2o5QKkQla=Ay_=p)#G% z0WzHM}9?AZPi+$NH>Z2EmpjIQzLyGUsgC24Gd@`T z9qKZSyEL7hR$C+EL#JL^`p{{M58d*-SbuGEpYzpR6k*`{#i;l+O-xdgYnJx-q>6Xu zm`2liXg2P-!@cjCZ&#x{uP4p8I;%|U(Bb!yf0oCocc5}GWyW5${RYeSEOUm6JOSIj zuFLyPRNA6L|5CR_YO&fFIK#m$?&|_m)&2fBS}ys*pV0fr*dWXAqmMq=deP*b+VoXl z^YeK)bfWY6CiG;kn`}Olzf;F%_D5ysyqi8uUL)`x%7nF>%b)&Lw~u?T`tXDEg|Qn> zf5;73EUbd5D~v!Un&;6*Z^DtmzYFm^fo%9ZA6w^(;r@TeBc9Gt zf%09}@2h+*>^qL5O~08 zykt#rGZR0Dv8)NkV}SY?p%v^gZIxwdf8#l$g*We}nwq zTo zA;{2JXF@>T3fv*8#&h3`b|{;cgXlv;Ut>>FR~Bat;Mj5fe7+g*<$uC@KcvDMK|o1| z(3pX6Vz{PYw-5f)ShsbK0n8_xfA}F^EAo{(-rdzqP%W`n}BG%gTGH%gemHtd*Chye!^Z z<)ziW>VK_$S!-X`+LyKVWvzWFEA2^Hwm)O+e?enSu6vuROeo~Cf6WJ&OCI$C_Hh&P zUU1JR4#;6}QXP;nw7?r{f4M@rFNGMXjuWl%)K`oX5pjYi-NdaisrtK<+y=0h+smGN z=TzRE#cj{z5ZHCb*16T%A2;|d*kty%ss0vi*ruFQtBp!N34J4bM@y{@rk zq^tE>XnIxts*vUkAH?&JuYX8x{GzJfjT_IMvCd~v^O5UXQTjzmjGJySwLP`t{Wg9) zj!vJwHExaTHetpE{TTm}mU}^(p4qYZ_zg@=HeqT_@pzNJe^BB7(`RqZaTT|A8*%F< zIX5n_99t$~PEXVG>im;h44EeQHwF?FCpYoStYIG8o}WBBheP|I8+7i${D+}Qv~OZhRzA<^v-;m-uw<)s7N!qo)j#oC=_D5F4{E9sdr{{55 z@tH=Of8P3g7pe~0ZI^4~Lar6{H^x}r*dH>~c^;N*sr0j+L#gL4Gc;Ms3($8bzT5D^ z)cddZuZ;atCfVnsw#c9pd=PoK_OBs4E+N>&gs5};iHe(B=WUI%e+&=6T$z1OV>CFo*_f^R2IH^W^*B55 zpW=b=a^DoYQ1JkGN9}VCH9lGJ&^~RzC~I{$;+`Gyc06M^Ds91CY~+KnPjP=F^8VPr zJh6?@#>rS|cMLBryRx7W%*omLmnZ(?EOO7;HKzS>gIzQJJ~Gc3kKd936}i)3f0?%0 e8uG_x9lz80)b0Eq00030{{sN>sxi4#fdBx0{TliJ diff --git a/Logic/synwork/BUS68030_comp.tlg b/Logic/synwork/BUS68030_comp.tlg index 480482a..d3e1286 100644 --- a/Logic/synwork/BUS68030_comp.tlg +++ b/Logic/synwork/BUS68030_comp.tlg @@ -8,6 +8,8 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D4_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D3_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_000_D2_2 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_OUT_EXP_INT_1 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":122:36:122:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:61:134:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... diff --git a/Logic/synwork/BUS68030_mult.srs b/Logic/synwork/BUS68030_mult.srs index f26060f3bd95f0ef972583cff3d197c2c695f2e8..f54eff1859b2c02b34d139f245aafc9f6aa59c32 100644 GIT binary patch delta 12768 zcmV<6F(1y8XtZX3ABzY80000001JFl&5qMB5WeRrMy)D=)K*QJwroy7#bFWbBD#CC zR5{MH8Es-m_Jk7Dhu?8v5pduTIhpbIHy3YhHRB?<@RB)T||2=2re?Gd!CfNMEJaE7!vhRT_~Ma!B= zMB*h$(|JPHegJm=Cv3%(v&{e{LLY>Vgu@u;D{T$Jg}5FC8GRc@dE;zFQ7eEuf&M)p ziH|mjwX;WmjD~)K|51l`O#@CCeUzJnM{!&ACKArUd8lM|p2~hqBLe)F-S{qT!{S(g zHI*bYSjLSd)B7(ExA%94Vsc%?;a?Z*y6d9t8>ZmHB$@6XzZR2yp%jJg6MjRlRFK7$ zI-pV|*=;@+j#mBx3B1JbI4RP6m1bFz$M~S@QP7GXS%+0m1=)w0&)l+B&!P=khBM zsdPYXBM?@A@TEiO>~w1q220Sp)l?QFZKh_LnZV5K`^?e#?`JJ1cAPh0dOuIK_W({} zJNEMOdb!r}M~{9aT|2bxE+L^Ee5Ul09^PEUFM}25A^mja_?Or2Vd~FY)W05HyT&Iv zo)drCx_0T%_{6S%$7D{Y*DaqOhW^6+w4QgJ^!MfPZtU#lYsa0_R(I+Tuc^V_dh6{S zTv*k>Xk+2e9gpp{#r@SNDcP|h3celQCK|3$2LCia0+V0z-;Ti1i zU>M99_g&h5;^KYQpXZkh`qTd(hl=Mf*YnQ@w!LIq!=G=rE_KFYKGt+NXP2LMe|zrE zb=M9b)9JMJzxWJ}yXzWvf6bk-PrZ9_zd?s@?>x4?H`rl&xntBFb6M`e2!6U-z@!?h zAdXf44$Od2^FPVHvyk8Qck`iP96IvZxyM|`dvkz)`a0JCBxYbG5eYs7ACilpn}i=o z)V+v4@OHNykno=<=!E|}rLC)v_D7(>*tTsF#{)ZINPjFD@M(x+>JH~HA!6)2-$`g^ zfOCR#Zn*Sq-(!cqYrv#9E)y1~DSzA19i`Tcs zPqxK>kGHkLwpQ^MyO*}r`%>Fhk9NKsYwHW`oo*|_wjy}1Q96dB`Y{}x9K+G6F*FH> zwoKj~*)L*@Ml}5dT5u0^*uR{!gXiA+u*N!r7p#vXow#o)(o)|=x+cIcwyk@bT|eF) z!S)EW_mH*s(A?g`iS{1OXwSs<%wTB`zLEBS-llvqXfMC*Ik6{8nwCxZq%yVx+F5qK zwWn@Yx7$BJ%f2(Eq($$yqK@>rEw0UN|MIZDpZms?uJY@o{`yCkel!KoCMNJ~J52Uu zVw<$|DcbH25Ay@Nbp3npuH(=I=KAU*{n!m`6Nb?a;N_Bp)7tUtB)qBr3GyamOW$sP zJ$AW102B1@X=^ogv2H7^+Y)sXO6uz-Fve~=#<=Fqn0VZ7vwuAeW=~1GO}&2&o`BgV zv%2^2p4$I{<@z+6wmYqlS7JQ6I35A~?On8cZFUv}}xX~-}5BMSweF17R6xxls4xtz9W z_v1%+zX+D4XjNor_@i^nZSa`wd_Cstx>^|5t(dGl4HMX7B%R8;JtRd~0eO zujTU)TEr4dhv2mlTmJY>UpDId^kq|7%QM<}82w_)-#^&Pbfe}a7Gn^nV*vC>v(Kh} zv^)QLf-$&E#{l;pc>2ly=VicuX7uHsCq`$3f7sK@j0{*^9pc(_pypsD=mP%Yv$uox z;GZ^q8oaP}`*zUu{9w?&Z37Ru#C00MIE2K8-J-i>PY-+_a&uyH`mlV$x!iNpo;=az z1MU&_-sG)GmA;-j>2;`6tbGnFB_K$%;d1}C;(1uxjl9ZC+X70qMzc-wYePrLboQ%muiQW%#9neqhljCy@BSA^ zI6LpM-}L1az4B1 zI{~$ZJv!L*ri1GG0GVYV*2R^07o^L;g1>`ayWQK+_GZwoqer+3l{Ls7ZQ2`5+nah` zoVF8TJ0|?4y)V7im+E_b>GT@+{%P+^BcHdrNPEPBJ;FzVIO$1-_-gdN~zG++taB%{u< zC;5|wv}jv_nQ&Ww)rI|M5A6r~`-^Hb>lgd-@SDo|+Jp4HezS)!Q$`x!ORux@`%B(7 z(za!p38}RG{rmE<($127f82eiUP4&;{t=YmGy6Ijt)?G%uQtZGW-NOa*h{*+o!u^% zwY(k58|iF0Ti(L8EnWkK?J)1JeR^-KfW|$K?yM=pdH05Y*M{#n%h?hA^4T@$yiQ-xu}P@ail_HGk9rHjw$6?jtv;*|j~b4D z&HA3hP|l?W0i8GoJ_*XOM;2_mbC}U5=hkWV8T8So{?NFFDxGzoA#WuXHRJ64$d)a3 zpw9NEASRuEdQak?ejlH({WP4MtSdOTuIO}K(64mQIzE0r0kQj>HYf1dsd3vgpPcia z(6`{6zFBAYEk4<|dv@is#hXljJ>I`Ji@A^sPt(a7iO);<;PE*@|p){U$frSzuK(q= zpPm?OL$mfs=tL*_#0M;dSLL9tZaxRx_@D2N6p3#pVu$LF}2B>#!euLuk)MKsD$+{2c>~SN)P~Ja_?orPD zyumUWtE)Op8zm)-kUY-c!EoT_X@0>^-xnH=`|neIHP3v1|G;I&zlAP#v`zDH`aKE0=NbJEc5G~% z+*<>n4`V(SHl#D(m7yMdYHghH9%uE>L>}p%zK@*$9;D5B1J>UBW-lB5H#6@1-Ct+y zU%d@5ldtFS$Nb*YGC+Rs={58fc7RM5A?Ng?c>l@1gs)x8;pw%U(~NnF9;}7ux@Wh4 z9h`1Ea@oRdc_-;mvyq?JtCg?i1Ru}*52xN`#FWqBxSq6P?$q_pk~>oW%z1k~W1+G8 z`|hunf0CE@_jj(pV^@aH#{IF++}7c=yn4=jYP^2z`+as8pMJ&<*q!g*GvjKmttsv!{QSLt)jDGzl=sGG+`Eq0b^*Hdsc~Q?S%V(2Xc@=f z$@ENixN=U8D8a8G$+=ChT~Cb7Zn0&3$0&TyK7}s#aVxMkXtySDWWU>STiMb`pHUlX^Qe2R+ZZS# z1>4+~rsXJi9P1n0YjXP2b~*KbcGm@)o!&pTFsUf#{rzMs?VbdEmBi-pJ6pf^XGl4< zxl6rky`8Yw-%ynAp|7pUbesA`gg-ch+PG(@wymF&LR~({Mal-arwN(H>3C^=ZZTeH zqY49iqQ9Fg%j{;s#11Lw+R@4;`8%0tFE?#Y(szP(;)xv<#vJ7)%}9MVSERDydv!WjlU4M59v#V^|?*`Poh5ao!u4n&7rbT z+*z2rIn)(mUGn>k(+AWIWj(93o|WvEw5I{p7io08WuD;}K)v1?Xu{Yr$AJ|keTc1g zTA%d$yt6}5pTQ1&y-x>!!i-%%{p|JW0CeAN>i=8WtFFyM7$Tj%gFf4n(!7s4$<)sN2P5^p3=$Z<@`lFrRw4#=DmEc9Uqfh;8Z%g+&yYQ4qn4r|W2tJPUt9 zp-#EC?-J?_2z!2J$&x-M^4|T_QtO;|(Tha5{VwF9pLj2OOfJ}e%b9PA5RxBt-*SLJdZ zLU=cPPgqz{Hf9Q!0qqxP7`APN?ci)wu@`!r>em%-v$=(Rw{VFdJt2P=>jVD($_BST zXVtz-n&FnVRyC6(rG6cPqY?X2j zy6GHrP05G!`$n7M9-z0+Za1U4vPW9{E$1eZa}(KeUr6MB|1+tKnJ7n^mis5tRfcOr z`pf5oaPcS3*7r!eU!QNiydVRNo~dp-&BmUrOoVk2wWg(i4!nO(OymRlxRkHyHdZs) z+muXZ4_{|uZP>*g2{1@;T`aO zNDK@)9rqu|87lPi<5}?~`91s8#=0y1kZi1f5cUMxUtuhs*pCDTRM}w>3xKw6Ij*oV zz#HSZru)l(h`lKJNS`m=K8y6M|9;ufQJG&tpCUoaAS>{0I+hupuEK|7R9V zz<8R(QoK%Ji`dKNiS^neeJp2$AvWpdX~TLzFBKbq^$cEGyPnT?xI$b|7_LMcO6Eh^ zNRFqJ|MrZK#e_=PaS!xZBX^Mq<%ncT9icUx}D=Yc*}3pRg)q`iF$a@fy>;w@Wt zX)JE$Z-y)7ALY#0vbP`+9lIuQbjUYbM9O@B#Ow;$dS$;0xO(^9&Cpu}LQdo!XCUvr zT}b-eUQ?})f$XD)ecUdZugw-h-sJlJ&rO8-a2R}Ua**!jxZVv7p`(Yssp$5SrhPMR zhIeQ?GVlGcu1sP9+w4iwAdnsp0w6+*3)xJKQMmJz{0;jbjBUR%$KG%k=JI#Q`X{b` zNgShU+cLud{)VPlldA0|IjoM(o`?0a8TrD_x@VdYL2rmlLjFS#90TeUu19Tcu%D!` ze<@#6rbFt#)psS!LFHC#ce|OMRg!1Qbr*cAS^ed*!f$McLpz8)1%2vWpW6qzOLdc7 z|KK1_uJ6#pbmydN3sJ9dF9*$NzV?lOpN?pMZTNEs@lv4Ii0|ck$J`dQKIU|>pkq26 zFXUP^Ls+E1#Vu4R<$9KT)tZjiI^VDRj(h_4T7@@7d{1jugDniIShR;uUZ03laYZbQ z+xOgS>d!k<(#iV?d2;t82P$ng_#vD0r$#6Qkzut&jv5u7dS zj8beH#(H?nb^ZSJoX}23(n)|K6bd`B~S@KWkJF8P*!!Rz!^_X|< z_WjPBB3@SR(JQ3KmFRCIFsP`1A0bVSsj;SOLzT%r7BQ;OW!bOX21%y(vn{Q}GXw^$ z!>!4B>-t9;`X^*e97BWCv9Vr*%nB0dhzhwBzKiku_It2Qeawfxu&upfezCskNZ|8_ z0?+Gu{rR;IGfQgaq_(Z%1oAavjMtt~&?jk=u8DI$V86fZmP-D4)jYRv#|$MD>**02J2`EO=Z$x-6xyA-!DZlORtu%2}|g{&?+68LVR+=Tni8`4QP2sr3)C z3n{OEER*XWRGu<#{o{3iPfo9^F2*r*1x>_~kKc|;T1< z&}QwP#5>Xk8v!|B{7M|!W=YPCulPL+GaHhB?TvN$DhByX#D~1#6KG_&jE?;S3VV@pc5obXN>;a>} zjQ%3x_mZ$%Z&S2?>)~30HQX5creq+~j$sDOl_smM zG;N_Tmh@D`1GILC_dSvbB(QQCQ*j zDnyGpk8(Y7J9Otr{|={r%f7fb!|isi>U8OLuE!a%{YbCi{Ta=+XK6MBOOD zz%kJObUti&@rXqvLDc&LaJpq05;!?lXF<4t?LFx7B`0`*eVNA=;I)!V7$ognvtL*y}r24)>NTuGcNS|*tL=` zgMe&*pJTttM9K@9-NJ&eE9nDQ*bv|GuGriGj`&&irwNO@`%Igjt;|u%6Oa28(Kr^{ zXjTU~DG>JDSeKJJ@oX?|V$A1N>Trdo-#>tYBR0T~RoQ&aHn5V5$K9Z2vs zq|1LBu7emzyoNsQ0fDviEgesg?bLTE+u=}uc152p>6bN&eHQzHDl>E0PGWeK&%LIu zpxnnG@1wXja8#f8Zc^y4srAuPy_WF5o1fW1mp#RA>>E9NuFRXXsbeg2MnjP) z95xolvq>5wCuH_*Akkmht;+Q2aBBRS-3u zNS{`KF_i)O%dD>AN6F2wN$!@Im^-@r>!@uQ`E~gHxV<;y`ytvw6Ni$rzgOxI=+}cK zhcFc2Rt0&k2|I9hKT{iac+Ne2=de3}%YI!GyOYs5M(?hGwM8cGOVYQ)YxZo62QFd1 zY0dpg7VWj^V6)1jcDX<-LW!bQQDf7BG zU)>i_dp#kv65q>ye<4R=M4I*!g+nj;9|UeUM8BNm&+z_4+h6kcv0?&JI#%4x{I-Xm zp9{W>1MZ6l!aiqw^$1TR1)e5-mBwzYc%}RveY= z>LT;nzRk71Rrz8ahEV#QF`ZR^K_8U9XR&W^keN!qi#R~D5hGZP3nmp@w=l*E>uhs``d;d(5PCJ}!IqIQql zLax>9n!-;m{pFe8xf;iRdB6R=2e|}e!E5r&)cMg0`F5nQ&siIdxp$Tm`eZ(c{9|Rm zAJy$;uk{7-2Nr5}er_RV)kF-WRn*4`S8~kQNqrP$eH{A3=R!f|++m=bjQO!^rPz3K zl4cPnJPjs!U(qK}+gEZ>@npK5 zpxb%tuIqR+O_xw>T#GxCn0*V=Qz0nm-6|^CtyV%d9rOC}0eN*LWmZMqJF0Ns7jcq z(b3_Ehv$v8#Kut117jHutLGG9l>M?hMKg4zrqbwN*Akvso0nd(ca~js% zr{l5kX-M0D^d6Xc8PiS@CNStKbvH*b|5qymOXn=i)A zRIikO@ln_+j%Ab2EsxzdEB@i~wSk}d2w-$fDWd?NJ?e8i!`Elk`TCF-l=jqukBYLf zqCcy~4_LPCZZ~>eVWF-m8yEb3k?{M4CHbja@%xqg*ae=e_hZ{X?^=B1T2#8$>MNV1 z6$)Pr+9boGP13B|B+a5t($w>FD4S$AB-ToQ;D9pQoyeh4@R=>g?N zHT?L3b{=KUci^+`9L9xSZh4Mn#61@ysH2bvWgZ(8?7dofk;euF9;>hms5_Y}+cG1Y zBW?m^JNEFkq&xZJ-nI0ncw4Wh z$ZMrNH`4G*6~9iC-$m=A%2VMn$9Jyg7%S4PksoXCll0-=49mWEx9j!kF&?eQX9z1* zH;fT)6v14(3)d^eQ%90#M1p5n**$7+up&^H?I;R_txKFUAn}uK{~*pJfFhEA?Z5JO zhT$+}PL=!LTMF|la>!NknkxMW+lqj6SAwoyGXE=)>-fb@V+zrSljYqh_)iM?qt4(P z9N~g?6pQ#~^d)Aoh?*@==9D8c<`CEKn9Ze+#gsmlb9jfD=J-X}k&v&^kG}`LR1-rg z^=H+8LEW+~ z2yrm_TrRq)4}Qy;b5?njV;u|SR(`+YWg*NI&~mU6K4jfySGE&3V8TeV4Yzbni|IaQ zD^_vk8YW~V{D&)B#sz+n_#U}G$TDo>3oD*OBW=IP(@@UCI%EuZDL;hZG6&!tif>7b2z}X%FWpH?*{7=8FS&4`Vlj@4A&lNh{8 ze3q7LAmgpFOnw&P%8&6!eeJwv{r`aVkGW&39Wm8*;Qvm|ezf2cXdv)Tb@hh-j zi~J%Izmb*c`r%o7H@1f&Ul-d$xgaUDCECGrdor0o&)0aCe1?KS7~6Q3O$kSy+c_IB zx_yF?=9u@O ziuY3WM|y09SBS?KaSvp+=Q(~8^#>wsG4Cu8pzSnoNSIV{ZKyk!uF1S2I1ozaI-x`4 zwuO+OC@DM>scm6ZwJofqp0HB31%19mo~bC!U77LWSnMr-v0co;qwOuSOtXopv)fK# z77_OwX7Lz1diR%n*W_j>=v&7l!a6`%BFud8`d(CveXrA<%Xu_2TU4aTT61iNndJ?W*ED+JA|M_JHp^>L%^CXhP{h}k z^Dk2OQ2X3}#i6+Fby)-XJxmSkT^7(pEOUh+T^8&asK4U)V@_B-r)sVJrkKx4#M5Ty z02cCBWo7?ytg>t`J=gKPcx8D`p3J`^Wqf&VUYZj}zbC2mQ^uvl$$s*heffoU6y{m( z%?*7H?d}S3u_(tNZb3ZP=BW-*8{?$mt3f%GYozvnIT`bm z5Z79_1sX zk&je=pDXhbJ*RWVOF}$rDHLYRK=2aS=TEgC)Z{QeR$dneA@r#_Oh6LZDkqv;)5^IBbQ&r9Wzd)MI$p&`pp_rhzgHNBiTXFJeZ&Pw`yadA5V_a)u2Wl7 zmg7Z_v#aF+n*BFiiIp5y*AJ=EY}qf*K{jiDT0h6Gfs9kATgkr`+8S{GGXo*dr|&BK z^W}F?K^%-Ao2v{t}> zW!06}l-2IF*;%_{&FL6RIoGfT)tvT{!d(Ar(fh z%Um0p9i$k~9K6o{A=^74KLGUwF#ROJxNC{$-ZL|lc-K3+-7Wr-7;Tp3ccsPq&_1(v z-uuqnc#q%v&iljrol}laNOMBHU(erv8xF=KiF+5$`$7!CdP2+fhjBmUL^eB-4Nv|JY!JzWr^Rgw((rT0!9G!CFDmh^!Ennrr~UBncFVFIDUjSaOrCo z`Yf;bhAP*j-GV2_W6+Pt;(0%RA`ADd2;|3Dr!SC2&D#8aMSVHAG8<+5$~EMpAm2C2 zyHl^PVrD_64AVJIWl9;3rRVrlSPw4Z&!i7gsztV9Z&ew4Z?;-andmqYptFg0Q7xRzP{GL3%DBZiH>^@r-ayGpRpSbO$^~)Sl zO?E_b#EwYn>{BJ*Z7HvH!De2!7J`%y6VyB761Lp(f`aV*vtQi@V2xQv^vz?}%)0(W z7|)JJCPz_F#lu()m_w_7m=DUT%?B0h`Jm+YLY|f*I?nNa#SJ)}xQNq<3pgFCPPgnu zw!q?;U-t!^sgA{#G0(^N#h>P2%-X5N&vx>dQVa3r7O`XGqnIBS-aEo`2@JL*#LY)ir zY8*;8jbrR$9HVwNLEX6odJc?4x9`9jGco^|39HQ{X|4l#{?AV%mvhknEXPy-u8*^R z=0nR-^{4oBsGqC)%`42pT9}ut4C*!=c6Hbt^7;A4$j_RunQsfRxp<}on+=pc{m(Uh zn!SK7!iYTQUhj8*9y8E|t=F_yh+!|u1L|Cj26->g&f}uQXPt@Uz%_H`R@-?*emCp`PXM)w(x8lrJUUz;|$-Wgg*s+?*RX&!W;fy8e zmxR3_OmVTPTr`gJ*n&)6RA)t@{c@b=e<{*_%{c%KJ|eY$mytNk2@2ZaGpR2QkqUg`9_ zSiGH&9d^fkQpuCOxEa26Kb|6Mt6p;>RgEy%_7_TB24UnyUyJ&#QBEA)ihF?y@{MX%k{`M3CeL1>%y zdSX6M4u^H>Ob>@MV{<1$>Ug*w%IiJTNh;^3 z_D7mNkP>veL&AhK0|J|wAUVBTvjCy^-6&%`*ojtVxi znzIRCV^@-O*XB%>HZc=@h7rP*@uZMy9#XXP>N$;yaSi$Pf=(LeXEW*NHWlMT0`+WD zzVy2D+RA!jnJ$=n5ISQGKNk8U=tqrf0`!6aQ{4sPd?Ed82eXg|EGv__f=wMuki`%e z?Bhm%J>4jocw%=IF2mmQ?tf1x^^Jc2&H+tp@yQ!Ht+DGE_XCgW&$RkO0}X3bj59}a zC-4c27^DLN|8oMr2@&^!!sT9i>&%y)<5UK`*bl4)7T0|1u*!2L+N@S~0CEKSFV*=> zFmMx2DR&7SGhh`)YuI;S%-61WnaXcjUdL{KF|Q-OD@DDKaNhtWZQHN5X@w#y;LZj5UbGEo2^cUMVHSY_WBB+Tx z%YRsCo51^y2}PP)FP#3Tn)U;mW9rgi71Xp(MEhgV2$=J!zhJ{{3!e5JpqKT>OQ9cs znj#h-!O&*NpKX;l(FS=P=ar7(ZrtxYm@9ASZb9(z;f(~ZD&irFG3Ir-*+E`YdtX<> z<3Oc6=h=1bd9JlD`d@0Fm)hs0_Ias& zUTUB7LVKL&?N2HFZwDltOJhxOozumg|H%<&@(R0=bIJM?&&4)e`gZLx!v*1gs!Ka0 z3|EMNAeoP*V5fQRr1U*aItm-G3Y+5Df^+g%21oK(HeM^seWmA)UF+7#i)Eja?(fzx zx5kCjjOQ!H|KVh$0Bl^qqavZEX;JCaygO$T{3mK70X zA?ERe&9ihqP#MdLSmN62MR_k&IRZ5Nn3S(I?3^jEbFnGU*sJO`=7AM|eL=NyqS^TD zYxF3P@G3YJveC{L<1kXO%~3{?7@cx11epg^VsObBW7I%zDyM6my;?h4P_s{0%Zjo= z(41R0B(*pX1YyE|7{Y;gh!nFMV&-IC!LVg#KQS$@a^-&Aj~Ue9wvy7hhw?mEMXP~G z^M*bzOW?Xk>OAF2%;o5RnyR{F`y;A?TXv*>_>(tjkgyzuYJ4`q5Ngd;WG)+ex8q zQrC9fdTT1Lloi_6WB|D)ets{++^BVd`+CaDzYE(-d7q#46;@Dx`Hsu`Cyx2+&wvWq z^!xe#J!$u^V1csp%;|HY#$=n+?t2wXP}6znukrZ}I;vks&x--I4m2!0eq|Mx_PDN= zH>%D*9{Syec#Vegy8KkojwQ=wOibC|CC^tzykMD^BpEN!&t*^iYT3>&oU2^eqZD>e zob3}6+cbrJUc-Zb)$%Zq5sBUg^00Clwp-r!j^fOqZP-gOjAqq@k0w5=@KPBoD)+Zc z2C98l-+NI;I^`EkBD?NvWFfOB4lP_uX2svzS^eIAOm6RLu|gOZ{+ifX{S4;L?d;XE zdIR3P=wq$FNActGn2-GWInr{p*6s;qS}N}e68or~MbT7$UMV|gPdL)H!WEU;t}CyU zX>Ic`uuZf%2E}tnw7gXoX~3>j?vcFa>rm-57TTJ1{L0RGug;jC5vKGZlkDyHX*|8K zXX;qCukR~5Qo~-5=a;7s?Ho^ea0+yx=b?xD3V!-oT#Ku$rK?xk!V7gAi!-f_f2m?b z)x0K~oZsqyXWq<`+IOj?hgZkPKz};7o8!21jfahZhspJ%vXqi;`{dCK3cWb+`4ODyrU!u1@-m+LL-AnjkBekWzd_s_|wMm87z zX<4T+O!0*N_^x)($Mquhg3@|X@~-SdA?76SS5V&Lk>}RwI)B2)@ZHc(+90P3K817j m=uluEG!*lJxBd?R0RR8ua!}v`00030{{sNE`RHRb%#;FC)L delta 12992 zcmV;xGC$3yq^VCp#GwdUMB3X* z(Rw{eMzwdX-7&!^Kfbf3MTG;WeawEZnVrpM(0Rvb_+`a?8VrIaED09N}N}0Qpr!6ib0@ zT{n0-o*3S2-$HhMT`XcLQn@Y+VGcLeEaCn{0fIa5S$hO+Yv7ZtAvi-?97F9)-=byB zBqH&Vr0F~%Yd-+H{}Z-i%GqXs3ZV}|N5Wx@^R>1H;Y@rQ1sQ!CMtS3GO;Ia=JAnSv zAc>DQh_$1CM~sGkga1*74^0D37=4tRgGX^&_a+j~!Fi~qcb=+#Od|sPm)*FRvSD#7 zz!Oy@Jy^zxCDXfa_cwR9yK-__#O7ZX?7GXM?Hi`x!z7t*AHJ89ZK)K6?hF1vuT+r1 zRXU(j71?z$UhJ*>0tvjtpExPABF*x=$X59)0`cxQgHo;LUjls@f!rJ=b6+X7-lVIP zAC+p{k<3VYc3#dOA9iDHZ(Q3gqc2f-w^|pQO>vdH|MUd2vjM^Zf2=+IZ`wS!Kaalx zkx3WSEdpT$2*0d^^~qi}p;&@Gn>tyLY;>ke8(6pZ_Uiuc_Z%m7oZtX`-aBap@?js_ z$LISTe+cLY(spClZ4(l^(I-l;=>E-R^3q#y9@CH4UU+rm@5kY^LBpH=jc%YI+=ABNs`y7c@hZM4T>|At!ZZLnNFz`fR| z{oNbdx|_In-qzaRS$7Zr4!hp)?(XVgw)L!_d*|OhcCSBf?-q9n@5cPi6zIH1Ow$@$G_F4CVd$gbMe`qmoJ7NspY#OeEE%U7I zx=WZ(A3Vt)ePcq`8OecQJJi#_Zu zi+RJYPa9l}e`on=dc^>q?*DjHynnTve%iD36G2^Qj~oAs@8G=qzV-0e)EkC0coffDwEy-YV9Q5~?blaZM*Sg|*8`Zrj}J3gRBK_k z+X}utvtZW3j}mqk^M~$s+PAEIOTIe|nC}H|_RwC-fBB!mWYbRmwA=5a_xv*2B<=Sk zZ4MUi?G4%daUxj&Z^5E?n#qj8!o4h3yT(gwXv^u-WSjM;^w~i z$-emUf4&yj*CM%fJ6T_yTVr1x+PXc~*DdXw?rVd6ZD3r3Yz_zJIUJmv!@;RJvy+J_-`rVQrAbkCO|LNjYpc_Ki(g~ z{s{EQ&hu)Zy27O$MHnR8DxHs3`tNrqE8d_tzDDD&U{SQ9i2x9fmi ze=YYw1j9$#Sd4va+sWE?MB9WCbK3;w*w5w|_q?5ufV)lh_j7OZoHm;@_`CNE#5SFn z#t}R>|Ay`QJQ+7zjStsiKH4}R5&Z33Haksv*=clue)<%aeTwur@WKb$Y+ZK79i+oP z&TH4&`&}>W(gqnnUao0#-02*f^9A;if52aM`HRz-U-Dlp7IeDO&#%@c?w!`vxIx<= zKEU{Z+`+tDChjl9*I)Ef;GSV@*d|9_@!^Voh}$mgFcNRzZ+iJ_!hf*%lG9&5(uvsH z^4grU`^L%$N6IEY1dqw*I~IE=CYxVwc8_u{cwF5+%KOM*@&!yb!qkSpKPFECe~$dq zG@c22s`MXY|8e`torpf4=%lvqtXR?|_XzOY4gUx?_V=v>CTBAhFN0QA4;3myK z>tJfO{(gq}xXR`O`4GJQ?EZP_f3XRD`SZ-^r1ytCzf4Gvnc5Kd#yzzj3xOl>7vH__ zHG6-W^tt!Kn$7!O-TS>>^S%l6;0pKb2IeFtE^vJm&^OI~(wRNl=NvBBG24HM5f0*>r9|J;r zfcr+8k`8*4)(=ugv&8$b&ui(Ho%ID+?F=TX^v8-0((&YniEpj|2EkXZXn#NSAN~IV zX=fW;bsPVc&1amo1&9`~Ve@m(OKTpl{<9F*@D%Z1} zjR~+d?$F+Ke7<5edF;9R00&eg!=&_Wo(m`w9 zk@U$yTy&ktPPwbve?s`#Q}c=byj6YXaIxE`pH#Nj>}BKn$)0Y-^V2KXdl=*Y+z7Qo^dw58w*EvopzS1~~9pZH{rzIPN5J=X8EQxu4IC zv>mS3;@NyMzlVEkya&qLe%f7z^wC-Xjt2qVI%9_G9`tXlf6((-(4DenNFFVk*v>eB z_X*H~>Ue(xM&OwF*WC!(4nY43*$wEwURU6;O{mjI#*f>81~bCe-j-R7F6@thT3&d= zx`D?~=A{;~$4&xt5)|Wr%-DMCF{?|?ZPV^DfH9W@6Bu~WW>{WvP9$yGCFv?O)^5OA${>Glu z!KwCMe+V1Edh;{a?kS(&Tpv3=2dHTm*$obEsM*U;@M`6EIYGyBU&N`g44Cpi9M_W;%pd!~S#n3_t2vL?GZtIhe?9!w2v5?I z@bSS9x9r*q*|0kdncvu-mRHYNPmR_O!?4Tt!_)7C5qk*zM`m4b82|$f4EJa7VPX42 z8ICc2_PEcZwYGSU@bh`Aa|R!j&n9O)f4khU^$c|BQ}e)VvIIS1*07F`$qsC`zxGbf zDQ>hCa*s8Is4Txkbp2he0T&6bUQ>M)eVPZe}8iCpnvG3{%arN=Q(m3=%Z)Q zNpd}V4BwzW5-`8}&tS1n(-Pphpj8deSR)~i4>;-rj6GQY+K&GU&(@KUH=WOee+6BY zHn!Kimy*d3)PA_o8?B|?lc3*{xIDRV^=H4wlv9`cG`P|GiOcZD z;`$D*H%8-i<`)sZ;TT%uft%U3zK=?6g)kmv1KiP+jFW7>G@rMaFSJp`kvr1ICa>jo zvuNbT6m;#)!X?F+Y_yl#E+^TTpr2&qZc1~GaKS8&^zQ&mj6QQY7LxhQf1s6(7>l%p zQO--a5MDpd_#4Kz0OK`bk8i@6hyjs)RoI{F%>N|XvoGAXXm1LQ#p21*+D)OY7~7KH zC!9W^ZYbMXWbG^@T+*HfSYO7`<(dVSX94yGOTYx%T2qD z+vtKM0yfQNR$5Q}x<{oipUV6>T`&8J3o>KPnfBS7|FVxxUYJCCd zXZcOdZ8vV^x?ofbK*Vjrooo+?`H1=8J1_T-b~)e+T(|$x0aw@MI>azG94Bn7O+IHT zMg#gU;V`gm73aa(;J{uOaH`)|w9VxX!tUS}L3~2`F7^lf|IB*#-zSH#OPeCSpO~&4 z*d5h+C9a#`dXa1ofBj-vtOPubI8)k3x@~5=qQNC$GCo7#Tg6r>*PxxPLEDyeNPljy zD)Rune|Enbn6CYz<==8`Hgat?uH*};@I&nZsZ+T-yULSLzZ7;@*g2z${S^C9_c1O^&U*r5!10g-2y!;>-;gpK z;Lo?;iZ03T`KLD5ZSjZXbN!95XVCsibMef+r7)q&4vVn>XzP~q3XB0pjPsiDmjQcG z@{zt?x_uV$e_8+ivZ8}3y#$yx0+&Hn;O%TK^VqrrIxO{N?6dk?#`58JIfv*t2iR@m zD7qr!bDmkJInd#r5QZ^9FV8F1fweiX2Tz~_?S3)0@d9IT;&>tYQ1TVZArf{eYwZ{z zvk{eY+78xU$~dHuamWUF`341*I8rJ5jN>gbf;I zzl=MB)nxD^qt7d}2TXnpU{aL5I$!%}!CfE#k=j$zenwx)xG3${xb7XG)2m(+=Z|W3 z?R6I8huhQ!d~#rn8-C@kEtFR#cYQU-4$ysl%+~q}eSmjQ{mBosdt)p$XAWBvdNs4y zwEyN#e_vY%baAS$-`G*(No?-gKzH~CM9DRGP45HF6#L_f9>QlgnFH{x*_AcBo4)Dm zeKFf17?&pDbeLVNO?wkzJcqM!VenkNzfe9-&a5?i3sTL&*n|Fdfp8$6GZ49EZ;#*0 z4r3r-Ja$jS?je1avL$TA z4R8~81H$-)9jkS>?)ndC2eKc-SnkR7japYLd=NUUy^asBO=2Ox@@LMPif2WDun|b| zeB}*g0|}b$4(r#jYz={{H@itE50n_HG|BAaj+;wBkWyo zzZG+iF?C&!?&;RUYx!8YkhU*en}_ale=7B!=`ysI9u2*zCB8SjgLa4co*52jbT*^I zV$a*4G$x=q0?EU;RY@0s--@=E!zDe!YfAU1?WmYlj0bKRLwsChjE$9Tid-CDmXl?8?wuy1>I1Ullf9eO_=}ic$%}?Sd@GY@VmUOtBc(la(D*VmJpW!;2 z5L$}0mi!rb!fVH(c=OoF#>4zzy1u%ijrcge0PRD0Xn}FcQszNiwm2PH%O#c9FlJYi zffRmZe(rr&*!LdS6GI$cWR5A$+C7EOCHTHQv+n~zM=Zb*3*~U26G|qRf4H!nE7|W= zbl|!5Ej_jW7a}nvlWl{IThg}?ABNOg(xp|>(Y2fllrz;m#OHkdRm{X|_FF((djBFm z67$6TfCV>xH*8HQ&aaf67ii|o;NM^@`oQKQ?B`9J0n zAX}T}TEP#Rm4mquj^Gt-mG>a^Q=O-w9%uTl@w(pl%luV)OKa~GoSR7KQ?{IEA(MV5 zr8ySYkXGe9h*bI7f56Yk$^G9Je4?%M33lHcRPaF1a@=bYwkEsBf4x@X9shJLWuGRz z)p&*NZen7i2=55Hgp&Ugv;$s0VqTM2|6v%EWa{gLJ)%r!x>lhb%08&Af$Tqa6B5BZ z5ozbvVwG~4?y6*8#p}XG+50W*p|CfUjg_`X#doLpE`2NxtPALhutOaX3KQu!u%uo6 ziqn1WHxSpfcqppdetFlbpwiA?pi?JN)2wzh+-~IZ^bDPow-TqZ;+x_)*{&lqD zCu6-$HeT$9{`#uVO-T+{vSGsAWC5BLNb3Xc3ZJ@^(f#h8Vjd0qK}e4ZpPT%RbfLsA za4)^kpDTd3CWlhWCP@qnV1q1`NZI6Xpd8ZfB;`@0f6W#9e|!GS?k6krZ%H5j19=K* z9P*=i>wa~Vhi=eBYYTnfK^vhiA7wf^@U+kOjN3cm%LqZ|rNf(&JpnNv_QhuyfsN~W ztPP!B9kB@%^SXd-+;$fZDcd1bzxPGInSL4$HJx119JHO=6Sf1sd9)2B>kde*&0rkN z#JzgVItC==!|FPsR?4h#3fCj7Un=#TJ;)4xJR7k|@(dvf0?|FnE2 zN_QhkGj%(OvJ=N3HEw_^C&E6j<^f=IK zO2!Q+I661eH80vH-mi}rMbDss>VTrF- z+PEB+_}>9sgB2_AsNDvh7`3@;m+X_9z?Q!7*yk+x-ZjEyUvJ9xy<_*JuZP1PtNMea zJs!>9t_vb$dm-?s)Lvq;C6@6zsldJN*55-vf4CmEult|0I1R_OqZov5djU}8HZv9f z6fiIJuBYtN^h&{#%P1-i2(+qeHua|T>Qn8zCf{UDUfk38=dF^L2nb4O{7l>^lb4c0 zUdnl#iq*zA1bJWcvmfM8w01xiYv(>(c+sc-_7q*i7*>q4#+ZcsUdjKa``R$x ze^a#!T-p)M#~I9a0ket?u@#&$rd7pBVa#P+TUK-cW29ir?iKLyQ3+nm+feOBz+Zr# zfyO1;-P`PwE`#E4gzXdC)9SiuzL)}sHrxi@55kG^>xvl0T<5r=`x|vltP?@9F3X z%n<=xB6`k&Xg}vKDki{jGP>kAwv0ER`%fhw6Z{so%I&9&uGY~sazN9vIE5kGDq5+1 zkK}h1_mqh8EJ(*hdvY9l44`&R#hL5$xUMZNAEpA^RDv0j!P!j~ z%fP#g@8F({ZIHjWqarpBWg9(4RgVYV+Qq%N%S`d8GOmIX&UezDi}YQ_RtVjf>_&CJ zrCmhzN$O)+r5umr2mISyxCvjos$B#@*Vz?p*df4X{Eaalb@TD+{)xGNQt6+R`{zaM z$*vS5Nts=W!#$1zqz(s2e+36hzwJt&M`Dvt^-t>iCzby7-#RYXwYYE!|Dby#{*fFn z>V9K9kc>g4_DGNYK6~us*w{&B?4)k&W;jmHJ2CHlQrY{}-|_kDZlCDiIQFbRQt7{L z?C~)gpvO9ZK;=Xi?n25Sg1>e_*6#S3+|eQ8Q^W$GEU(Gf!e8`re@$QbO5{f$)a)?% z+2$MfnLn|(>aU`fceJJw`mQFUvX2dLO1Fo-(m$x`Fy*nPHZT?t0&wFyCH)4-IRb0--i*I$ zZ;6@>%7+4~7r+Wqe=aH6IP6Lvxh__JZTcM4n1p zUYE9wxDC_=NlYBO7a;EHcD~t0TQ`IMVWZjRY2ejeSAKwPld8C4wFhcspM34=_D2lD zEQz^@pV{d8m!tQ+E^I2g?lp6=ajjuob=M33>GMC?A9Zk-Vu59SL>W&neN~ssvVL|s zIQ|>;w}0Y)f4-!xQaj^;$*)Tanv@V?V{B~Z4-)vF>&vl>n;!z+>N)G~E*9$wyie%U z@n;dwF5eUUO2wewH>Hk`q0Ly&5>#2c5`((9=8tHYP&TMid~EJtHeD07H1)m1f<&~X z3cV{H@``_??z+8D*OlHGe_@%&P2?u95jPoKD(bl~ksFZj z!{K&VvI8@lh(U;)V?^XEXf{QM|=EDXO?nLfITjZt4e1F|< zuCB#W?~IjgP}pk?wnTyi27_6E%p|fdXYQNaxga;@zH2>>LBXfv2>LpA_Y-)-RsKxb z4&S(=f2(&zyo(;ow_}A2^iN|SuNvu* zjTk4pn1}m(9*Aik?(=ydrFpo|=Yi<+aIfZbJ$iS{5BrZ}f3$v#aaP(tasLzThu%II zZrA(Z5qK%cy#m)TJ_9|WKvUY495|W#DecSZfBH5q`CQ|w&(+|+(_%s}*xF4tj)+ZG z^r5ZuiyHsutex?N>K@jwSK?ES`nk1yIALanxvaC{NjpCXwV5oT@!^AeST8!u8B#I| z*3ne3#6_;C@Ffkqtghb@Kdro@XhF%J=al_<>!D6(ol-nJWy6CQ?qj~e#!&g$+Af;< ze@uS1_MxAx3L~@I^)dTL?ZA$kFn`o5T0i!5FST*~jZSomq_LYoR*no=hq2CBY zt``^!B;$-gUh%0P=za_b>zRmpAlbcAj^&P~HthYB?Cg>FZjaI!zUMi}8z~!(2$%WM zq_}3wYjJTclGmK#T2ko9(FX0Z8;o7bf9jhvWf#FXAk2pY9>sejI^TV13Yj(ccd5C+ z7~pgC3+4rcNf2e3|RHCs_wU&>P1)aqL^(gegcepELJO24Fjz#)TH2tXhtf=){ z)uS4r)GMP6mS@I%or`u-r=Kv8S!btol&UYqaIeHGHuHafcP!_%1GaE!p@B4gTC4u=nM0gFJ8DS{JYq; z+{g0#2g=9``eHMmM^-0H_kWyAHweZmZqOPe_@4CdDqG%@PZbP#&Z%BUf2>@4>I)6Wk?SN?UmmpjVx>!$Y2~tC=D09BXh)Xj_?eR4xzXCSso$} z8etyqKb;99NiZHd!IY&q(S59@xTwP3K@NPZq#A2fwWDAi7*mczU2N+2uLYe=y;>jT zfsawXzy367x9nlbFOc+c*zn@XwMgLZQ6*- zD7Thu7$mUIvEkZPd-Sn;S#JL`TMuZhtr&H4t=4K@%SHGP7wA7ke|mJwItTt>c}>>0 zlQdr1O?XDrxQtPkdH<%Ie?4yJg=e%?wnMUZNYT#IK|4=cJM#NerJc%NQL=^1=h`oq zR>z|Ux#zu7EgnqpCoXvd%u6GzDr!@GXwgU@2` z97F!Ih=~G32&Un+f46qb*EVkPLj6a3v>D4+qMi((0$i;BFg`L-La6CQc&2yMI<6`aA?~7yprepq{V?GLr zi)d4GF)T0Q2%pf!ba^H}M-1a_dX?D0EH)+A4@Et;GkCYqe}Yghh!T_wQoSz@eL+85 ze1c4%>!fGOXEAJ05GCU_(hFS{XR)K~nI<_L^jSxaiw=3+^-}(aUT=opuPQm;1HBDf zYoo}~X=gr;D635aWDXahY)_63W35blg3O|_u2I$tqS{z4l(+SJlUX@;m+y+YVW>a2 zgrhCSLBCYBe+K9Gu;3Hbd%cjN&Wo}{tjk=-bck`cEo}?XA@mBk8oTYxwy-#`Ei9Ct zuu!&z(t2t2RC61j;4O*dEqQ$>L*1-c*~CCk7JH=N2?XO=MUdA8s09+|(!0K>_hX7t zt z@$)~;fBjYcy(Z^7uNSQ99Z4Bqzpj6WUJiJ)%tspSl2`HNL93|abPVPgZIoEs3~(6Z z7BKfui^*2{p@tV?A2xZWpU51VK}e17$$TeA%Xg~EMk)sps7AEN@@A_wbk4{TS?D?* z4Z5}OV~pO5K_)HmHHxy_kSMOynX#|!b8Oc3e-Ybr`CeAJT6UGvj0afZ!V&&4&Ny{78z;+Q-5E3z3S)C^eJOZ0CzLlOyA=y>pyr*=n3UuirmM?p-fRZ5Q^*m=KhgA|h-JVyrkvBt0A}$_jFKBCnG^e}*Rcbn(C}(e66bWzU7oJ4Ak<>ex#kh>QWM z%EvNiTd#wz%bqe{o81>V6sBthzad9u>7v%^Cfe9YEF)F#u?7yw=f# zkk9FUp;y{JZqJb)uwHc(i=$&*%)5{=SFv5hyKdJHe-*V7?HFSsD$fb~O!#g&eP?;% z`~F>bJM-R^@(z|GQK#!2>H}Tx-TvJ+dv^V z=0N4}VNJ1j)wp>LCUL*gmWWx`f7>#`mBpZ|_iNx1G2q=1RpU3pmB)2g+mYWZ@z(`k zh}u|Jr5zpEKM6w;fqdLx%PQS3(`%yWnThsEo&kF}%Is)BqN8@%PGQHTe07tp!q#%Q z3_4NuUa9U%8QylOFXeMmIYLCl21J#d9+{Jv*)%xS?kj&j%2j&(dD%AVe??9L9NBg+ zCg=yB-z#6%#HZr-oKEK3`%;X6@b@7-TK#;fJvTh(6_`WFGoPm;eeI~v9^~KkZs@_i zLLV;t!KRwVb+Y(4=t?+X_Ao{;ijs2P<<_pqqPdJ;(wAXQ?K&CXa8JMJ@ec)rF^D2fA3P~ffRN)E^^c4x@&c*BeX?u5DQAW%&OC4p9fFOcFOco z;$Y{lFKpM&XC`t1>aOcFF5mx>e`Rzar!gJxUDXy|jRAe9)p0z^W2^Ohl|HLo*T3uX z1L%WN7W#kGai7l8ajWCdYsSm?UApvC%(=#&vbypW-X&#syR~Es`jY^->se_x?YuS=H|T+iKDeq@4Rp-_Ts1<6K3KA&Jlg{*uCohFW{-IyU)Hm zH8F=yN$zo^4WgZ5jU;*YPVt><-?iE;M|GT|a3wWyI!Otxq8Pk{z5)Egvn1%u()pu0&q)6+b^e@J zW@mk9c@KGPK3tDPp2VL~mNTKBjg`Z(5jCxWj$5ufg0EG@=4Vf)uM^XPuBuZz5<&WF<1=b8R_X|mq^xYs;bZzrpf z`-eThOh~WLr}3R0o3KBGK2Kgizk>OdaF1t}%o%8t1t#{`7{gwzTPY(Cu-^}9kKP1LtCGr#ztE9gKPG!B=f<9 z$#MHuQe(%eyDs}g{Aa{?2AuRuV*Nr97n{pPiN%JRKPap9F)V7msQVS%S7%8z(&Ij& z-d$hvvINg&$Z6hM_Z7|iS3+x`r64sS>vLZyq$?)q=N z*_4ID2cqM*UBkBxYemXymHF;U>ob&jAnM$m*rxkeb;bCnK(^wLooz?xUQOER;C-Xq zfU(dAbA{Ceq(lDd6V$wNNyslLXpf7GKb(RS;1YO1rS#faDo41eWzR(G#d z%Pc9*%QEIQX!kW8B+<^|Qs?dAI+fmy)Vp={JZ%HM9O#Kvyde8bXROj==I=$_9Fs3Z z`G5!rHF(Mx&-_u+hxy$ubOLpDh@IK~?Ck82k`7k!UBURQ1H6&-4LRb6R!6nX-4Uh# ze+}AY=z#(=3qJbZu+jG(EkDrYXt&WG^+R}ydcyVr|1mKE1JMXZvA}=$B%Cw|5zmRZ z=;c)&r;?~Rl?oi9si{Bo8f<;|UPRE@0J4aUwhdLwZ{)Tq0x-m|B#$+^eOQjJ=U)ZO zJhvBvC}4FX5ocW*E2oqIf3?ssXY6wke>n@`QaJ1r2We603f}Gq4KZi1^2-lb!e6jK z>T08%%XSXO3Dfmpg=^#ovu^mt(0Al=;&2#rY#c8TB{~GE$Gnf-*+Kv4H*nBjfwB2^ zWaytz#6OQMyKMGxZ8iE`4{&_x2Uo`Wi@EOZ?s%JyVCaMZq(i~)8Z79q{a_n*e}f0W z2SLk9@sO1qb3@(y=XFz;?UZe9*a11ZziP~8)2la9x7p9BA6Wk5ka>^9YrKE|ULc$J z|DM8c@;*a8aSeWR^_z?P@H0bHyq3L>zj^jP!3PRtZgB0ldY|M7FW=7)o@@QfO(6Rh zzqS5(eogkD{m1)6^p|a_{Q37pf50c-f6wJ_p8Yo6=juKe_ql$bm)_^^dF6dxywAmj z%KO>)i}&Sk?S7?yUg@7#`sbDYi|4uMKl=^DjC%h~gDcREyVL?j)_eFEV*H3F>_%R9 z%4qkBpe+Vv1B)#mfcR3Hcmlrp7xK&sW1YkNdU@-u&9UDFuhD(H!)Bb~U{M*@GPOb(`YC zjCL%P^{VFxk%|xS*m}viO+@?%;7AgQobcl8xx-wm&s3e|E_DfNc)pYqI-9+n4n6k3 zLsIA+ZF_+=O)I(g!k#hYf9!#V&Vk-x^)nkc=opTc*CgyAsH#>7YK-Rsf4L^Xb1@gP_9;26 zg`m~7N1vFg2XJJLjQE!3F=KW1YQve(#%srD>5;R(3YZq~4`9Oc-}3W~;;)=3{a&+~ zWb#GKze=ChB%}w=m*cjg16z(fKUU^yW$~VI0na8P7;^&BQj+ftVFTd?I-u&7YW4`z zwPP^laRDd$H`OjLfAvKS{gc!Em+nQ}oMT;yXOm}r>hw?Y&A|=T@X9X58S2;c_?cro zwCaD*c;Ug>jM{mmr96Jq`K1z$ZD_u3g1Ly5GWDGv%)8!qTIz$SzD?KDQs31$t&$9& z_Jp2ugXcr#`$E=cXUngd?WM}+Wvn1$MZNMW|AaYz{aIH4f75IHdy=+PVu92-wrN_- ziHYw`oP(I4(mA+t|Dd1rH9kKHSB>pM`2tA{7?HSWbg0CX$Et3WDV{vu2JM=Bjk@c` z`c#hAkF*I^{9WpNY_c-Z5_PV&MoSK_RnN=Tc$D#+5Ts!;9?aaYIGd!>@8LBA-#Zp( zhJ8nNcHUcUe|)s8TU(-~S+1z$Z$bvDdAG!S&+`jrIY`)#$Vt&;cA1|f?^S=-$v?;B zb{4l4e6P%%EuF_bo*a!!HOcBmKWsIo(DaN>kE?TDw??woO$|>tz@;irC}JPWFjTK2 zPpDoyhbPqat;ZFac!GXCRk8YqedDo6*moq(rH}M8e|%NlDpC`>Vt7W;8mZGbxz9$A zUkTo2_@32K+KW_w4r|`&etJ_} zWgT9e~K<+yqPJs80B^YuRh=tujSPehEwN3={dQRjjPW1KpfZ3u_|4cI{yxE9OE~n zZu7aXaNp7WF0ZY9CijLZ2Y|PD0lP3n+gK7t*s^5pfdLYmRXU*aj@si|{eeN6;sLgE zYIt7xS`B_@xz{6;zYYDeNC!-QkepW3`Lkuaenx$}VITK55AOO(7NjgXplOx+ik@kD zOO(TB((jbaI7r_e$l={k_@|3Dvv|Ux^AOhU7o~5Oa!m$G-c>MYIVb8`WXLjP z=bz9q99x~7{(UXzlvpc9e-TFSNn_k-{2u@S0RR8ua!}v`00030{{sM#tcXM~vj70K C)a|GM diff --git a/Logic/synwork/BUS68030_mult_srs/skeleton.srs b/Logic/synwork/BUS68030_mult_srs/skeleton.srs index a04b722897a2b3a76276b18b395efb64210f526d..2aff1859d005debb49ce848733a0c862cc3a7596 100644 GIT binary patch delta 1072 zcmV-01kd~G2;&HlLVwF{+c*?mmtR3dSwxEnCPGB9wbG+1GqBX?0+E9N!G&Zwv8lKg zCEF=f^xsR$dChDlnOTv%BoEJhoJ&aH@=M$S^ZOswwUi-Dzl!uKj=I#lA}Mrdu}C+| z$D(T7++eWQ5q8Xm7ZNeei_9WmtC1!SbvH#3i$;L7|~fC*O!>o z;x7^A;=%(-$ZyZwvG6!~#N67{U0hzE03u)QR@qr8jJ_VdaI5h#vPNf}XB`npug((d z7?VhR5n*@n3y#$JxufRuhf~GpzB-*(4=1N5r`(tHfzF>!_(N6Af0H9`%0Qv^!viu^ zlA+XZPG?|in}0MmSKBIMbD?KaTc;iY*0?YGjApm3j^l0@_K%9W_3T zWb92PD1BZi!aYGDxnVopQ56^r-=?mA=W`;!W+lB!l0ZNsSA!p-fnddOeM>SgHuDVKMSqX$BGx{vf<_a#F>gHKt`D9V z?1CPdhnzeK*s&fdT{5$u;jWQwC7tm^LX+)Gyjtl@#zN!h^)TN-)=XMQ8ebW>iU%vh z%MA*(GVf8f2s#+mB=lkcT4Qn^n)_<8GjA+luNJshgKxF~Mhb6A!Db%S>nY64+4d>m zhQsq{?tiK6K2t6#m)f{&luuL0BhLzniZx=kMO`mgxJqf?ZNno|`>l>-Pub!88k^Z3 z^!8Ty*fZ)cRnt&h^BKP4_vo`FQbqj+w|CiH0yW>`-|x5-_jd}jc6(=H5N8l%Wa*U> z?kBl~G>j~8w@ci9gC%hf_K}1R>TrQU@GXEvP=9KElY1$3oWyes(dEE&p83nV$d+)E z(A^H1t_SMsJa5(|^s;EjT8rf|SV@d!7me~1HrDlfOcPI}1vewmBDc~gG`>(A>}%`` zzR?&xtc!6gy;AGd=&CE@QJP1aYYTKtN{u!FG3wx!Wwb>u*%-3+mdbjpkI%Jme}+20 zPJb$`p`Jg?@1P-UfsY4W=~3fURw#agQzwY2HPkq4%5~cpCeEo{gh+_#ky~eb|EcIzbQv?mv5rf^5B}>mmpyx zN6?8L-JuQemwsU4cY(F_JpV74%^PO(4u3QHKbW?zUjTbH`0YyE*9wx3`AP<&V2Im?>d>4s{o51gaBp>H< z@J$*{>>u&QnnRL0IOO3o+-#rPnw#nC5ap>=hmfG-OuMlAOb&;?hf4%gA5iHpFDc;{ qMpLys@G}2`ht9w0f&2jg0RR8ua!}v`00030{{sMkPS;=t2mk=BuMk84 delta 1079 zcmV-71jzg22!hXC%)nAd1w;-41O+K}VpHu{ zl)N<5=znigc9xmTBr_+HMe_00@`{ka)t9&h=6BDt8!1DWd==?+9JQ%;O;TvjW09^G zKL#QA&mGezW`oot*EyU=7++b#VHy(nm7ZTieig+Smu;C^SbvH#3i&1U7}0qiS67%+ z;x7^A;?e_2$ZyZwvG64Mfw{G=+PJ(#0Ytvqt+KUJ7=9hRaI5e~WDU<-&l(U&ug??f z1d~X75n+4z3kL4#Meuz3v_F%l5B~Gn!>M{Yqi5W8o^GD@oL@Nn;o&zKcoPN+wI3dk ziINPZeseMfTYuZ6vANndGd34GCbf0q5n#3Zvd?IC*GN7dWx(z@ZN{D)5hb7*&$y#T zhmnlDi3Fw33q`mmC?vORi(9G!gW=oMHhaF@^l!x*@Q?VhA8gcerdQggFjz{Q$;?|6 z#22txs^EA|1XwSnH%|?yLFMyfKHJn&VFOe%b;UDZB{vS>zHm*ojqNsMJ14f7P%*3ElN6HlZCHzUv@x6&vy zzEJG#E9?rs))*bEicurIQft>}tS#eVnn&v!3v^6MjWz}`Y~hY&v_US}2(spm%4(#K zKYwTu{|t40om5(VZGV{GK~LBKAN3m3qsEz8q4)_-l^~{8U+=IkS4~%#IHy(#CuM?h z-VP%xT?4s!i>0qwVAVcKh{Bx@*EU1M|%X=!>-IgHzU9i^5B zH{`Jd31c~gR&?n0ErGxE1{1$atgPewzkguXZ}l_}D{)sTNLr3p zpUE#OW_k}l_RH5C7~31K84~B?Io3T96$jam?(RLN^BHbgpoM@uSY#PoXbkaPBqnYG zzYCIloX^2GX*jWe#2YIPN$OyqhtF`ceQIlNrpH5+r&bk0f{rt3!}c>d?EfJy5im@B xz)XLC3BNR&s^o!}`6E1Z{!I_$4*&oF|Nr80P~ZXp009600{~vOMh6lI008sw1rGoK diff --git a/Logic/synwork/BUS68030_s.srs b/Logic/synwork/BUS68030_s.srs index b883ba47d8f01eb89dffe538ffe2802ab32ae429..f269fdd9e9c89d09f724cc7319eb6fda1d88f0bc 100644 GIT binary patch delta 9725 zcmV#8 z+~}SL!d;6FoUZ+zSqV%D@Gbp2r#VjHgyuspm1jN%iGz&Q#-jAhj^Op%z@CkuRX0K< zVQ_>)VsNglV2VrFqi-ieFKzE8d#;th=;c7yz+i9Dd#Qk?CzYdUjg>M6dJ_NR4xhRX zG!bpIn}d~H+iwia-hXtJ?-=L_q&VhtJ}>iBwm|vet)|c`kOq(APQFmm*wLsMbCw~q!b7WmesMC(dPk)qxJ|wPX zHp;Z30bR(`luX&+wWF8VC~f6#P(s$Iq}X*>MNSp=+CI#Vj<@$Wo7r|#mgvl-q%dU} zb5_jBvaC?8in8Rp$5wO;`Ag0=RGnF#7g<{TM@t@MNjhq2K9$v?XNCYv(w?lB>-Phk z7Yk~__Ma0ly$-tIUv*uNs2txn%fpc?V* z;r_}|CCRt8fexZ|W!W?To1`z_6Y6Qmcp%Vp9Q;G9hX(ocb3*qtO{&4Ypih=->Mzox zKL7v#|Npeou};J=3eTgGkC=ki+LVOa4x;mt? zu_9wXWyO-oOo7*qR2Q8#fO4Rs&??W9j6E(UahFxq?JXow5$bPlQ!oP z$`)B5O#8g8WeFUCZCPVeEjukr$TppiYUrfUAVp?N)DmFNo$({s9;b`iVQ^yqflSbHq^qz9j`;ltFmc%+JZa+3e8A`43a}w~4t1o~4j6TFS ze}DghmnV+!mLrsol4|mQW9wLNBv4w<-grV4?i!$)9>;|>;MG&W(p}FMMuCsjV+xD2 z^r1fhv$X{A1Sdph`&n~&6bBg_xVgj^`+DU21?4y^WjYnarG6{wjHLF!r{tN`K5lyE!QRTGLOn4NiMWI?=2$8^#L+U~vm>z{L+*l|Lj z-FsKsA#Z*^ufKEbe!6C#JlD^BpL$8=v*m1gw`8+r=WZGgLw4Z(`SrsgjDq-{EiY!v z>D@)=)0TaruAf2~nx#Iw4I@T(5#KGgydQr!wd?YCKP8j5%JCg#(Sq`Au;UKx^Qx?P zM_E#pC3ac)j;X-kNWBjuS)ywzuW$UBI1)Il}R_@OA; zJ5cWI`o(Xr&jy`M(T4O_{>t^GfMI_%*C|P0?&t*DT|m3gR6p_e30+KBxpsSBuXpPD zuJ5}kG@9u-9q=4xK=RG`Vh%Aa1A`76H~-0^PSy?TG-`RIjz zdHkjNg7seI{IxsacaQm1_l1x0U;Ob4>oE4h@+E)DulVbrdv%vTj=KEiG3UQJU-X>8 zQu@%MBsBDj`OPUG2sPSKHC7=OFc1vqTW9g{>^qS3 zOtpbBU^=3venNa8bxNe4H0w{QI-+L%&3BFZrM7KWFsBlx2Xro})qg*9co^S5h?ulK zGj%<8Rb2`26U+se2#HLN=R(|2{_r7QDB0XU|IX^G(7b;FRT7cFzk(EWf6cZIjxql} zME%PLSFb$p-d&-*1F8GSe|@3v`37|?Ww~w#$i$-_C_~^$(xf$@2im-E(i;c@H$&Ed z3vFLD^p>)9o6oLjo9>dzl3+gR2ZtTo^$)>;^>>{>@sz}OXq(1tJqNpM?Zoo{ucuB> z&`n9&fzb!i~4u|tYpNH3nhx;fFX6ypr zy)HNWZo0Wp?<40U55uTGzm3_&1-0a!D}bK~(2QKOv66eTv{y7;flNRiMEh0o;&Sk9 z8g^pVA0FlhejUaS(fvih)HkRnr}B+9MS|){ASHj3nTlo1nlPwod}VQ*?O(pxtn#Boh|Pq z@9I8rem9tXy#v33s=j^uHCST(2R7_q&-oz=A7XYNTlGa1f!4pw{r=j z*YbaJrDQeE!AdwO8$0W@k+B;#0z2;KXe1fRV$&Ydxx)|rDW^wkJz^J1ZeNV#QM>f5 zcK-s+K4Q<%Dqg>ub+nPTvpHIy@ zol@hUCn$)M!W66VH}Wy_WKF+q%dYSJ6ld#YCyOaL!lK*&;KMH@G1^d(Vfq`uIb#Nr&a&Cb#JKA3v5)OpkxE zcWeG!g*jyCNn~IJrJ!5G0mwr-`^iUOyMm0P`W|(m?e|V4t8^*xGngH)1CM#F)S0i( z8{UI{xANf``ZhK^$QIN;4*wX1{V2R;@%+N#_Z1k3uC_zRab)bH+4rHMlV^XWRld&l zoXG8@#_vYoks052%)B*Pl?dJ zi3jo@cq0K9rQnY?Tn%Lk2brSR<+NNX+V6ahadA*p&Gb{i ze8e{o3&dMP$)hp&&bEJFq%mBO_aTq^V_dfuAPGc2Q=JheiF9?>bQ*n^ZW)yTPBS;e#5}^Aw3v z(6Jt@m#fwb>Equ{i1@k)x%2N`pk&gLe^c{LL06k=y$0ykfh!Kv;aT`IsdP0leWPXH zsYiBjfDgTbe?4Cu*fsjl;oKPs%cZG6OM#JYcj*=Qb7!(ig)?C@Pg*cCK!WKZq~q z+b_RSvIB98_h2!n`UeEp#?sOc8Uy59DqTB^E8lv+dI;ct1-eyz+5pLY z9X;+Do%DbBU^Ci3ekE0GEs+@SlHjT^H$xt>b(}bZyU~TH+bB5ybzMx2F1Br`LN`&R zFTgIuHfwys!6LO~Kfl|Iz@~4NPftIVKlB`QVy$BpJ1Tj}RtoPvDhqOr=k&;yHfwXw zIqeet8q7! z8MKfYSl2QP)#hV4j();ADY3OiP@S)MN`WvIYGbJ8p{n?o%)j zW#Z`=*PQaP{=Rmd6xTXFmZEG2ACUQ(qF;@*gN%?UOPlwn;@hHM@YIQzJMYjp+oO&4 z?0bK-qwE-~k{?BUw}=PW*V^v2DU3x9hI_*B{c6*r`vsJq{DWs8Y{+#t(*Yk z&toJ3!*8gg7Pm!gLiV}bQz~_=;`qMS?*BN4w6SKj`#mGIIV8hO?4PpPFbRYOY>UX_i zaWHpkb`QQggTV%fIig-c+;KT!&+?HA^o7wc-akK*i7$^ZQCp9pFWs3RwEQDtXz;8}>Txd1CT*U`|9aC!%dm$eB4I@0k;uFGzCK z4`JoY7#}KuIRVoO^`h7G_l4!}^C*89+jvm(9Rt&9!76#%X!~ewfbaC&iOPQ=z*j3U zS5gwnLdW(fcn5>7!VN$n{{i-C+=#;&pS@`pPCv&Qo57el<~@0-zdFWG$V%BNW7)t? ztmiZK_r60F&;BiECI>9Rae=LX!s(AMZtfm=LVx@ z-9ktHMY)Qhw9#S9JU8ehsW2sw4G5pX{G`X&w84$G+o1jVEMOX6>^9{ltod!+SuJYaHt5=fZuH_(cLQTG&!EpAR9_1l5@MeWW)7ic~ z_TZ*v>_Jp`hB(4AS}_3d7eu0R7>{DGrcJH)kmm-^{OkU12Flx?RB?aVXBC%~sXw{C zJdLkw4EtQH+%D6G?aS&vMVG&`VH_Ny@osyF9>8bOHV=#o{Fuy7a9plei!GPqqP20| zmE)rJxQckQ52M{qE}OA|yO!9%TQNCRW;#2Li%Dx_I&OCOJ&v})_z>=*h(54NHf02; zOc3U~I_6~JSD3eJ;q!l@iO=)i7nQ38ezL~dt$H(*d0Coajz?4Gxmvc3>%3PzZ;Q*F zi+5D{r$weCuK5{!Sm)M(AyMeMCDXZg71qH9n{zm_l{b@MUXBOsBN2h*QT1{YrWATbaZZpt|~BDH_MZ~Ld;v3>`9fa-mA zrfk}H*XbL1;vsh6DV##oa0*h#DKO?Ej&KTBAhwA)K2&3*3y+5^jFeuC!mychyPtqa zAo;$?6(q8U!{kz3%C}t@GB6@1sN?%T$!M+l2jNp(em}EjWQpUmTl0t+n`k& z)W*4MZJhF7p4e4^tzJE;{C4?NQ>va z8KY~y>qHA%|K91mr#faS-iuk9cA9Y(lp$N!@aIu{2IPg?#7dj;sgfrcVWldUfMpv0 zOBL_o_`*dP!Mb=MUxb}VV*|kOK3YSGit~SAJv9eC%9Nte_lWshcwoi%=_-XfRxsYe z2QOm;uati&JRfb5orV8loJG+UGngfKpP+Fhp?%2?k6}>`vC+Zf$iyCW+@PfoxM>3& zShFVqbFz`w>}$=FIfBG3a^g{N9aoX#BDaMUr{bgU_3bc0AS?;{24!adGYASZE)<~zhKY@fP@{g65?r1pvY z^-_P!zesGh9nmUQa9kyl)Z)~&uO{JP)ysfJK3Kp3Z9T% zgqV+@;&duUL6|sLGj>O`K1*;^pjG_D%}}38mSgNts^8H|YZ(HD6!-zcC#$2pA}@au z`uiHM+Rxkkt~2|;_;ys)L#%pWgeLdVj`>oIA7IRvD4fB@UeE2dpv}CrBiWVK-yi3B z%T|94=V)+SK+88Opq@vA@#Fa}iR}l$LqtavXnnF4g#~906sQFfP=dh7o%m=zXmx@)rcC0wNS3 zC5(J*&eJZg@@LM?r;eFpt{vEH0&KtJ+HLx+r*ZM-JJc_>-|C$y+tf{Z{$TDTssIV= z$CA|KoM=0HjE+-39<%U(F>gZyF(3EGF%Pc}HQp$4i9t7M{et^ez*JeGZB2ju%*>&t z2ehSk5qJ_S9!|sPr2Netv&WP*_nkIvF^uW9=n+LXnAiM9&TE_OZ{72Udwg5`!)ott zHaW8UUfG4o(|Kb*i}2kQ+CZq+RQzOgTkQGNwp?W&mwP-5+pi`6)2qq$hTf}WgjlVF zpRAIWJkXof$-KVRi5xrI_IQ7^Z4S&KOwo71972)QWFp9SaweaT{1ew)(kuD1VXGG%l>|u`&;pcYc_hm=tqjQp}0Tikv%olBejqcuL!R7GDW)r;vXM zj7^OT`xGbqJ`NwabF<@6Sse25;oS2R`H3~V@`TT!WU1E6njYj(-SdB$IE|ZlEx2ME zzhWNaH2)_&65d+=w(-FcPXymo@f|35lmcL+>S9JiZL0~?W5Ts|GA|6&dQT(dsgL9)5$rz zM~?;1Mj&`j0!tF@!?e|V>iN+#9j84Z*Udcl@@oDy4JkUNbvo`iO6L4iS~l&xLC>u? zhK@OazA?G#y-kg_b?ij%k*;Kh!3>ilUDRV2rj9psVwxmA*jRt5zjYbfSSF{%-wP{G zi0`HFC%9MiQtunRRM)uA^s-Q)2t5@nHu#S^^aQp z!<<=NM|=N@`mBGsIO-q89JEIN?7Hx}{w=lQodxDy8$~oMFq4zj6a!ZZ8Xp=3L zUF#uki}(pk+@rk#4WIGcyV|~T+zWTk4z6+`J+#$9wqpCj*s@g)W@2(M^?4zUGhFO= zOolcq^Ih~~XLrjb%7P_Z-qqqUXvbV1DY+D|aKC7a&h=$sI?d7mEf zlC+S`spnm1bzg!+$w|G{e203g#|oKp&IYRV7q%-rKL!0&p1-59>0(b{k?)FrzFq@u zyWm+iBJl~#Rf4wWZhQY(x7qtX9E>*n(fi8PKGsvuTV#wapA8Qp-}Hh@RaSnj=?^={ z;?l}-dyjwKXg$9Ju4{XiG=E^eIO>qjx23kP-t-e5-|r{XbO!E2T?EzHMOOZfz<9lu zGlHmhMsL`>2K;n{<8ChsS*CI5^R!Nzv`+sCtv78d9b-is9Jn2;!D%yI$?)KjLx%TbRK`^23UJStLx0VE_7aV@7^eG_v=ia zE3}{DZr}2(j{5%Fte(G+)%$oV=c%`5iYLfdMF(cD0@8?<%Y$Ko)9$%f%iV0lFw3}O z>;Cl%JKmKM0*jbo!kos5QdsZZddu0uv9HbfV)Zl8Ts3|X@9kK1^7n+zP;c)4o~hh0 zE#H4Dd17nkQM(E-bAaWQ;W#fv4Ya*gq=CdQJJ(+3_HV9BbY(972W_miZhY!wEm!1n9?+8^Qy2IN0-d zbY2<(4_IX@W`r`2AphVuGZ+AS{rj2o*+74d9ot`k{4iKEaWOoMV^vVUbg zuO%_(#_9bf9Vu%$S$Vghd$Wf-$$aqFGXbt<6UQZIe6Z#_)MXfVY1_lqSR>;@XMR@s z(Afze`o!~c{k6?~&R6qLgn{daVpC|E7}xgojd{zyzP7WvY}|8e@2y%6i@csJ=jwkP zEv-Wb--lWrXa1hb{)8EO)%F`K+q23UD)R(v`?@Lb*KuWw4uVVF7HPz46IfvvR$8nY z=O%p|E*5+qjOl%BY>>tG;l}`My?A_2ZTf1i`T0B?I@Wo8V|p~#Z8o3D-)UkqyMwZG z-c27SuTdqd_}MBe(>bc$% zs$-E&o+#sp_+9NB6C?Ks%01%i92F?vWxbxt*TTNzI8Nypwhn6Nx#@f}%+Iv9Hl~Q%80wc7BJ!U6mS=N7g24*SG zT4ka3Nsyj=Sbd-^z5k4~$Om+Pe*EY zz0r649U*K_FYCQK&yhYix=*J&g z`kMHXfbW|SJnsz0j_Vijb)PT(6*c=I71oH6xD}xZ1L4GQO~G#O|EqtsZZMgMshhHK z5b?$0<2(v@CmM#^XxERO`#|wxJ-=Gi&Sz+zK~tVhW$4$na=1EUIoyWPF78M7EO2_A z!De{9m@j4@3?6m}LnjIzz*&C?sQm4>--_jCv_l!*757rz)8BrR)xBi!R(-EXq=V#B z-Ah%TmiJQKQz%~Dm*szD(Vo=hOY$@At9z?`b6=HLBx{w&`=WiQK;0MZ7ybRMAa5*R zd|>Y7Z>Qc%{azODW$nGxPu6ZAG$zvyEAJ-9g!h1e;K@NkHiim$bE%C-iu2A7iAx5g> zM0kd1s?M4a$7f9>XU>{Pj?bE~W9wiqpDuguom+c%7PmcDYnUx4}8l`{~X8 z4xO8B>nqy^tn_~>n7>%Bn-avIEMGz4PGiaMS?pE00EKTi_U_|zbYj`*7zg!|GLL0s z@>oVXkEOCH_1PDoZ>yLL^^hn)Zi{A2M(2Ll_Th;tuVrkVr)=oJwzI6*QC8;Yw%V%X zP~_M&Z3iA6$O$_{wRfV-(K!mZ2ckMZRu;LP(i7pT!~=gzTU+P1wdLXHJva6qAd#rd zewU<$kCfk5XB}%_Bae@t*)gsrJvQTVG!m`!x>9G&z|yZ$q3Komt3v*0oc|zxNN)V1 zy53EW;>I@4tj}UDbggw3Y3gB`Q0jTbnJ=T-xMdA1vY)**ZjI}82^%1dqLWs z*|GTK4NQMcwqa^*@pzNJP~-pOXK&4M9k=#caqBiYH!86lTP9&nPuuhQ{F6ounWlLD zeX8Q*CVrW>%;Tx&N6*gT&;jTMoqI6*VQ5nMlemAf5r03TW6ZlmpRgh4Pw&5ivj0Wy zOzWBDdadH`LnWBOJcwsrYpk_(uG)OSoL!ju&-H&3_v~WU{0jV#X3WGrkuP}axh=nr zXi#`ZvdzYF3)(laCo7-l_*wIB1z57xI{%gknyGUGS6Y7HS%bwnFBR6@e1^1c)n{~0 zJ{rvUPl|H>IN!ddtu_q%RNe)Rc}*v1eBv$aTJO~<=BV2D*1WgZLGR_X` zeUK-gw>-1{=0SoOEMgeRJ=^ZKpQ|$@tNpzmwc`?Bhs7CjAW=NTG1rp{ciaV+DhE(w zz|FnGm&N`!g^AcP#IKj8&L&>jV%r~T=Wldh2g7s5)r(v|JmNa;>cY zADiNqJO;+*(^xcu~Hn=eL{^R{Cqo9&W_PMAhWY7^lh&){W ztqWb&@J?&KeOc$l-R9lrOe3B-TN^(`;hA5VIjit2eZFJgwyw8zZS@`p5A+t;!~}oy z3lR&#xVd%S?u;^*lu%0Vtckj zm&re#>j0D314C7%)OGIp&ar*n)06Q7Yb%+T0_p)agEB4d2Kf2y>lLhZXRwtP9;J1t z6>yr!)2vA6mYZw}7d^HD(lWh;oz>looTDG6aH;q#!nGMJ?tdE(pVprtJ3lXyNQkA{ zxWPRa!d-(7oZk5Zvl5sR;2ZjOPIDZ?3C;UXD$jfj5{r!0#-jAh4&e3sz>W=|RXac} zp?8EsVsNglV2VpPpl?P)FKy>Wd#;th=;c7~fWg6{_fi2ZPbx>z_EyRm=vn-aJA7$d z&_uM+ZVFaXT7S{=2%LhpAkcY>bw93#4E&q8aZIndr^F;|utwU0xSixfR7VBZ(zgWp z8;m%cUcq;!ATJ;*S9!WB7C@U_KA-c=kGsp8>&q%c(# zbJonss;W_yMOE?bVdq|Bi!3evqa}~3A{~u1AKPlyF++ekY0nn(#m528 zix~}G&41^MJpZ^>8Mkd1JCPk&_%SasygQXko5Kcgl6_++!JWL4*ntb!yfs|?}VOdn$*2}MW4;fV!51` zCrm&FboFZou`P(+*86G1#t@!Vw0@`?tc-CeMur&C=ki+f_)N*IzFVb zuqkSxAgy0>9wcitWi9C=m@MUinn6gLHzblSk9-A@6AaJ8apl2#VU(y|70MVg#T zC>vyfFzxfEk|l5iwqdnRmF%=AAzO7G)!0d)L5j?ls3E{!JL4nR9;b`iU~p$wxG@ny zqH|WzUw@}uUW+jrM~S1euH1NaVQPm>po=GGr|VTuVcUifw&Xgpg~4HrRwl`ob!&R+ ziSYf73LPN?cFU&r@MNfgNh9n?dQZ9NeWY5jCGi{-)*ox445iliISKg2r!Rm041Ngj z{{Ha;Z!a9-HAg6ol4|nb!FPvg?MR@sp1tveDjVFvX?pAz)&V~~1uWh5Y#|D~KRu>l zah7}N53{ob@dPXcu|af3d|;xVHJ3+mkg2EZj}<3 zH5V7N%LzRNe>k`QJp2`?%!eR#Ef7|K@MTJPI@whdiY1txxihjLUZrC?X#;I{Z~yvx zjuSghNND#yE6tKOzn|CNId(r?vrn$$rJhIKIQ7_SzPekn`Kog_i$(!E^1G@G)+l%_M**?sap zIryFD5kW&1+!o)&Q%|UJadhyQm}bd=dQ-YSSB563H?^-1EE9x!ac4T)ePW*+3f&Ua zqs&VJe-_^Ec}Q=T577t7rNnX%{3hbd50XiFHGj%};`80C!<}7d9m+VpgL*4u{>lu4ylJ zUmOE&@MDJh`mEhms3`( z-NDmzmN=f{c}@b2rg~0?JcAjKe7m|+_3~_pQ?g=r+e{9*TuFYX`;j2I#3YFmFV^K> z_>ix%m#2Nk!Xf1^FFaeZr)-$L@Rw}$beOq@)+8(rP@9CcmU^zTrdI#h_|9l?KpR+F3X}{O>0d=@}KU@;fe|kTp{K|)S`}yg!Y|bk8ow=zm&Ff2PkmU7U zQU3HZo5PpFtYdcdG)Fqc^}E_K`@J_W3BcM-=x|&{x-PN~BT`NBP zhGj{n_|UwUAjxAXB&uJp$i=oPv>e;!M=MV^|C zrEV);OAlx`hB2pl%>8b6xWzGI*)H!7d3XDZy>89(a-1+>1T>kOk8^j+u9rQ&7=8F| z&x=bXXT9Au|FB$qe;k$bC%Mb#ls*3X$zPwhyzh3oEcq(U>rUmlx(Rig>vlP*)J?kF z)pesX0i8fWt+*&>t-4|Sf9oY*DAl|B2s&`LY=6g=9X4l5w<1qdT|cEj&;-_HP2)h; z>yLFE;c5NVceVP>+$3PgcvKSTKS4cg)!&Qm`F#{TkexVvHWzta&ypK`p2R=^v4}wq zxZ z`@zx2wUUaifUH2oe=RmqZ{xGbU-Z;<$5(wRN>j3A6UHXXNoSe&k-#$$;~rXyOspqt zv#H3fN7gFS$lO&tG@N}~byyUP7RLb(u8$A*VdT%*h2byICl}GI6ZF{VV-WK2HW)+~ z3r0H^rVq;Qbg&Px)O}ETv9M1SjbmT%A^r~euWy~zssHkLf02I!_RHBek@7%*02PA4 z@3?lG&+~4{3%X6t!7loGcVNj>&B1E;Z5DJQHW(cjM}8ed58?fV&rBV&?uD9@u=kM% zK{#04M(pB3T5>rR$N(bs(hZwzbUR&s$9{r-#}Tl*uCDJ6Z6-QD(E0TIoMXT5px-b~ zoSPp17zTqdf4F7Q;$kL^Uq=M-Qe1nOcea^qe0!ZiKB_)!f4%bVbDuNf8oslibK+98 zUG;j*S5M zHaU*@T{hx`nE}LAG4K}@9 zvt4fif6nmy`>67~&lS05fj|a}CxsES z+v{WQqYYn_Uk~<&@|g-OfDb8C_`u;QbB0rM?ONAT<2bSBf(u2!Z3bFS=`}kldpeT6 z9FK{BSI|H1vXVbxUKOT;&uu@7fN|oco&&SOKHu+Kv4s`?@g#rb7#8-YjxYSkIP~#{ ze`M1R%NWbYboI)!?%fsolQ7C3KUPmnkAHe_D!y`w=cHhCu2b;8lOr1hLy*CA{*#X> z8-hTKh*PH$Un})rCJBzZ3(OBr9z^g>+k+B zZ3k?(Fy$A)&dB>`wt9rIsq01_(zuD?AyVNX#B?>z2J~tCq!^Sf$k#QA5i5u$f5Tg5 zzWIaq?xX!@LzkS>-u{pK&%?;@UA&u~`Zyh-aX;uF2ox_#MU+<882ak!wefk#*+jO! z>r5n0v9bkhU%JG~VrV2*eiU=k=r)|#G(ZasR)#uWp|f0Qx*1LQ^2^z4P@xTnkxQ{9i=_tE`5j{@=#3B4`? z{59||L7WLUl4|Z6G0&$Ts~?<8wJurIDqDC@=ItY|3|W6$_lLCq6NAWuK}ql%fjV6^ zHhaEq1b_GtEfpp>0E2%wv2}q^m^sQ(;Q2v^PjKBTvbDhvGnkD7jmIf^e{r6y>z*Ve zt$uL*UEv2C{0{h6;Yg2P=Sp5r52Rd2XPqDfqt~%Q8zila=iED-*BVBMK7{Fm#+F=^ z!7)0lE{t}q+Qf3W{`?`j;rpI{6Gn@L&#(8tD}9AL#d|k<9{J%7U-%1l{X34QGOxP* zCjDdM+t4&k!B3~CALE=?e^QhiQBN`MX-;`|>8|J}w3 z3LNtUhm&rCz<}!|(!EaE5$6JJ4LyJPc;pE#zTdL2PkDDc?4q76=Ojs}3z8G6rFw4n zF`vNrC9aKq{R)Ptj=7AtIX+&<2wfl841%V}*P^U%Vb!6p$FfpB$6g-CT8@?alg20~ zaQ&S)$fH#zq!*S&r+1%_Oz1wJ?c3A8yBo$FIt6 z?1R`IZ}}trdYGd8e&pk?6*(po0YnUzVIBji>NwGgcZ!f!e{7UaxWxIlbule%sL-~d zP&ZLfxh~L@;#-?fP_D!LROW_*rP>sKL;DyOU(Z3w10)tMPGjsTT(4gCvCDtaFPzoa z$6A}{;Xa7={04^RNB+%%|Gu~`>jxqN`#wg1swQ_7&L5H7SIFy1>#_$~3H}c zTYiBU@OQLFYwg+hx!<+p%YBdgg93xFrt&NRV$7Z$r-?l|->sGlZwRzO`gt^c8NzbXVQ=uJbj(UZCuir};2HIVX_XoJQ z+x>6bg32px+4s;EJ=fO1p$&?(K+83$-=m+ZV~HI)#pTj{AU1!zwN|voWA%IS@79XE zF@F>Zf83hC%Duf-;_bCEZN=zVV=NrZ%wTX-F&iDb*=+N;Njzx( z-H1&l%6BdN3V}IHIF2olqAX zvL}?Y6)Y*`55lr@V?huxM0-Cu@nHmMruDYx77yt9F)3H2=%g-*K(Fj4l{_y_f2hfc zG+5Dr)014Uj-2W^mx@6bG2`YKgp(9_f+%AUBKIpwTtHNGp~m~czYwv?6BTw`uF|H~ zdm1l4+|5CK=kY;1o-Pw_dVN{O?F#zmcf+sq11HMIMBMYOn71y|6!(_pe~K=DXQRkJ zhLip77(RgSp>svfj=??*=>sceQ%Zr#1ZAG1V^1XpFDe+ku=8LE$VJ}&qVnM|H>KtaL%lA_ zyfgu2b1L&3E!!ql-YcIs#ph0ADt9KPQeu92HYu+88EjhTFM=VF>$(-wfBB8MPGg^= zJ{xY0t`I=)HfB2+@J3wW$gZpSvwIGIcAN0$6O85@p52+~oTAwAycF@_6FKLc!J_N$ z5R2Zi@_qU*aO}>&$dgzod=fERQD6cwPTk6*Y0cQ{$PLlf-h$n-LmcyySc~z{?!hj8q ze9}gdb4!ah=-X}3vJDtkOB-$e%M-g&I19geQhNyGQ@$qvkSNltZ_YV z9^_2O6Ip!4E}mrWv!DzP79qc8*Sj(|QMFGnCsklRA+EP2cVb)a#2K%|4YdypzK6S3 zRxRRNIksNd3C5n)wt|j7^&>E4x2HH~CC?F)Ol0EJlWEgX0ei0&V5BEAQcE?h)6KFW}tWBBs~O9Xl0*gj^Hd@AJ$Lcvt! z6tI*QJe(-r!|?^nAcS>s1HKG8p^l$|1oYOfmk1T_*YU(YWlB+4@x?MuQsQ?|&PkvS z%&pIT@Yfv2f2B;t3sf9|mN5X0DR!92HvsQ5Nio5GAldOTf5^*WE^!ePs9=Z z?9164tBU_vw=b4Xj+^Wx_uutADRGv%EC3yA%9t3pNIaw?ur8ds9Y;a`x8WA`cZgfq zK6M5AAyr&R#T@^7sl@LvJsy_Z?3ek%`(+FP>`>t0f4=;}Wtn3^Fz;D{U0c~70^ix! z>Q~1f2s)qOecJgcw%pLy#cwOW^)^Re$I9$I5SU|Kr=hw|Vo`@}n8F*IY=2YNxjbG0 zYo+#~fl_yi{T+I~dm|UO;`MN8<57;QY=8Nli$Ij=*q5yWhbwcvoJQuMJpM}1Ap3W-o`JQd>wfo?%9c2=${|xZ7C_5FW1KqggL7eQ#ohM2ZB^!02u}rC zg>)jJbi3LIgtpVVw4FeQS8_VFeO1c56lGpo+rui1?*lZXXiCdGOfC?f3KN5^=-jjY ze`hnlJdf?J=v*(>^M5R?f|5C#vV%Iq|hq_gmX> z@%lT|FSg(6o+(?`O?v)_=O(BE5*PQIBql#c+u0LzoCeW^1xMU>WoRJclffk7!PT+C z8+lGK=q9aSTt{tXS)*-Dz0}O1rU$g8fA=AH5^EmJg0NNoW<9fym(}<7zBA8W(GBJ| zH|i03aZdI(?yJOo)D8Y&xj#Ccp4fe_?84*$&9-mYK#qep5b8A*KN;Uv@_sD)xY$RV z+kPiGq0@s~k?l3TSIP+CtOdB#Nb4s#h3kr~SIOVi`|e>)z%LqTJjb>@9&MWgf9J?5o}#ThMc>6!+UB$P3b!u=A#ILyjdzSqh9tkQrzS;*@xtE+Oz5@lXd_nDR zYMCq)mbgY95cU0?669(d9$0@@#}YBNV$+bx3rBrP!CnHjjQe)`x24~Vel*TMj-Te( zPi*C$!s-q9aT1hs5F_#Yz8kf3A_;Kf5lxu6|3c zxF>kZXnTU1Ki$VpIhqcrk81lbjJ#-)EtXvyBW{cM35%V2E)1#pjOX4}_UquDxN~-} z)>Dbv7J<3u*uF5fY=L(w`&Hwp`b?JEIXQMbCPkZ-c@Fxq^SjjwWxrM@*sgHVy3%JHX>2;rH&cGT!lCsVXxjyG8WCd>7md-@-0#ZV^#1pe%At0@awr)G;peDp1ElyE(A2@w)qY9cZJ_zzpwZevv8o#)Jlj4j!Kfm*KxjI#EQ|mc8t!& z+yHBDXnCDlf7iLrtMAntN6mhn$q9w_p(Ba1?@sm*rq%p~wA#nEoTvUK->X2rDmu`- zpmH>|Tpo^coc6%oO73P8hFQcNn_?H3no-KtloFS!W0Qo&i4s`v{btA6vS(kLGu*1@ z&N*uQB0AWy>iF*|o1@-5{5@B>VOqZ1dw*Quk3{D2f8yfoDmr9YB`$`~?0o$)FV|6d zTKep%hJ9D6PRl<_3B?1wTI^wxrrI@4%=L!yU2o5QKkQla=Ay_=p)#G% z0WzHM}9?AZPi+$NH>Z2EmpjIQzLyGUsgC24Gd@`T z9qKZSyEL7hR$C+EL#JL^`p{{M58d*-SbuGEpYzpR6k*`{#i;l+O-xdgYnJx-q>6Xu zm`2liXg2P-!@cjCZ&#x{uP4p8I;%|U(Bb!yf0oCocc5}GWyW5${RYeSEOUm6JOSIj zuFLyPRNA6L|5CR_YO&fFIK#m$?&|_m)&2fBS}ys*pV0fr*dWXAqmMq=deP*b+VoXl z^YeK)bfWY6CiG;kn`}Olzf;F%_D5ysyqi8uUL)`x%7nF>%b)&Lw~u?T`tXDEg|Qn> zf5;73EUbd5D~v!Un&;6*Z^DtmzYFm^fo%9ZA6w^(;r@TeBc9Gt zf%09}@2h+*>^qL5O~08 zykt#rGZR0Dv8)NkV}SY?p%v^gZIxwdf8#l$g*We}nwq zTo zA;{2JXF@>T3fv*8#&h3`b|{;cgXlv;Ut>>FR~Bat;Mj5fe7+g*<$uC@KcvDMK|o1| z(3pX6Vz{PYw-5f)ShsbK0n8_xfA}F^EAo{(-rdzqP%W`n}BG%gTGH%gemHtd*Chye!^Z z<)ziW>VK_$S!-X`+LyKVWvzWFEA2^Hwm)O+e?enSu6vuROeo~Cf6WJ&OCI$C_Hh&P zUU1JR4#;6}QXP;nw7?r{f4M@rFNGMXjuWl%)K`oX5pjYi-NdaisrtK<+y=0h+smGN z=TzRE#cj{z5ZHCb*16T%A2;|d*kty%ss0vi*ruFQtBp!N34J4bM@y{@rk zq^tE>XnIxts*vUkAH?&JuYX8x{GzJfjT_IMvCd~v^O5UXQTjzmjGJySwLP`t{Wg9) zj!vJwHExaTHetpE{TTm}mU}^(p4qYZ_zg@=HeqT_@pzNJe^BB7(`RqZaTT|A8*%F< zIX5n_99t$~PEXVG>im;h44EeQHwF?FCpYoStYIG8o}WBBheP|I8+7i${D+}Qv~OZhRzA<^v-;m-uw<)s7N!qo)j#oC=_D5F4{E9sdr{{55 z@tH=Of8P3g7pe~0ZI^4~Lar6{H^x}r*dH>~c^;N*sr0j+L#gL4Gc;Ms3($8bzT5D^ z)cddZuZ;atCfVnsw#c9pd=PoK_OBs4E+N>&gs5};iHe(B=WUI%e+&=6T$z1OV>CFo*_f^R2IH^W^*B55 zpW=b=a^DoYQ1JkGN9}VCH9lGJ&^~RzC~I{$;+`Gyc06M^Ds91CY~+KnPjP=F^8VPr zJh6?@#>rS|cMLBryRx7W%*omLmnZ(?EOO7;HKzS>gIzQJJ~Gc3kKd936}i)3f0?%0 e8uG_x9lz80)b0Eq00030{{sN>sxi4#fdBx0{TliJ