diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index 4475f50..41bdf8d 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -45,7 +45,7 @@ port( VPA: in std_logic ; VMA: out std_logic ; RST: in std_logic ; - RESET: out std_logic ; + RESET: inout std_logic ; RW: inout std_logic ; -- D: inout std_logic_vector ( 31 downto 28 ); FC: in std_logic_vector ( 1 downto 0 ); @@ -141,8 +141,9 @@ signal DTACK_D0: STD_LOGIC := '1'; signal RESET_OUT: STD_LOGIC := '0'; signal CLK_030_D0: STD_LOGIC := '0'; --signal NO_RESET: STD_LOGIC := '0'; -signal RST_DLY: STD_LOGIC_VECTOR ( 7 downto 0 ) := "00000000"; - +signal RST_DLY: STD_LOGIC_VECTOR ( 2 downto 0 ) := "000"; +--signal RST_DLY_AMIGA: STD_LOGIC_VECTOR ( 7 downto 0 ) := "00000000"; +--signal RESET_OUT_AMIGA: STD_LOGIC := '0'; begin --pos edge clock process @@ -210,6 +211,20 @@ begin end case; end if; + --this is a statemachine to propagate an internal reset to the amiga + --if( (RESET = '0' and RESET_OUT = '1') or RST_DLY_AMIGA /= "11111111") then --reset condition from the tk-board + -- if(RST_DLY_AMIGA = "11111111") then --start of reset + -- RESET_OUT_AMIGA <= '1'; + -- RST_DLY_AMIGA <= "00000000"; + -- else + -- RST_DLY_AMIGA <= RST_DLY_AMIGA+1; + -- end if; + --else + -- RST_DLY_AMIGA <= "11111111"; + -- RESET_OUT_AMIGA <= '0'; + --end if; + + --the statemachine if(RST = '0' ) then VPA_D <= '1'; @@ -242,12 +257,12 @@ begin DS_030_D0 <= '1'; CLK_030_H <= '0'; CYCLE_DMA <= "00"; - RST_DLY <= "00000000"; + RST_DLY <= "000"; RESET_OUT <= '0'; else if(CLK_000_NE='1')then - if(RST_DLY="11111111")then + if(RST_DLY="111")then RESET_OUT <= '1'; else RST_DLY <= RST_DLY+1; @@ -493,15 +508,16 @@ begin end process pos_clk; --output clock assignment - CLK_DIV_OUT <= CLK_OUT_INT; - CLK_EXP <= CLK_OUT_INT; - --CLK_DIV_OUT <= 'Z'; - --CLK_EXP <= CLK_030; + --CLK_DIV_OUT <= CLK_OUT_INT; + --CLK_EXP <= CLK_OUT_INT; + CLK_DIV_OUT <= 'Z'; + CLK_EXP <= CLK_030; - --RESET <= 'Z' when RESET_OUT ='1' else '0'; - RESET <= RESET_OUT; + RESET <= 'Z' when RESET_OUT ='1' else '0'; + --RST <= '0' when RESET_OUT_AMIGA = '1' else 'Z'; + --RESET <= RESET_OUT; -- bus drivers --AMIGA_ADDR_ENABLE <= AMIGA_BUS_ENABLE_INT; diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index d630a32..55d4357 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -343112,3 +343112,1101 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 09/24/15 16:20:44 ########### + +########## Tcl recorder starts at 10/10/15 21:31:39 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:31:39 ########### + + +########## Tcl recorder starts at 10/10/15 21:31:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:31:39 ########### + + +########## Tcl recorder starts at 10/10/15 21:44:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:44:41 ########### + + +########## Tcl recorder starts at 10/10/15 21:44:42 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:44:42 ########### + + +########## Tcl recorder starts at 10/10/15 21:47:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:47:14 ########### + + +########## Tcl recorder starts at 10/10/15 21:47:14 ########## + +# Commands to make the Process: +# ISC-1532 File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:47:14 ########### + + +########## Tcl recorder starts at 10/10/15 21:55:48 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:55:48 ########### + + +########## Tcl recorder starts at 10/10/15 21:55:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:55:49 ########### + + +########## Tcl recorder starts at 10/10/15 21:56:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:56:38 ########### + + +########## Tcl recorder starts at 10/10/15 21:56:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:56:39 ########### + + +########## Tcl recorder starts at 10/10/15 21:59:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:59:34 ########### + + +########## Tcl recorder starts at 10/10/15 21:59:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/10/15 21:59:34 ########### + diff --git a/Logic/68030_tk.jed b/Logic/68030_tk-.jed similarity index 52% rename from Logic/68030_tk.jed rename to Logic/68030_tk-.jed index ef54cea..d92d77c 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk-.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Thu Sep 24 16:17:03 2015 +DATE: Sat Oct 10 21:57:01 2015 ABEL mach447a * @@ -31,105 +31,103 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS SIZE_0_:70 A_30_:5 SIZE_1_:79 A_29_:6 A_28_:15* -NOTE PINS A_31_:4 A_27_:16 A_26_:17 A_25_:18 A_24_:19 IPL_2_:68* -NOTE PINS A_23_:85 A_22_:84 FC_1_:58 A_21_:94 AS_030:82 A_20_:93* -NOTE PINS AS_000:42 A_19_:97 A_18_:95 DS_030:98 A_17_:59* -NOTE PINS UDS_000:32 A_16_:96 LDS_000:31 A1:60 nEXP_SPACE:14* +NOTE PINS A_16_:96 SIZE_1_:79 A_31_:4 IPL_2_:68 FC_1_:58* +NOTE PINS AS_030:82 AS_000:42 DS_030:98 UDS_000:32 LDS_000:31* +NOTE PINS IPL_1_:56 A1:60 IPL_0_:67 nEXP_SPACE:14 FC_0_:57* NOTE PINS BERR:41 BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11* NOTE PINS CLK_OSZI:61 CLK_DIV_OUT:65 FPU_CS:78 FPU_SENSE:91* -NOTE PINS IPL_1_:56 DTACK:30 IPL_0_:67 AVEC:92 FC_0_:57 VPA:36* -NOTE PINS RST:86 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* -NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* -NOTE PINS CIIN:47 IPL_030_2_:9 RW_000:80 A0:69 BG_000:29* -NOTE PINS BGACK_030:83 CLK_EXP:10 IPL_030_1_:7 IPL_030_0_:8* -NOTE PINS DSACK1:81 E:66 VMA:35 RESET:3 RW:71 * +NOTE PINS DTACK:30 AVEC:92 VPA:36 RST:86 RESET:3 AMIGA_ADDR_ENABLE:33* +NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 SIZE_0_:70 A_30_:5 A_29_:6 A_28_:15 A_27_:16* +NOTE PINS A_26_:17 A_25_:18 A_24_:19 A_23_:85 A_22_:84 A_21_:94* +NOTE PINS A_20_:93 A_19_:97 A_18_:95 A_17_:59 IPL_030_2_:9* +NOTE PINS RW_000:80 IPL_030_1_:7 IPL_030_0_:8 A0:69 BG_000:29* +NOTE PINS BGACK_030:83 CLK_EXP:10 DSACK1:81 E:66 VMA:35 RW:71* NOTE Table of node names and numbers* -NOTE NODES RN_SIZE_0_:263 RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 * -NOTE NODES RN_DS_030:101 RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 * -NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * -NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * -NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:125 * -NOTE NODES RN_RW:245 cpu_est_0_:167 cpu_est_1_:187 inst_AS_000_INT:259 * -NOTE NODES SM_AMIGA_5_:139 inst_AMIGA_BUS_ENABLE_DMA_LOW:104 * -NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:133 * -NOTE NODES inst_DS_030_D0:184 inst_AS_030_000_SYNC:229 inst_BGACK_030_INT_D:289 * -NOTE NODES inst_AS_000_DMA:103 inst_DS_000_DMA:110 CYCLE_DMA_0_:106 * -NOTE NODES CYCLE_DMA_1_:122 SIZE_DMA_0_:253 SIZE_DMA_1_:119 * -NOTE NODES inst_VPA_D:265 inst_UDS_000_INT:115 inst_LDS_000_INT:109 * -NOTE NODES inst_CLK_OUT_PRE_D:145 inst_DTACK_D0:172 inst_CLK_OUT_PRE_50:142 * -NOTE NODES inst_CLK_000_D1:217 inst_CLK_000_D0:209 inst_CLK_000_PE:155 * -NOTE NODES CLK_000_P_SYNC_9_:166 inst_CLK_000_NE:113 CLK_000_N_SYNC_11_:278 * -NOTE NODES cpu_est_2_:193 IPL_D0_0_:136 IPL_D0_1_:236 IPL_D0_2_:178 * -NOTE NODES SM_AMIGA_3_:161 inst_CLK_000_NE_D0:233 SM_AMIGA_0_:223 * -NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:121 SM_AMIGA_6_:227 * -NOTE NODES RST_DLY_0_:158 RST_DLY_1_:169 RST_DLY_2_:151 * -NOTE NODES RST_DLY_3_:128 RST_DLY_4_:134 RST_DLY_5_:163 * -NOTE NODES RST_DLY_6_:152 RST_DLY_7_:164 N_203_i:170 CLK_000_P_SYNC_0_:230 * -NOTE NODES CLK_000_P_SYNC_1_:256 CLK_000_P_SYNC_2_:250 CLK_000_P_SYNC_3_:266 * -NOTE NODES CLK_000_P_SYNC_4_:130 CLK_000_P_SYNC_5_:260 CLK_000_P_SYNC_6_:224 * -NOTE NODES CLK_000_P_SYNC_7_:194 CLK_000_P_SYNC_8_:241 CLK_000_N_SYNC_0_:235 * -NOTE NODES CLK_000_N_SYNC_1_:160 CLK_000_N_SYNC_2_:146 CLK_000_N_SYNC_3_:140 * -NOTE NODES CLK_000_N_SYNC_4_:154 CLK_000_N_SYNC_5_:254 CLK_000_N_SYNC_6_:112 * -NOTE NODES CLK_000_N_SYNC_7_:248 CLK_000_N_SYNC_8_:188 CLK_000_N_SYNC_9_:211 * -NOTE NODES CLK_000_N_SYNC_10_:272 inst_CLK_030_H:116 SM_AMIGA_1_:239 * -NOTE NODES SM_AMIGA_4_:176 SM_AMIGA_2_:157 inst_DS_000_ENABLE:182 * -NOTE NODES SM_AMIGA_i_7_:221 CIIN_0:205 * +NOTE NODES RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 RN_DS_030:101 * +NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_SIZE_0_:263 * +NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_IPL_030_1_:143 * +NOTE NODES RN_IPL_030_0_:137 RN_A0:257 RN_BG_000:175 RN_BGACK_030:275 * +NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RW:245 N_301:229 * +NOTE NODES cpu_est_0_:176 cpu_est_1_:193 inst_AS_000_INT:134 * +NOTE NODES SM_AMIGA_5_:227 inst_AMIGA_BUS_ENABLE_DMA_LOW:121 * +NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:221 * +NOTE NODES inst_DS_030_D0:190 inst_AS_030_000_SYNC:223 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:109 inst_DS_000_DMA:104 CYCLE_DMA_0_:122 * +NOTE NODES CYCLE_DMA_1_:116 SIZE_DMA_0_:265 SIZE_DMA_1_:259 * +NOTE NODES inst_VPA_D:194 inst_UDS_000_INT:169 inst_LDS_000_INT:163 * +NOTE NODES inst_CLK_OUT_PRE_D:103 inst_DTACK_D0:224 inst_RESET_OUT:253 * +NOTE NODES inst_CLK_OUT_PRE_50:188 inst_CLK_000_D1:209 inst_CLK_000_D0:133 * +NOTE NODES inst_CLK_000_PE:187 CLK_000_P_SYNC_9_:148 inst_CLK_000_NE:113 * +NOTE NODES CLK_000_N_SYNC_11_:278 cpu_est_2_:151 IPL_D0_0_:262 * +NOTE NODES IPL_D0_1_:256 IPL_D0_2_:142 SM_AMIGA_3_:167 inst_CLK_000_NE_D0:182 * +NOTE NODES SM_AMIGA_0_:119 inst_AMIGA_BUS_ENABLE_DMA_HIGH:115 * +NOTE NODES SM_AMIGA_6_:239 RST_DLY_0_:128 RST_DLY_1_:139 * +NOTE NODES RST_DLY_2_:248 CLK_000_P_SYNC_0_:200 CLK_000_P_SYNC_1_:250 * +NOTE NODES CLK_000_P_SYNC_2_:118 CLK_000_P_SYNC_3_:184 CLK_000_P_SYNC_4_:217 * +NOTE NODES CLK_000_P_SYNC_5_:112 CLK_000_P_SYNC_6_:136 CLK_000_P_SYNC_7_:241 * +NOTE NODES CLK_000_P_SYNC_8_:152 CLK_000_N_SYNC_0_:211 CLK_000_N_SYNC_1_:130 * +NOTE NODES CLK_000_N_SYNC_2_:266 CLK_000_N_SYNC_3_:235 CLK_000_N_SYNC_4_:260 * +NOTE NODES CLK_000_N_SYNC_5_:146 CLK_000_N_SYNC_6_:106 CLK_000_N_SYNC_7_:178 * +NOTE NODES CLK_000_N_SYNC_8_:254 CLK_000_N_SYNC_9_:140 CLK_000_N_SYNC_10_:272 * +NOTE NODES inst_CLK_030_H:110 SM_AMIGA_1_:155 SM_AMIGA_4_:233 * +NOTE NODES SM_AMIGA_2_:157 inst_DS_000_ENABLE:145 SM_AMIGA_i_7_:161 * +NOTE NODES CIIN_0:205 * NOTE BLOCK 0 * L000000 - 111111111011111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111110111111111111111111111111111111 - 101111111110111111111111111111111111111111111111111111111111110111 - 111111111111111111111111111101111111111111111111111111111110111111 - 111111101111111111111111111111111111111101111111111101111111111111 - 111111111111111101111111111111011111111011111111110111101111111111 - 111101111111111111110111110111111111111111111111011111111011111111 - 111111111111011011111111011111111111011111111110111111111111111111 - 111111111111111111011111111111111011111111100111111111111111111111* + 111111111011111111011111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111110011111111111111111111111111111111111111111111111111110 + 111111111111111111111110111111111111111101111111111111111111111011 + 111110111111111111111111111101011111111111111111110111111011011111 + 111111011111111111110111011111111111110111101111011111111111111111 + 111111111111111001111111111111111111011111111110111111111111111111 + 101011111111111111111111111111111011111111110111111111111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111111111111111111111011111111111011111111111111111111111111111111* -L000726 111111111111111111111111111111111111111111101111111111111111111111* -L000792 111111111111111111111111111111111111111111111101111111111111111111* -L000858 111111111011111111011111111111111111111111111111111111111111111111* -L000924 111111111111011111111111111111111111111101111111111111111111111111* -L000990 111111111111101111111111111111111111111110111111111111111111111111* -L001056 111111111111111111111111111111110111111111111111111111111111111111* -L001122 111111111111111111111111011111111111111111111111011111111111111111* -L001188 000000000000000000000000000000000000000000000000000000000000000000* -L001254 000000000000000000000000000000000000000000000000000000000000000000* +L000660 111111111111111111111111111111111011111111111011111111111111111111* +L000726 000000000000000000000000000000000000000000000000000000000000000000* +L000792 000000000000000000000000000000000000000000000000000000000000000000* +L000858 000000000000000000000000000000000000000000000000000000000000000000* +L000924 000000000000000000000000000000000000000000000000000000000000000000* +L000990 111111111111111111111111111111111111111111111111111111111111011111* +L001056 101111111111111111111111111111111111111111111111111111111111111111* +L001122 111111111111111111111111111111111111111111111101111111111111111111* +L001188 111111111111111111111111111101111111111101111111111111111111111111* +L001254 111111111111111111111111111110111111111110111111111111111111111111* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111011111111111111111111111111111111111011110111111111111111111* -L001452 111111111111111111111111111111111111110111011001111111111111111111* -L001518 000000000000000000000000000000000000000000000000000000000000000000* -L001584 000000000000000000000000000000000000000000000000000000000000000000* -L001650 000000000000000000000000000000000000000000000000000000000000000000* -L001716 111111111111101111111111111101111011111111011110111111111111111111* -L001782 111111111111011111111111111110111011111111011110111111111111111111* +L001386 111111111111111111111111111111110111111111111111111111111111111111* +L001452 111111111111111101111111111111111111111111111111011111111111111111* +L001518 111111111011111111111111111111111111111111100111111111111111111111* +L001584 111111110111111111110111111111111111011111101111111111111111111111* +L001650 111111111111111111111011111111111111111111100111111111111111111111* +L001716 111111111111111111011111111111111111111111111111111111111111111111* +L001782 000000000000000000000000000000000000000000000000000000000000000000* L001848 000000000000000000000000000000000000000000000000000000000000000000* L001914 000000000000000000000000000000000000000000000000000000000000000000* L001980 000000000000000000000000000000000000000000000000000000000000000000* L002046 000000000000000000000000000000000000000000000000000000000000000000* L002112 111111111111111111111111111111111111111111111111111111111111111111* -L002178 111111111111111111111111111111111111111111101111111111111111111111* -L002244 111111111111111111111111110111111111011111111111111111111111111111* -L002310 111111111111111111111111111111111111011111111111111111111110111111* -L002376 101111111111111111111111111011111101111111111111111111101101111111* -L002442 111111111111111111111111111111111111111111101111111111111111111111* -L002508 111111111111111111111111111111111111111111111101111111111111111111* -L002574 111111111111011111111111111111111111111101111111111111111111111111* -L002640 111111111111101111111111111111111111111110111111111111111111111111* -L002706 111111111111111111111111111111110111111111111111111111111111111111* +L002178 101111111111111111111111111111111111111111111111111111111111111111* +L002244 111111111111111111111111111111111111111111111101111111111111111111* +L002310 111111111011111111111111111111111111011111111111111111111111111111* +L002376 111111111111111111111111111101111111111101111111111111111111111111* +L002442 111111111111111111111111111110111111111110111111111111111111111111* +L002508 111111111111111111111111111111110111111111111111111111111111111111* +L002574 111111111111111101111111111111111111111111111111011111111111111111* +L002640 000000000000000000000000000000000000000000000000000000000000000000* +L002706 000000000000000000000000000000000000000000000000000000000000000000* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111111111111111011111111111111111111111011111111111111111* -L002904 111111111011111111110111111111111111111111111111111111111011111111* -L002970 111111110111111101011111111111111111111111111111111111111011111111* -L003036 111111111111111110110111111111111111111111111111111111111011111111* -L003102 000000000000000000000000000000000000000000000000000000000000000000* -L003168 111111111101111111111111111111111111111111111111111111111111111111* +L002838 011111111011111110111111111101111011101110111110111111111111111111* +L002904 011111111011111110111111111110111011101101111110111111111111111111* +L002970 011111111111111110110111111101111011111110111110111111111111111111* +L003036 011111111111111110110111111110111011111101111110111111111111111111* +L003102 011111111011111111111111111101111011101110111110101111111111111111* +L003168 111101111111111111111111111111111111111111111111111111111111111111* L003234 000000000000000000000000000000000000000000000000000000000000000000* L003300 000000000000000000000000000000000000000000000000000000000000000000* L003366 000000000000000000000000000000000000000000000000000000000000000000* @@ -137,44 +135,44 @@ L003432 000000000000000000000000000000000000000000000000000000000000000000* L003498 000000000000000000000000000000000000000000000000000000000000000000* L003564 111111111111110111111111111111111111111111111111111111111111111111* -L003630 000000000000000000000000000000000000000000000000000000000000000000* -L003696 000000000000000000000000000000000000000000000000000000000000000000* -L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003630 011111111011111111111111111110111011101101111110101111111111111111* +L003696 011111111111111111110111111101111011111110111110101111111111111111* +L003762 011111111111111111110111111110111011111101111110101111111111111111* L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 111110111111111111111111110111111111111111011111111111111111111111* -L003960 111110111111111111111111111111111111111111011111111111111110111111* -L004026 101111111111111111111111111011111111111111011111111111111101111111* +L003894 011111111111111111111110111111111111111111111110111111111111111111* +L003960 011111111111111111111111111111111111111011111101111111110111111111* +L004026 000000000000000000000000000000000000000000000000000000000000000000* L004092 000000000000000000000000000000000000000000000000000000000000000000* L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111111011101111101111101111111011111101011110111111111111111111* -L004356 111111111011011111101111101111111011111110011110111111111111111111* -L004422 111111111111101101111111101111111011111101011110111111111111111111* -L004488 111111111111011101111111101111111011111110011110111111111111111111* -L004554 111111111011101111101111111111111011111101011110101111111111111111* -L004620 111111111011011111101111111111111011111110011110101111111111111111* -L004686 111111111111101101111111111111111011111101011110101111111111111111* -L004752 111111111111011101111111111111111011111110011110101111111111111111* +L004290 011111111111111111111111111101111011111110111110111111111111111111* +L004356 011111111111111111111111011110111011111101111110111111111111111111* +L004422 011111111111111111111111101101111011111111111110111111111111111111* +L004488 000000000000000000000000000000000000000000000000000000000000000000* +L004554 000000000000000000000000000000000000000000000000000000000000000000* +L004620 111111111101111111111111111111111111111111111111111111111111111111* +L004686 000000000000000000000000000000000000000000000000000000000000000000* +L004752 000000000000000000000000000000000000000000000000000000000000000000* L004818 000000000000000000000000000000000000000000000000000000000000000000* L004884 000000000000000000000000000000000000000000000000000000000000000000* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 111111111111111111111111111111111111111111101111111111111111111111* -L005082 111111111111111111111111111111011111110111111101111111111111111111* -L005148 111111111111111111111111101111111111111111111110101111111111111111* +L005016 011111011111011111111111111111101111111111111111111111111111111111* +L005082 010111111111111111111111101111011111111111111111111111111111111111* +L005148 000000000000000000000000000000000000000000000000000000000000000000* L005214 000000000000000000000000000000000000000000000000000000000000000000* L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 111111101111111111111111111111111111111111011110111111111111111111* -L005412 111111111111111111111111111111111111110111011101111011111111111111* +L005346 011111111111111111111101111111111111111111111110111111111111111111* +L005412 011111111111111111111111111111111111111111111101111011110111111111* L005478 000000000000000000000000000000000000000000000000000000000000000000* L005544 000000000000000000000000000000000000000000000000000000000000000000* L005610 000000000000000000000000000000000000000000000000000000000000000000* L005676 - 111111111111111111111111111111111111111111111110111101111111111011* -L005742 111111111111101111111111111111111011111101011110111111111111111111* -L005808 111111111111011111111111111101111011111110011110111111111111111111* -L005874 111111111111111111111111111110111011111101011110111111111111111111* + 111111111111111111111111111111111111111111111110111111111111111001* +L005742 011111111111111111111111011111111011111110111110111111111111111111* +L005808 011111111111111111111111101111111011111101111110111111111111111111* +L005874 000000000000000000000000000000000000000000000000000000000000000000* L005940 000000000000000000000000000000000000000000000000000000000000000000* L006006 000000000000000000000000000000000000000000000000000000000000000000* L006072 111111111111111111111111111111111111111111111111111111111111111111* @@ -186,315 +184,315 @@ L006402 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L006534 0010* -L006538 01010011111000* -L006552 10100110010011* -L006566 11100110010101* -L006580 10100110011111* +L006538 01100011111000* +L006552 00010110010011* +L006566 10100110010101* +L006580 00100110011111* L006594 00011111111000* -L006608 10010110010010* +L006608 10100110010010* L006622 10100110010000* L006636 00100110010011* -L006650 00100110010000* +L006650 00000110010000* L006664 11100110010010* L006678 10100110010000* -L006692 11111011110011* +L006692 00100110010011* L006706 10100110010001* L006720 11100110010011* L006734 10100110010100* -L006748 11101111110010* +L006748 11101011110010* NOTE BLOCK 1 * L006762 - 111111111111111111111011011111111111111101111111111111110111011111 - 111111111101010101111111110111111111111011111111111111111111111111 - 111111111011111111111101111111011101011111101111011111111111111111 - 111101111111111111111111111110111011111111111111110111011101111111 - 111111111111111111111111111111111111111111110111111101111111111111 + 111111111111111111111111011101011111111111111111111111110111111111 + 111111111110010111111111111011111111111111111111111011111111111111 + 111111111111111110111101111111111101111111101010111111111111111111 + 101111101111111111110111111111111111111111111111111111011110111001 111111111111111111111111111111111111111111111111111111111111111111 - 111111011111111111111111111111111111111111111111111111111111111111 - 111111111111111111011111111111111111111111111111111111111111111111 - 101011111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111 + 111110111111111111111111111111111111011101111111111111111111111111 + 111111111011111111111111111111111111111111111111111111111111111111 + 111011111111111111011111111111111111111111111111101111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 011111111111111111111111111111111111111111111111111101111111111111* -L007488 011101011111111101111101110111111111011111110111110111111111011111* +L007422 111111111111111111011111111111111111111111111111111111111111111111* +L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* L007686 000000000000000000000000000000000000000000000000000000000000000000* -L007752 111111111111111111111111111111111111111111111111111111110111111111* -L007818 011111101111111111111111111111111111111111111111110111111111111111* -L007884 011111111111111111111111111111111111101111111111110111111111111111* -L007950 011111111111111111111111111111111111111111111111110111111111101111* -L008016 011111111111111111111111111111111111111111111011110111111111111111* +L007752 000000000000000000000000000000000000000000000000000000000000000000* +L007818 000000000000000000000000000000000000000000000000000000000000000000* +L007884 000000000000000000000000000000000000000000000000000000000000000000* +L007950 000000000000000000000000000000000000000000000000000000000000000000* +L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 011111011111111111111111111111111111011111110111111011111111011111* -L008214 011101111111111101111101110111111111111111111111110111111111111111* -L008280 000000000000000000000000000000000000000000000000000000000000000000* +L008148 111111111111111111110111111111111111101111111111011111111111111111* +L008214 111111111111111111111011111111111111011111111111011111111111111111* +L008280 111111111111011111110111111111111111111111111111011111111111110111* L008346 000000000000000000000000000000000000000000000000000000000000000000* L008412 000000000000000000000000000000000000000000000000000000000000000000* -L008478 111111111111111111110111111111111111111111111111111111111111111111* -L008544 000000000000000000000000000000000000000000000000000000000000000000* -L008610 000000000000000000000000000000000000000000000000000000000000000000* -L008676 000000000000000000000000000000000000000000000000000000000000000000* -L008742 000000000000000000000000000000000000000000000000000000000000000000* +L008478 111101111111111111111111111111111111111111111111111111111111111111* +L008544 011111111111111001111111110111111111111111011011011111111111111111* +L008610 101111111111111001111111111011111111111111011011011111111111111111* +L008676 011111111111111010111111110111111111111111101011011111111111111111* +L008742 101111111111111010111111111011111111111111101011011111111111111111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 011111111011111111101111111111110111110111011111011111111111111111* -L008940 011111111011111111101111111111111011110111011111101111111111111111* -L009006 011111111011111111101111111111110111111011101111011111111111111111* -L009072 011111111011111111101111111111111011111011101111101111111111111111* -L009138 011111111011111111111111111111111111111111111111111111101111111111* -L009204 011111111110111111111111111111111111111111111111111111111111111111* -L009270 011111111111111111111111111111111011111111111111011111101111111111* -L009336 011111111111111111111111111111110111111111111111101111101111111111* -L009402 011111111111111111111111111111111111110111101111111111101111111111* -L009468 011111111111111111111111111111111111111011011111111111101111111111* +L008874 111111111111111111111111111111111111111111111011011111101111111111* +L008940 101111111111111111111111110111111111111111111111011111101111111111* +L009006 011111111111111111111111111011111111111111111111011111101111111111* +L009072 111111111111111101111111111111111111111111101111011111101111111111* +L009138 111111111111111110111111111111111111111111011111011111101111111111* +L009204 111111111111111111111111111101111111111111111111111111111111111111* +L009270 111111111111111011111111111111111111111111111111011111101111111111* +L009336 000000000000000000000000000000000000000000000000000000000000000000* +L009402 000000000000000000000000000000000000000000000000000000000000000000* +L009468 000000000000000000000000000000000000000000000000000000000000000000* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 011101011111111101111101110111111111011111110111110111111111011111* -L009666 011111111111111111101111111111111111111111111111111111101111111111* +L009600 111111111111111111111111111111111111111111111111011111111101111111* +L009666 110111111011111111111110111111111111111111111111011111111111111111* L009732 000000000000000000000000000000000000000000000000000000000000000000* L009798 000000000000000000000000000000000000000000000000000000000000000000* L009864 000000000000000000000000000000000000000000000000000000000000000000* -L009930 011111111111111111111111111111111011111111111111111111111111111111* -L009996 101111111111111111111101111111111111111111111111111111111111111111* -L010062 011111011111111111111111111111111111011111110111110111111111011111* +L009930 111111111111111111111111111111111111111101111111111111111111111111* +L009996 000000000000000000000000000000000000000000000000000000000000000000* +L010062 000000000000000000000000000000000000000000000000000000000000000000* L010128 000000000000000000000000000000000000000000000000000000000000000000* L010194 000000000000000000000000000000000000000000000000000000000000000000* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 011111111011111111101111111111111011110111011111101111111111111111* -L010392 011111111011111111101111111111111011111011101111101111111111111111* -L010458 011111110111111111011111111111111011111011101111101111111111111111* -L010524 011111110111111111011111111111111011110111011111101111111111111111* -L010590 011111111111111111111111111111111010111111111111111111111111111111* -L010656 011111111111111111111111111111111110111111111111101111111111111111* -L010722 011111111111111111111111111111111110110111101111111111111111111111* -L010788 011111111111111111111111111111111110111011011111111111111111111111* -L010854 011111111011111111011111111111111110111111111111111111111111111111* -L010920 011111110111111111101111111111111110111111111111111111111111111111* +L010326 101111111111111001111111111011111111111111011011011111111111111111* +L010392 101111111111111010111111111011111111111111101011011111111111111111* +L010458 101111111111110110111111111011111111111111100111011111111111111111* +L010524 101111111111110101111111111011111111111111010111011111111111111111* +L010590 101111111111111111111111111111111110111111111111011111111111111111* +L010656 111111111111111111111111111011111110111111111111011111111111111111* +L010722 111111111111111101111111111111111110111111101111011111111111111111* +L010788 111111111111111110111111111111111110111111011111011111111111111111* +L010854 111111111111110111111111111111111110111111111011011111111111111111* +L010920 111111111111111011111111111111111110111111110111011111111111111111* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111111111111111111111111111111111111111101111111111111111111111111* -L011118 011111111111101111111111111101111111111111111111111111111101111111* -L011184 010111101111011111111111111111111111111111111111111111111111111111* -L011250 010111111111011111111111111101111111111111111111111111111111111111* +L011052 111111111111111111111111111111111111111111111101111111111111111111* +L011118 000000000000000000000000000000000000000000000000000000000000000000* +L011184 000000000000000000000000000000000000000000000000000000000000000000* +L011250 000000000000000000000000000000000000000000000000000000000000000000* L011316 000000000000000000000000000000000000000000000000000000000000000000* -L011382 111111111111111011111111111111111111111111111111111111111111111111* -L011448 000000000000000000000000000000000000000000000000000000000000000000* -L011514 000000000000000000000000000000000000000000000000000000000000000000* -L011580 000000000000000000000000000000000000000000000000000000000000000000* -L011646 000000000000000000000000000000000000000000000000000000000000000000* +L011382 111111111111111111111111111111111111111111111011011111111111111111* +L011448 111111111111011111111111111111111111101111111111011111111111111111* +L011514 111111111111011111111011111111111111111111111111011111111111111111* +L011580 111111111111101111110111111111111111011111111111011111111111111111* +L011646 111111111111011111111111111111111111111111111111011111111111110111* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 011111111011111111101111111111110111111011101111011111111111111111* -L011844 011111111011111111101111111111111011111011101111101111111111111111* -L011910 011111110111111111011111111111110111111011101111011111111111111111* -L011976 011111110111111111011111111111111011111011101111101111111111111111* -L012042 011111111111111111111111101111111111111111101111111111111111111111* -L012108 111111111111110111111111111111111111111111111111111111111111111111* -L012174 011111111111111111111111101111111011111111111111011111111111111111* -L012240 011111111111111111111111101111110111111111111111101111111111111111* -L012306 011111111111111111111111101111111111111011111111111111111111111111* -L012372 011111111011111111011111101111111111111111111111111111111111111111* +L011778 011111111111111010111111110111111111111111101011011111111111111111* +L011844 101111111111111010111111111011111111111111101011011111111111111111* +L011910 011111111111110110111111110111111111111111100111011111111111111111* +L011976 101111111111110110111111111011111111111111100111011111111111111111* +L012042 111111111111111111111111101111111111111111101111011111111111111111* +L012108 101111111111111111111111100111111111111111111111011111111111111111* +L012174 011111111111111111111111101011111111111111111111011111111111111111* +L012240 111111111111111110111111101111111111111111111111011111111111111111* +L012306 111111111111110111111111101111111111111111111011011111111111111111* +L012372 111111111111111011111111101111111111111111110111011111111111111111* L012438 - 111111111111111111111111111111111111111111111111111111111111111111* -L012504 111111111111111111111111111111011111111111111111111111111111111111* -L012570 011111110111111111101111101111111111111111111111111111111111111111* + 111111101111111111111111111111111111111111111111111111111111111111* +L012504 111111111101111111111111111111111111111111111111111111111111111111* +L012570 000000000000000000000000000000000000000000000000000000000000000000* L012636 000000000000000000000000000000000000000000000000000000000000000000* L012702 000000000000000000000000000000000000000000000000000000000000000000* L012768 000000000000000000000000000000000000000000000000000000000000000000* -L012834 111111111111111111111111111111111111111111111111111111111111111111* -L012900 111111111111111111111111111111111111111111111111111111111111111111* -L012966 111111111111111111111111111111111111111111111111111111111111111111* -L013032 111111111111111111111111111111111111111111111111111111111111111111* -L013098 111111111111111111111111111111111111111111111111111111111111111111* +L012834 111111111111111111111111111111111111111111111111111111111111111101* +L012900 111111111111111111111111111111011111111111111111011111111110111111* +L012966 110111111011111111111111111111111111111111111111011111110111111111* +L013032 111111111111111111111111111111111111111111111111010111111101111111* +L013098 000000000000000000000000000000000000000000000000000000000000000000* L013164 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L013296 0010* -L013300 10100110011000* -L013314 00010110011110* -L013328 10100110010100* -L013342 00100110011111* -L013356 11100110010011* -L013370 01110110011111* -L013384 00000111010110* -L013398 01110110011110* -L013412 11100110011000* +L013300 00100110010000* +L013314 00101011111110* +L013328 10100110010101* +L013342 00010110011111* +L013356 11100110010010* +L013370 00110110011110* +L013384 11100110010110* +L013398 00100110011111* +L013412 11100110011001* L013426 10110110010011* -L013440 00110110010001* -L013454 00100110010011* +L013440 00100110010000* +L013454 01000110010010* L013468 11100110011000* -L013482 00110110010010* -L013496 00000110010100* -L013510 11101111111111* +L013482 10110110010011* +L013496 00100110011101* +L013510 00000110011111* NOTE BLOCK 2 * L013524 - 111111110101101111111111101111111111111111111111111111111111011111 - 111111111111111101111111110111111111101111111111011111111111111101 - 111111111111110111111101111111111111111110111111111111011111111111 - 111110111111111111110111111101011111111111110111111111111111111111 - 110111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111111101111111 - 111111011111111111011111111111111111111111111111110111111111111111 - 111111111111111111111111111111111111111111111110111111111111111111 - 011111111111111111111111111111110110111111101111111101111111111111* + 111111110111111111111101111111111111111111111011111111111111111011 + 111111111111111111111111110111111110111111111111111111111111111111 + 111111111111111111111111111110101111111110111111111111111111111111 + 111110111111010111101111111111111111111111111111111111111110111111 + 111111111111111111110111111111111111111111111111111111111111011111 + 111111111111111111111111111111111111110111011111010111101111111111 + 111111111111111111111111011111111111011111111111111111111111111111 + 111111111111111111111111111111110111111111111110111111111111111111 + 101011011111111111111111111111111111111111111111111101111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 101111111111111111111111111111111111111111111110111111111111111111* +L014184 111111111111111111111111111111111111111111111110111011111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 110111101111111111111111111111111111111111011111111111111111111111* -L014580 110111111111111111111111111111111111111111011111111111101111111111* -L014646 110111111111111111111111111111111111111111011111111111111111101111* -L014712 111011011111111111111111111111111111111111011111111111011111011111* -L014778 110111111111111101110101110111111111111111010111111111111111111111* +L014514 111111111111111111111111111111111111111111011111111111111111011111* +L014580 111101011111111111111111111111110111111111111111111111111111111111* +L014646 111111101111111111111111111111110111111111101111111111111111111111* +L014712 111111111111111111111111111111111011111111111111111111111111011111* +L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 000000000000000000000000000000000000000000000000000000000000000000* -L014910 111111111111111111111111111111111111111111100111111111111111111111* -L014976 110111011111111111110101110111111111111111011011111111011111011111* -L015042 110111011111111110110101110111111111111111110111111111011111011111* -L015108 000000000000000000000000000000000000000000000000000000000000000000* -L015174 000000000000000000000000000000000000000000000000000000000000000000* -L015240 111111111111111111111111111111111111111111111111011111111111111111* -L015306 000000000000000000000000000000000000000000000000000000000000000000* -L015372 000000000000000000000000000000000000000000000000000000000000000000* -L015438 000000000000000000000000000000000000000000000000000000000000000000* -L015504 000000000000000000000000000000000000000000000000000000000000000000* +L014910 111111111111111111111111111111111111111111110111111111111111111111* +L014976 111111111111111111111111111111111111111111111111111111111111111111* +L015042 111111111111111111111111111111111111111111111111111111111111111111* +L015108 111111111111111111111111111111111111111111111111111111111111111111* +L015174 111111111111111111111111111111111111111111111111111111111111111111* +L015240 111111111111111111111111111111111111111111111111111111111111111111* +L015306 111111111111111111111111111111111111111111111111111111111111111111* +L015372 111111111111111111111111111111111111111111111111111111111111111111* +L015438 111111111111111111111111111111111111111111111111111111111111111111* +L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 111111111111111111111111111111111111111111111111111111111111111101* -L015702 000000000000000000000000000000000000000000000000000000000000000000* -L015768 000000000000000000000000000000000000000000000000000000000000000000* +L015636 011111111111100111111111011111111111111111111111111111111111111111* +L015702 010111111111011111111111111111111111101111111111111111111111111111* +L015768 010111111111010111111111111111111111111111111111111111111111111111* L015834 000000000000000000000000000000000000000000000000000000000000000000* L015900 000000000000000000000000000000000000000000000000000000000000000000* -L015966 111111111110110111111111011111111111111101011111111111111111111111* -L016032 111101111011110111111111101111111111111101011111111010111101111111* -L016098 111111111111110111111111111111011111111111011111111111111111111111* -L016164 111111111111111111111111111110011101111111011111111111111111111111* +L015966 011111110111111111100111111111110111111111111111111111111111111111* +L016032 011101100111111111111011111111110111111111101111111110111111011111* +L016098 011111110111110111111111111111111111111111111111111111111111111111* +L016164 010111111111110111111111101111111111111111111111111111111111111111* L016230 000000000000000000000000000000000000000000000000000000000000000000* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 111111101111111111111111111111111111111111011111111111011111111111* -L016428 111111011111111111111111111111111111111111011111111111101111111111* -L016494 110111111111111101110101110111111111111111010111111111011111011111* -L016560 000000000000000000000000000000000000000000000000000000000000000000* -L016626 000000000000000000000000000000000000000000000000000000000000000000* -L016692 111111111111111111111111111111111111011111111111111111111111111111* -L016758 000000000000000000000000000000000000000000000000000000000000000000* -L016824 000000000000000000000000000000000000000000000000000000000000000000* -L016890 000000000000000000000000000000000000000000000000000000000000000000* -L016956 000000000000000000000000000000000000000000000000000000000000000000* +L016362 111111111111111111111111111111111111111111111111111111111111111111* +L016428 111111111111111111111111111111111111111111111111111111111111111111* +L016494 111111111111111111111111111111111111111111111111111111111111111111* +L016560 111111111111111111111111111111111111111111111111111111111111111111* +L016626 111111111111111111111111111111111111111111111111111111111111111111* +L016692 111111111111111111111111111111111111111111111111111111111111111111* +L016758 111111111111111111111111111111111111111111111111111111111111111111* +L016824 111111111111111111111111111111111111111111111111111111111111111111* +L016890 111111111111111111111111111111111111111111111111111111111111111111* +L016956 111111111111111111111111111111111111111111111111111111111111111111* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 111111111111110111111111111111111111111111101111111111111111111111* -L017154 111111111111111011111111111101110111111111011111111111111111111111* -L017220 111111111110110111111111011111111011111101111111111111111111111111* -L017286 111101111011110111111111101111111011111101111111111010111101111111* -L017352 111111111111110111111111111111111110111111111111111111111111111111* -L017418 111111111111111111111111110111111111111111101111111111111111111111* -L017484 110111011111111111110101111011111111111111011111111111011111011111* -L017550 110111011111111111110101110111111111111111111011111111011111011111* -L017616 110111011111111110110101110111111111111111111111111111011111011111* -L017682 000000000000000000000000000000000000000000000000000000000000000000* +L017088 011111111011111111111111011110111111011111111111101111111111111111* +L017154 011111111111111111100111011110110111011111111111101111111111111111* +L017220 011101101111111111111011011110110111011111101111101110111111011111* +L017286 011111111011101111111111011110111111111111111111101111111110111111* +L017352 011111111111101111100111011110110111111111111111101111111110111111* +L017418 011101101111101111111011011110110111111111101111101110111110011111* +L017484 011111111011111011111111111110111111011110111111101111111111111011* +L017550 011111111111111011100111111110110111011110111111101111111111111011* +L017616 011101101111111011111011111110110111011110101111101110111111011011* +L017682 011111111011101011111111111110111111111110111111101111111110111011* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 110111011111111111110101110111111111111111010111111111011111011111* -L017880 111111111111111101111111111111111111111111011111111111111111111111* -L017946 000000000000000000000000000000000000000000000000000000000000000000* -L018012 000000000000000000000000000000000000000000000000000000000000000000* +L017814 011111111111101011100111111110110111111110111111101111111110111011* +L017880 011101101111101011111011111110110111111110101111101110111110011011* +L017946 010111111111111111111111101110111111111111111111111111111111111111* +L018012 010111111111111111111111111110111111111111111111101111111111111111* L018078 000000000000000000000000000000000000000000000000000000000000000000* -L018144 111111111111011111111111111111111111111111111111111111111111111111* -L018210 000000000000000000000000000000000000000000000000000000000000000000* -L018276 000000000000000000000000000000000000000000000000000000000000000000* -L018342 000000000000000000000000000000000000000000000000000000000000000000* +L018144 101111111111111111111111111111111111111111111111111111111111111111* +L018210 111111111111111111111111110111111111110111111111111111111111111111* +L018276 111111111111111111111111110111111111111111111111111111111111111011* +L018342 111111111111111111111111111111101101111011111111111111101111110111* L018408 000000000000000000000000000000000000000000000000000000000000000000* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 111111111011111111111111111111111111111101111111111111111111111111* -L018606 111111110111111111111111111111111111111110111111111111111111111111* -L018672 000000000000000000000000000000000000000000000000000000000000000000* -L018738 000000000000000000000000000000000000000000000000000000000000000000* -L018804 000000000000000000000000000000000000000000000000000000000000000000* -L018870 111111101111111111111111111111111111111111011111111111111111011111* -L018936 111111111111111111111111111111111111111111011111111111101111011111* -L019002 111111011111111111111111111111111111111111011111111111011111101111* -L019068 110111111111111101110101110111111111111111010111111111111111011111* +L018540 101111110111111111111111111111111111111111111111111111111111111111* +L018606 011111111011111111111111011111111111111101111111111111111111111111* +L018672 111111110111111111100111111111110111111110111111111111111111111111* +L018738 111101100111111111111011111111110111111110101111111110111111011111* +L018804 111011110111111111111111111111111111111111111111111111111111111111* +L018870 011111111111111111111110111111111111110111111111111111111111111111* +L018936 011111111111111111111110111111111111111111111111111111111111111011* +L019002 011111111111111111111111111111101111111011111111111111111111110111* +L019068 000000000000000000000000000000000000000000000000000000000000000000* L019134 000000000000000000000000000000000000000000000000000000000000000000* L019200 000000000000000000000000000000000000000000000000000000000000000000* -L019266 111111111110111111111111011111111111111101111111111111111111111111* -L019332 111101111011111111111111101111111111111101111111111010111101111111* -L019398 000000000000000000000000000000000000000000000000000000000000000000* -L019464 000000000000000000000000000000000000000000000000000000000000000000* -L019530 000000000000000000000000000000000000000000000000000000000000000000* -L019596 111111111111111111101111111111111111111111011111111111111111111111* -L019662 000000000000000000000000000000000000000000000000000000000000000000* -L019728 000000000000000000000000000000000000000000000000000000000000000000* -L019794 000000000000000000000000000000000000000000000000000000000000000000* -L019860 000000000000000000000000000000000000000000000000000000000000000000* +L019266 111111111111111111111111111111111111111111111111111111111111111111* +L019332 111111111111111111111111111111111111111111111111111111111111111111* +L019398 111111111111111111111111111111111111111111111111111111111111111111* +L019464 111111111111111111111111111111111111111111111111111111111111111111* +L019530 111111111111111111111111111111111111111111111111111111111111111111* +L019596 111111111111111111111111111111111111111111111111111111111111111111* +L019662 111111111111111111111111111111111111111111111111111111111111111111* +L019728 111111111111111111111111111111111111111111111111111111111111111111* +L019794 111111111111111111111111111111111111111111111111111111111111111111* +L019860 111111111111111111111111111111111111111111111111111111111111111111* L019926 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L020058 0010* L020062 01100011111000* L020076 10100110010011* -L020090 10100111010001* -L020104 00100110010011* -L020118 00100110010000* +L020090 00010110010001* +L020104 11101011110011* +L020118 10100110010000* L020132 10100110010010* -L020146 10100110010000* -L020160 00100110010011* -L020174 10100111010001* -L020188 10100111010011* -L020202 10100110010110* -L020216 00100110011110* -L020230 10100110010000* -L020244 10100110010011* -L020258 11100011110001* -L020272 01100110011111* +L020146 11011111110001* +L020160 11111011110011* +L020174 10100110010000* +L020188 10110110010010* +L020202 11000011110110* +L020216 11001011111111* +L020230 10100111010000* +L020244 11100110010010* +L020258 11011111110001* +L020272 11110011111111* NOTE BLOCK 3 * L020286 - 111111111111111111111111101111011111111111111111111111111111111111 - 111111111111111110111111111101111111111111111111111111111111110111 - 011111111111111111111111111111111111111111111011111111111101111110 - 111111111111011111101110111111111111111111111110111111111111111111 - 111111111101111111111111111111111111111111111111111001111111111111 - 110111111111111111111111111111111111111111111111111111110111111111 - 111111111111110111111111111111111011110111111111111111111111011111 - 111101110111111111111111111011111111111110111111111111111111111111 - 111111011111111111111111111111111110011111101111111111011111111111* + 011111111111111101111111111111111111111111111111111111110111111111 + 111111011111111111111111111101111111111111111111111111111111111111 + 111111111111110111111111111111111111111111111111111111111111110111 + 111111111111111111111110111111111111111111111111111111111111111110 + 111111111101111111111111111111111101111111110111111011111111111111 + 111111111111111111111111110111111111111101111111111111111101111111 + 111111111111111111111111011111111111010111111111111111111111111111 + 111111110111011111111111111111111111111111111110111111101111111111 + 110101111111111111110111111111110111111111101111111111111111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 111111111111111111111111111111111111101111101111111111111111111111* -L021012 110111111111010111111110111111101111101111111111111111111111111111* -L021078 110111111111111011111110101111011111011111011111111111111111011111* +L020946 111011111111111111111111111111111111111111101111111111111111111111* +L021012 111011111111111111111110011111111011111111110111111111111101111111* +L021078 110111111111111111111110111111110110011111010111111111111110111111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 011111111111111111111111110110110111111111011111111111111111111111* -L021342 111111111111111111111111111110111111111111011111111111101111111111* +L021276 111111111111111111111111111110111111111111011111110111011111110111* +L021342 111110111111111111111111111110111111111111011111111111111111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111111111111111111111111111111111111111011111111111111111010111* -L021738 111111011111111111111111111111111111111111011111111111111111110111* -L021804 111111011111101111111111111111111101111111011111111111111111111111* +L021672 111111110111111111111111111111111011111111111111111111111111111111* +L021738 111111111011111111111111111111110111111111111111111111111111111111* +L021804 000000000000000000000000000000000000000000000000000000000000000000* L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* -L022002 111111111111111111111111111111111111111111011011111111111111111111* +L022002 111111111111011111111111111111111111111111111111111111111111111111* L022068 000000000000000000000000000000000000000000000000000000000000000000* L022134 000000000000000000000000000000000000000000000000000000000000000000* L022200 000000000000000000000000000000000000000000000000000000000000000000* L022266 000000000000000000000000000000000000000000000000000000000000000000* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 111111111111111111111111111111111111111110111111111111110111111111* -L022464 111111111111111111111111111111111111111101111111111011111111111111* +L022398 111111111111111111111111111111111111110111111110111111111111111111* +L022464 111111111111111011111111111111111111111111111101111111111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -504,56 +502,56 @@ L022860 000000000000000000000000000000000000000000000000000000000000000000* L022926 000000000000000000000000000000000000000000000000000000000000000000* L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 - 111111111111111111111111111111111111111101111111111101111111111111* -L023124 111111111111111111111111111111111111111111011111111111111101111011* -L023190 111111110111111111111111111011111101111111011111111111111111111111* -L023256 111111111111111101111111111111111111111111011111111111111111110111* + 111111111111111111111111111111111111111111111101111111111111111101* +L023124 111111111111111111111111111111111111011111111111111111111111111111* +L023190 000000000000000000000000000000000000000000000000000000000000000000* +L023256 000000000000000000000000000000000000000000000000000000000000000000* L023322 000000000000000000000000000000000000000000000000000000000000000000* L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 111111111110111111111111111111111111111111011111111111111111111111* +L023454 111111111111111111111111110111111111111111111111111111111111111111* L023520 000000000000000000000000000000000000000000000000000000000000000000* L023586 000000000000000000000000000000000000000000000000000000000000000000* L023652 000000000000000000000000000000000000000000000000000000000000000000* L023718 000000000000000000000000000000000000000000000000000000000000000000* L023784 - 111111111111111111111111111111111111111101111111111101111111111111* -L023850 111111110110111111111111111111111111111011111111111111111111111111* + 111111111111111111111111111111111111111111111101111111111111111101* +L023850 101111111110111111111111111111111111111111111111111111110111111111* L023916 000000000000000000000000000000000000000000000000000000000000000000* L023982 000000000000000000000000000000000000000000000000000000000000000000* L024048 000000000000000000000000000000000000000000000000000000000000000000* L024114 000000000000000000000000000000000000000000000000000000000000000000* -L024180 111111111111111011111101111111011111111111111111111111111111111111* -L024246 110111111111111011111110111111101111111111111111111111111111111111* -L024312 110111111111110111111110111111011111111111111111111111111111111101* -L024378 111011111111111111111101111111011111111111111111111111111111111101* -L024444 111111111111111011111111111111111111111111111111111111111111111110* +L024180 111111111111111101111111111111111111111111111111111111111111111111* +L024246 000000000000000000000000000000000000000000000000000000000000000000* +L024312 000000000000000000000000000000000000000000000000000000000000000000* +L024378 000000000000000000000000000000000000000000000000000000000000000000* +L024444 000000000000000000000000000000000000000000000000000000000000000000* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 111111111111111111111111111111111111111111111101111111111111111111* -L024642 111111111111111111111111111111111111111111111111111111111111111111* -L024708 111111111111111111111111111111111111111111111111111111111111111111* -L024774 111111111111111111111111111111111111111111111111111111111111111111* -L024840 111111111111111111111111111111111111111111111111111111111111111111* -L024906 111111111111111111111111111111111111111111111111111111111111111111* -L024972 111111111111111111111111111111111111111111111111111111111111111111* -L025038 111111111111111111111111111111111111111111111111111111111111111111* -L025104 111111111111111111111111111111111111111111111111111111111111111111* -L025170 111111111111111111111111111111111111111111111111111111111111111111* +L024576 111111111111111111111111111111111111111110111111111111111111111111* +L024642 000000000000000000000000000000000000000000000000000000000000000000* +L024708 000000000000000000000000000000000000000000000000000000000000000000* +L024774 000000000000000000000000000000000000000000000000000000000000000000* +L024840 000000000000000000000000000000000000000000000000000000000000000000* +L024906 111111111110111111111111111111111111111111011111111111111111111111* +L024972 000000000000000000000000000000000000000000000000000000000000000000* +L025038 000000000000000000000000000000000000000000000000000000000000000000* +L025104 000000000000000000000000000000000000000000000000000000000000000000* +L025170 000000000000000000000000000000000000000000000000000000000000000000* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111110110110111111111111111111111111111111111111111111111111111111* -L025368 111111111111111111111111111111111111111111111111111111111111111111* -L025434 111111111111111111111111111111111111111111111111111111111111111111* -L025500 111111111111111111111111111111111111111111111111111111111111111111* -L025566 111111111111111111111111111111111111111111111111111111111111111111* -L025632 110111111111110111111111111111111111111111111111111111111111111111* -L025698 111111111111111111111101111111011111111111111111111111111111111101* -L025764 111111111111111011111111111111101111111111111111111111111111111101* -L025830 110111111111111111111111111111111111111111111111111111111111111110* -L025896 000000000000000000000000000000000000000000000000000000000000000000* +L025302 111111101110111111111111111111111111111111111111111111110111111111* +L025368 000000000000000000000000000000000000000000000000000000000000000000* +L025434 000000000000000000000000000000000000000000000000000000000000000000* +L025500 000000000000000000000000000000000000000000000000000000000000000000* +L025566 000000000000000000000000000000000000000000000000000000000000000000* +L025632 111111111111111111111101111111110111111111111111111111111110111111* +L025698 111111111111111111111110111111111011111111110111111111111110111111* +L025764 111111110111111111111110111111110111111111110111111111111101111111* +L025830 111111110111111111111101111111110111111111111011111111111111111111* +L025896 111111111011111111111111111111111111111111111111111111111110111111* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111111111011111111111111111111111111111111111111111111111* +L026028 111111111111111111111011111111111111111111011111111111111111111111* L026094 111111111111111111111111111111111111111111111111111111111111111111* L026160 111111111111111111111111111111111111111111111111111111111111111111* L026226 111111111111111111111111111111111111111111111111111111111111111111* @@ -570,45 +568,45 @@ L026820 0010* L026824 10100111010000* L026838 11100110011110* L026852 10100110010100* -L026866 01100110011111* +L026866 00100110011111* L026880 10101011111001* L026894 00100011111111* -L026908 10100110010100* -L026922 01100110010010* +L026908 00100110010100* +L026922 00100110010010* L026936 01101011110011* -L026950 11100110010011* -L026964 00010110010000* -L026978 11101111110011* -L026992 01110011111011* -L027006 10100110011111* -L027020 00010110010000* -L027034 11101011110010* +L026950 00100110010011* +L026964 00100110010000* +L026978 01100110010011* +L026992 01101111111011* +L027006 11100110011111* +L027020 01010110010000* +L027034 11100011110010* NOTE BLOCK 4 * L027048 - 111111111111111111111111111111111111111111111111011111111111111111 - 111111111111111111111011111111111111110111111111110111111111111111 - 111111110101101111111111111111111111111111110111111111110111111111 - 111011011111111111111111111111111101111111111111111111101111111111 - 011111111111110111111111111111111111111111111111111111111111111111 - 111111111111111111111111011111111111011101111111111111111111111111 - 111111111111111111111111111111111011111111101111111101111111110111 - 111111111111111111111111111010011111111111111110111111111101111110 - 111110111111111110101101111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111110111111111110111111111111111 + 011101111111111111111111010101111111111111111111101111111111111111 + 111011011111111110111111111111111101111111111011111111111111111111 + 111111111110110111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111011111111101 + 111111111111011111111111111111111111111111011111111111111011100111 + 111111111011111111101111111111011111111111111110111110111101111111 + 111111111111111111111101111111111011101110111111111111111111111111* L027642 - 110111111111011111111101101111111111011111111111111110011111111010* + 110111111111101101101101111111111111111111111111011111101111111001* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 111110111111111111111111111111111111111111011110111111111011111111* -L028104 111111111111111111111111111111111111111111101101111111111111111111* +L028038 111111111110111111111111111111111011111111111110111111110111111111* +L028104 111111111111111111111111111111111111111111111101111111111011111111* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 011111111111111111111111111111111111111111111101111111111111111111* -L028434 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111110101111111111111111111* +L028434 011111111111111111111111111111111111111111111111111111111111101111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* L028632 111111111111111111111111111111111111111111111111111111111111111111* @@ -620,13 +618,13 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111011111111111111111111111111111111111111111110* +L029160 111110111111111111101111111111111111111111111111111111111111111111* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* L029424 111111111111111111111111111111111111111111111111111111111111111111* -L029490 111111101010111001011111111011011110111011111011111011111101111111* -L029556 111111111111111111111111111111111111111111111111111111110111111111* +L029490 111111101011111011111111101010011110011001111111111011111101111111* +L029556 111111111101111111111111111111111111111111111111111111111111111111* L029622 000000000000000000000000000000000000000000000000000000000000000000* L029688 000000000000000000000000000000000000000000000000000000000000000000* L029754 000000000000000000000000000000000000000000000000000000000000000000* @@ -644,12 +642,12 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 111111111111111111111111111111111111111111111111011111111111111111* +L030612 011111111111111111111111111111111111111111111111111111111111111111* L030678 111111111111111111111111111111111111111111111111111111111111111111* L030744 111111111111111111111111111111111111111111111111111111111111111111* L030810 111111111111111111111111111111111111111111111111111111111111111111* L030876 111111111111111111111111111111111111111111111111111111111111111111* -L030942 111111111111111111111111111111111111111101111111111111111111111111* +L030942 101111111111111111111111111111111111111111111111111111111111011111* L031008 111111111111111111111111111111111111111111111111111111111111111111* L031074 111111111111111111111111111111111111111111111111111111111111111111* L031140 111111111111111111111111111111111111111111111111111111111111111111* @@ -667,13 +665,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111111111111111111111111111101111111111111111111111111111111111111* -L032064 111111101010111001011111111011011110111011111011111011111101111111* + 111111111111111111111111111111111111111111111111111101111111111111* +L032064 111111101011111011111111101010011110011001111111111011111101111111* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111111111111111111111111111111110111111111111111111111111111111111* +L032394 111111111111111111111111111111111111111111011111111111111111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -696,74 +694,74 @@ L033450 L033582 0010* L033586 00100011110000* L033600 10101111110011* -L033614 11011011110100* -L033628 11110011110010* -L033642 01111111111001* -L033656 10101011111111* +L033614 00010110010100* +L033628 11101111110010* +L033642 01111011111000* +L033656 10100011111111* L033670 11011111110000* -L033684 11111011111111* -L033698 00110110010000* -L033712 00000110011110* -L033726 11011111110001* -L033740 11110011111110* +L033684 11111011111110* +L033698 00110110010001* +L033712 00000110011111* +L033726 11011111110000* +L033740 11110011111111* L033754 00111011110000* L033768 00000110011110* L033782 11010111111101* L033796 11111111111110* NOTE BLOCK 5 * L033810 - 111111111111111111111111111111111111011111111111111111111111111011 - 111111111110111111111111111111110111111111111111111111111111111111 - 111111111111111111101111111111111111111111101111101111110101111111 - 111111111111110111111011101001111111111111111111111111111111111111 - 111111111111111111111111111111101101111111111111111011111111111111 - 111110111111011111111111111111111111111011111111111111111111111111 - 111111110111111110111101111111111111111111111111111111111111011111 - 111111111111111111111111111111111111111110111111111111101111111111 - 101011011111111111111111111111111111111111111111111111111111111111* + 111111111111111111111110111111111110111111111101111111111111111111 + 111111111101111111111111111111111111111111111111111111111111111111 + 111110111111101111111111111111111111111111111111011111110101111111 + 111111111111110110111011101101111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111101011 + 111111111111111111111111111111011111111011111111111111011111111111 + 111111010111111111011111111111111011111111111111110101111111111111 + 111111111111111111111111111011111111111110111111111111111111111111 + 101011111111111111111111111111111111111111111111111111111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 101111111111111111111111111111111111111111111111111111111111111111* -L034536 111111111111111111111111111101011111111111111111111111111111111111* -L034602 111111101111111011111011111111101011111111111111111111111010111011* -L034668 111111101111111011011011111111101011111111111111111111111110111011* -L034734 111110101111111011111011111111101011111111111111111111111110111011* -L034800 111111101111111001111011111111101011111111111111111111111110111011* -L034866 111011111111111111111111111111110111111111111111111111111111101111* -L034932 111011111111111111111111111111011111111111111111111111111111111111* -L034998 111011111111111111110111111110111111111111111111111111111111111111* -L035064 111011111111111111111111111111111111011111111111111111111101111111* +L034470 011111111110111111111111111111111111111111111111111111111111111111* +L034536 000000000000000000000000000000000000000000000000000000000000000000* +L034602 000000000000000000000000000000000000000000000000000000000000000000* +L034668 000000000000000000000000000000000000000000000000000000000000000000* +L034734 000000000000000000000000000000000000000000000000000000000000000000* +L034800 010111111111111111111111111011111111111111111111111111111111101111* +L034866 010111111111111111111111101011111111110101111111111111111110110111* +L034932 010111111111111111111111111011111111110101111111111111011110110111* +L034998 010111110111111111111111111011111111110101111111111111111110110111* +L035064 010111111111111110111111111011111111110101111111111111111110110111* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 111111111101111111111111111111111111111111111111111111111111111111* -L035262 111011111111111111111111111111111111111111111111111111111111100111* -L035328 111011011111111111111111111110111111111111111111111111111111111111* -L035394 111011111111110111111111111110111111111111111111111111111111111111* +L035196 011111111111111111101111111111111111111111111111111111111111111111* +L035262 010111111111111111111111111011111111110101111111111101111110110111* +L035328 010111111111101111111111111011111111110101111111111111111110110111* +L035394 000000000000000000000000000000000000000000000000000000000000000000* L035460 000000000000000000000000000000000000000000000000000000000000000000* -L035526 011111111111111111111111111111101111111111111111111111111111010111* -L035592 010111111111111111111111111110011111111111111111111111111111111111* -L035658 000000000000000000000000000000000000000000000000000000000000000000* -L035724 000000000000000000000000000000000000000000000000000000000000000000* -L035790 000000000000000000000000000000000000000000000000000000000000000000* +L035526 111111111111111111111111111111111111111111111111111111111111111111* +L035592 111111111111111111111111111111111111111111111111111111111111111111* +L035658 111111111111111111111111111111111111111111111111111111111111111111* +L035724 111111111111111111111111111111111111111111111111111111111111111111* +L035790 111111111111111111111111111111111111111111111111111111111111111111* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 011101111111111110101111111111111111111111111111111011110111111111* -L035988 011111111111111111110111111111111111111111111111111011111111111111* -L036054 010111111111111111110111111110111111111111111111111111111111111111* +L035922 011111111111111111111011111111111101111111111111110111111111111111* +L035988 010111101111111111110111111111111111111111111111111111111111111111* +L036054 010111111111111111110111111111111101111111111111111111111111111111* L036120 000000000000000000000000000000000000000000000000000000000000000000* L036186 000000000000000000000000000000000000000000000000000000000000000000* -L036252 010111111111111111101111111111111111111111111111111111101111111111* -L036318 010111111111111111111111101111111111110101111111111011100111111111* -L036384 010111111111011111111111111111111111110101111111111011100111111111* -L036450 010111110111111111111111111111111111110101111111111011100111111111* -L036516 010111111111111111111111111011111111110101111111111011100111111111* +L036252 111110111111111011111011111110101110111111111110111111111111111011* +L036318 111110111111111011111011111110101110111111111110111111111111011111* +L036384 111110111111111011111011111110101010111111111110111111111111111111* +L036450 111110111111111011111011111110101110111111111110111111110111111111* +L036516 000000000000000000000000000000000000000000000000000000000000000000* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111110111111111101111111111111111111111111111111111111111111111111* -L036714 010111111111111111111101111111111111110101111111111011100111111111* -L036780 010111111111111111111111111111111111110101111111101011100111111111* -L036846 000000000000000000000000000000000000000000000000000000000000000000* -L036912 000000000000000000000000000000000000000000000000000000000000000000* +L036648 111111111111111111111111111111111111111111111111111111111111111111* +L036714 111111111111111111111111111111111111111111111111111111111111111111* +L036780 111111111111111111111111111111111111111111111111111111111111111111* +L036846 111111111111111111111111111111111111111111111111111111111111111111* +L036912 111111111111111111111111111111111111111111111111111111111111111111* L036978 111111111111111111111111111111111111111111111111111111111111111111* L037044 111111111111111111111111111111111111111111111111111111111111111111* L037110 111111111111111111111111111111111111111111111111111111111111111111* @@ -771,19 +769,19 @@ L037176 111111111111111111111111111111111111111111111111111111111111111111* L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 111111111111111111111111111111111111111111111111111111111111011111* -L037440 111111111111111111111111111111111111111111111111111111111111111111* -L037506 111111111111111111111111111111111111111111111111111111111111111111* -L037572 111111111111111111111111111111111111111111111111111111111111111111* -L037638 111111111111111111111111111111111111111111111111111111111111111111* -L037704 111101111111111110111111111111111111111111111111111111111111111111* +L037374 011111011111111111110111111111111111111111111111111111111111111111* +L037440 011101111111111111110111111111111111111111111111111111111111111111* +L037506 010101111111111111111111111111111111111111111111111011111111111111* +L037572 000000000000000000000000000000000000000000000000000000000000000000* +L037638 000000000000000000000000000000000000000000000000000000000000000000* +L037704 111111111111111111111101111111111111111111111111111111111111111111* L037770 111111111111111111111111111111111111111111111111111111111111111111* L037836 111111111111111111111111111111111111111111111111111111111111111111* L037902 111111111111111111111111111111111111111111111111111111111111111111* L037968 111111111111111111111111111111111111111111111111111111111111111111* L038034 000000000000000000000000000000000000000000000000000000000000000000* -L038100 011111111111111111111111111111111111111111101111111111111111111111* +L038100 111111111111111111111111111111111111111111111111111111111111111111* L038166 111111111111111111111111111111111111111111111111111111111111111111* L038232 111111111111111111111111111111111111111111111111111111111111111111* L038298 111111111111111111111111111111111111111111111111111111111111111111* @@ -795,16 +793,16 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 111111111111111111111111111111111111111111111111111111111111111111* -L038892 111111111111111111111111111111111111111111111111111111111111111111* -L038958 111111111111111111111111111111111111111111111111111111111111111111* -L039024 111111111111111111111111111111111111111111111111111111111111111111* -L039090 111111111111111111111111111111111111111111111111111111111111111111* -L039156 111111111111111111111111111111111101111111111111111111111111111111* -L039222 011111111111110111111111111101111111111111111111111111111111111011* -L039288 010111111111111111111111111111111111111111111111111111111111100111* -L039354 010111111111110111111111111111111111111111111111111111111111110111* -L039420 000000000000000000000000000000000000000000000000000000000000000000* +L038826 011111111111111111111111111111110111111111111111111111111010100111* +L038892 011111111111111111111111111111111101111111111111111111111110111111* +L038958 010111111111111111111111111111111101111111111111111011111111111111* +L039024 000000000000000000000000000000000000000000000000000000000000000000* +L039090 000000000000000000000000000000000000000000000000000000000000000000* +L039156 111111111111111111111111111111111111111111111111011111111111111111* +L039222 111111111111111111111111111111111111111111111111111111111111111111* +L039288 111111111111111111111111111111111111111111111111111111111111111111* +L039354 111111111111111111111111111111111111111111111111111111111111111111* +L039420 111111111111111111111111111111111111111111111111111111111111111111* L039486 000000000000000000000000000000000000000000000000000000000000000000* L039552 111111111111111111111111111111111111111111111111111111111111111111* @@ -821,117 +819,117 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L040344 0010* -L040348 11100110011110* -L040362 10110110010010* -L040376 00000110011110* -L040390 11000011110011* +L040348 01100110011110* +L040362 11100110010010* +L040376 01110110011110* +L040390 11100011110011* L040404 10100110011111* -L040418 11100110010011* -L040432 00110110011110* -L040446 11101111110011* -L040460 00110110011111* +L040418 10100011110011* +L040432 11011111111110* +L040446 11111011110011* +L040460 10100110011111* L040474 00000110010011* -L040488 01010110011110* -L040502 11101011111110* -L040516 10110110011110* -L040530 00110110011111* -L040544 11011111111110* -L040558 11110011111110* +L040488 11010011111110* +L040502 11111011111111* +L040516 10100110011110* +L040530 00000110011110* +L040544 11011111111111* +L040558 11110011111111* NOTE BLOCK 6 * L040572 - 111111111111111111111111111111011111111111011111111111111111111111 - 111111111111111111111111101111110111111111111111111111111111111111 - 111111111111111111111110111111111111111111111111111110111011110110 - 111110100110111111111111111111111111110111111111111111111111111111 - 011111111111111111111111111111111110111111111111111111111111111111 - 111111111111111011011111111111111111111111111111111111111101111111 - 111111111111101111111111111111111111111101111111110111011111111111 - 111111111111111101111111111011111111111111111110111111111111111111 - 111011111111111111110111111111111111111111111111101111111111111111* + 111111111111111111111111101111111111111111111111111111111111111111 + 111011111111111111111111111111110111111111111111111111101111111111 + 111111101111111111111011111111111111111111111111111111111111111111 + 101110111101111111111111111111111111011111111110111111111111111110 + 111111111111111111111111111111111110111111110111111011111111111111 + 111111111111111011111111111111111111111111111111111111111101111111 + 111111111111101111111111111111111111111111111111011111111111011111 + 111111110111111101111111110111111111111110111111111111111111111111 + 111111111111111111011111111111101111111111101111111111110111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 111111111111110111111111111111111110111111111101011111111111111111* -L041298 111111111111101111111111111111111111111111111110011111111111111111* +L041232 111111111111110111111111111111111110111101011111111111111111111111* +L041298 111111111111101111111111111111111111111110011111111111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 111111111111111111111111111111111111111111011111111111111111111111* +L041562 111111111111111111011111111111111111111111111111111111111111111111* L041628 000000000000000000000000000000000000000000000000000000000000000000* L041694 000000000000000000000000000000000000000000000000000000000000000000* L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111111111111111111111111101111111111111111111111111* -L042024 000000000000000000000000000000000000000000000000000000000000000000* +L041958 111111111111111111111111111111110111011111011111111111111111011111* +L042024 111111111111111111111111111111111111111111011101111111111111111111* L042090 000000000000000000000000000000000000000000000000000000000000000000* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* -L042288 111111111111111111111111111111111111111111111111111101111111111111* +L042288 111111111111111111111111111111011111111111111111111111111111111111* L042354 000000000000000000000000000000000000000000000000000000000000000000* L042420 000000000000000000000000000000000000000000000000000000000000000000* L042486 000000000000000000000000000000000000000000000000000000000000000000* L042552 000000000000000000000000000000000000000000000000000000000000000000* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111101111111111111111111111111101111111111111111111111111111111111* -L042750 111101111111111111111111111111111111111111111111111011111111111111* -L042816 111111111111111111111111111111011111111111111111110111111110111101* -L042882 111111111111111111111111111111101111111111111111111011111110111101* -L042948 111101111111111111111111111111111111111111111111111111111111111110* -L043014 111111111111111011111111111111111111111111111101011111111111111111* -L043080 111111101111111111111111111111111111111111111101011111111111111111* -L043146 111111111111111110111111111111111111111111111110011111101111111111* +L042684 111101111111111111111111111111111111111111111111111111111011111111* +L042750 111101111111111111111111111111111111111111111111111111111110111111* +L042816 111111110111111111111111111111111111111111111011111111110101111111* +L042882 111111110111111111111111111111111111111111111011111111111010111111* +L042948 111101111011111111111111111111111111111111111111111111111111111111* +L043014 111111111111111111111111111111111111111111011111111111111111111101* +L043080 111111111111111111111111111111110111011111011101111111111111011111* +L043146 000000000000000000000000000000000000000000000000000000000000000000* L043212 000000000000000000000000000000000000000000000000000000000000000000* L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 111111110111111111111111111111111111111111111111111111111111111111* +L043410 111111111111111111111111110111111111111111111111111111111111111111* L043476 000000000000000000000000000000000000000000000000000000000000000000* L043542 000000000000000000000000000000000000000000000000000000000000000000* L043608 000000000000000000000000000000000000000000000000000000000000000000* L043674 000000000000000000000000000000000000000000000000000000000000000000* -L043740 111111111111111111111101111111111111111111111111111111111111111111* +L043740 111111101111111111111111111111111111111111011111111111111111111111* L043806 000000000000000000000000000000000000000000000000000000000000000000* L043872 000000000000000000000000000000000000000000000000000000000000000000* L043938 000000000000000000000000000000000000000000000000000000000000000000* L044004 000000000000000000000000000000000000000000000000000000000000000000* L044070 - 011111111111111111111111111111111111111111111110111111111111111011* -L044136 111111111111111111111111111111111111111111111111101111111111111111* -L044202 111111111111110111111111111111111111111111111101111111110111111111* -L044268 111111111111111101111111111111111111111111111110111111111111111111* + 111111111111111111111111111111111111111110111111111011111111111101* +L044136 111111111111111111111111111111111111111111101111111111111111111111* +L044202 111111111111110111110111111111111111111101111111111111111111111111* +L044268 111111111111111101111111111111111111111110111111111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 111111111111111111111111111111110111111111111111011111111111111111* -L044532 110111111111111111111111101011111111111111111111011111111111111111* -L044598 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111111111111111111101111111111111111111111* +L044532 111111111111110111111111111111111111111101111111111111011111111111* +L044598 111111111111111110111111111111111111111110111111101111111111111111* L044664 000000000000000000000000000000000000000000000000000000000000000000* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 - 111111111111111111111111111111111111111111111110111111111111111011* -L044862 111111111111111111111111111111111111110111111111111111111111111111* -L044928 111111111111111111111111111111111111111111111111111111111111111111* -L044994 111111111111111111111111111111111111111111111111111111111111111111* -L045060 111111111111111111111111111111111111111111111111111111111111111111* -L045126 111111111111111111111111111111111111111111111111111111111111111111* -L045192 111111111111111111111111111111111111111111111111111111111111111111* -L045258 111111111111111111111111111111111111111111111111111111111111111111* -L045324 111111111111111111111111111111111111111111111111111111111111111111* -L045390 111111111111111111111111111111111111111111111111111111111111111111* -L045456 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111110111111111011111111111111* +L044862 110111111111111111111111111111111111111111111111111111111111111111* +L044928 000000000000000000000000000000000000000000000000000000000000000000* +L044994 000000000000000000000000000000000000000000000000000000000000000000* +L045060 000000000000000000000000000000000000000000000000000000000000000000* +L045126 000000000000000000000000000000000000000000000000000000000000000000* +L045192 101111111111111111111111111111111111111111011111111111111111111111* +L045258 000000000000000000000000000000000000000000000000000000000000000000* +L045324 000000000000000000000000000000000000000000000000000000000000000000* +L045390 000000000000000000000000000000000000000000000000000000000000000000* +L045456 000000000000000000000000000000000000000000000000000000000000000000* L045522 - 011111111111111111111111111111111111111111111110111111111111111111* -L045588 111111011111111111101111111111111111111111111111111111111111111111* -L045654 111111111111111111111111111111111111111111111111111111111111111111* -L045720 111111111111111111111111111111111111111111111111111111111111111111* -L045786 111111111111111111111111111111111111111111111111111111111111111111* -L045852 111111111111111111111111111111111111111111111111111111111111111111* -L045918 111111111111111111111011111111111111111111111111011111111111111111* -L045984 111111111111111111111111111111111111111111111111111111111111111111* -L046050 111111111111111111111111111111111111111111111111111111111111111111* -L046116 111111111111111111111111111111111111111111111111111111111111111111* -L046182 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111110111111111111111111111101* +L045588 111111111111111111111111011111111111111111111111111111101111111111* +L045654 000000000000000000000000000000000000000000000000000000000000000000* +L045720 000000000000000000000000000000000000000000000000000000000000000000* +L045786 000000000000000000000000000000000000000000000000000000000000000000* +L045852 000000000000000000000000000000000000000000000000000000000000000000* +L045918 111111111111111011111111111111111111111101011111111111111111111111* +L045984 111111111111111111111111101111111111111101011111111111111111111111* +L046050 111111111111111110111111111111111111111110011111101111111111111111* +L046116 000000000000000000000000000000000000000000000000000000000000000000* +L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* L046314 111111111101111111111111111111111111111111111111111111111111111111* @@ -950,46 +948,46 @@ L046974 L047106 0010* L047110 11100110011000* L047124 00100110011110* -L047138 00100110010100* +L047138 10100110010100* L047152 00100110011111* L047166 10100110011001* -L047180 11100110010011* +L047180 10100110010011* L047194 00100110010000* -L047208 00100110010010* +L047208 01100110010010* L047222 10100110010000* -L047236 11100110010011* -L047250 00010110010101* -L047264 11101111110011* -L047278 00110011110010* -L047292 01000110010010* +L047236 10100110010011* +L047250 00100110010101* +L047264 01100110010011* +L047278 00101111110010* +L047292 11100110010010* L047306 00010110010000* -L047320 11101011111111* +L047320 11100011111111* NOTE BLOCK 7 * L047334 - 111111111111111111111111111111111111111110011111111111111111111011 - 111111111111111110111111111111110111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111101111110111111111 - 111111101111111111111111101011111111111111111111111111111101111111 - 111111111111111111111011111111111111111111111111111001111111111111 - 111111111111011111111111111111011111011111111111111111111111111111 - 111111111110111111111101111110111101111111111111111111111111111111 - 111111111111111111111111111111111111111111111110111111101111111110 - 101010111011111111011111111111111111110111111111111111111111101111* + 111111111111111111101111111111111111111110111111111111111111111111 + 111101111111111111111010111111111111111111111111111111111111111111 + 111111111111111111111111111111110110111111111111111111111111111111 + 111111101111111110111111101101111111111111111111111111111110111111 + 111111111111111111111111111111111111111111111111111011111111111111 + 111111110111111111111111111111011111111111111111111111011111111111 + 111111111110010111111111111111111111111111111111111111111111110111 + 101111111111111111111111111011111111011111111111111111111111111110 + 111011111111111111111111111111111111110111011110101110111011111111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 011111111111111111111111111111111011111111111111110111111110101111* -L048060 011111111111111111111011111111111011111111111111110111111111101111* -L048126 011111111111111110111111111111110111111111111111111111111111111111* +L047994 111111111111111011111111111111110111111111111110011111111110111111* +L048060 111111111111111111111111111111100111111111111110011111111110111111* +L048126 111111111111111111111110111111111111111111111111011111111101111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111111101111111110010111111110011011111111011111111111111110* +L048324 111111110111101101111111011111111101111011111111111111101111111010* L048390 000000000000000000000000000000000000000000000000000000000000000000* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111101111111111111111111111111111111111111* +L048720 111101111111111111111111111111111111111111111111111111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -1001,19 +999,19 @@ L049248 111111111111111111111111111111111111111111111111111111111111111111* L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 101111111111111111111111111111111111111111111111111111111111111111* -L049512 111111111111111111111111111111111111011111111101111111111111111111* -L049578 111101111111111111111111111111111111011111111111111111111101111111* +L049446 111111111111111111111111111111111111111111111111101111111111111111* +L049512 011111110111111111111111111111111111111111111111111111111111111111* +L049578 111111110111110111111111111111111111111111111111111101111111111111* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 011111111111111111111111111111111111111111111111111111111111111110* +L049776 111111111111111111111111111111111111111111111111011111111111111110* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 111111111111111111111111111111111111111111111110111101111011111111* -L050172 111111110111111111111111111111111111111111111111111111111111111111* + 101111011111111111111111111111111111111111111111111011111111111111* +L050172 111111111111111111111111111111111111111111111111111111110111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1024,19 +1022,19 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111111111111111111111111111111111111111111111111110111111111* -L050898 111110111111111111101111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111111110111111111111111* +L050898 111111111111111111111111111111111111101111111111111110111111111111* L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 011111111111111111111111111101111111111110111111111111111111110111* -L051294 011111111111111111111111111101111111111111011111111111111111110111* -L051360 011111110111111111111111111111111111111111111111111111111111110111* -L051426 010111111110111111111111111111111111111111111111111111101111111111* +L051228 111101111111111111111111111101111111111110111111011111111111111111* +L051294 111101111111111111111111111101111111111111011111011111111111111111* +L051360 111111111111111111111111111101111111111111111111011111110111111111* +L051426 110111111110111111111111111011111111111111111111011111111111111111* L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 - 111111111111111111111111111111111111111111111101111101111111111111* + 011111011111111111111111111111111111111111111111111111111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1048,13 +1046,13 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 111111111111111111111111111111111111111111111110111111111011111111* -L052350 111111101111111111111111111111011111111111111111111111111111111111* + 101111111111111111111111111111111111111111111111111011111111111111* +L052350 111111111111111111100111111111111111111111111111111111111111111111* L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* L052614 111111111111111111111111111111111111111111111111111111111111111111* -L052680 011111111111111111111111111111111111111111111110111111111111111111* +L052680 101111111111111111111111111111111111111111111111011111111111111111* L052746 111111111111111111111111111111111111111111111111111111111111111111* L052812 111111111111111111111111111111111111111111111111111111111111111111* L052878 111111111111111111111111111111111111111111111111111111111111111111* @@ -1110,6 +1108,6 @@ E1 10000010 1 * -CF9B2* +C5646* U00000000000000000000000000000000* -11B6 +F39B diff --git a/Logic/68030_tk-50MHz.jed b/Logic/68030_tk-50MHz.jed index ef54cea..d92d77c 100644 --- a/Logic/68030_tk-50MHz.jed +++ b/Logic/68030_tk-50MHz.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Thu Sep 24 16:17:03 2015 +DATE: Sat Oct 10 21:57:01 2015 ABEL mach447a * @@ -31,105 +31,103 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS SIZE_0_:70 A_30_:5 SIZE_1_:79 A_29_:6 A_28_:15* -NOTE PINS A_31_:4 A_27_:16 A_26_:17 A_25_:18 A_24_:19 IPL_2_:68* -NOTE PINS A_23_:85 A_22_:84 FC_1_:58 A_21_:94 AS_030:82 A_20_:93* -NOTE PINS AS_000:42 A_19_:97 A_18_:95 DS_030:98 A_17_:59* -NOTE PINS UDS_000:32 A_16_:96 LDS_000:31 A1:60 nEXP_SPACE:14* +NOTE PINS A_16_:96 SIZE_1_:79 A_31_:4 IPL_2_:68 FC_1_:58* +NOTE PINS AS_030:82 AS_000:42 DS_030:98 UDS_000:32 LDS_000:31* +NOTE PINS IPL_1_:56 A1:60 IPL_0_:67 nEXP_SPACE:14 FC_0_:57* NOTE PINS BERR:41 BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11* NOTE PINS CLK_OSZI:61 CLK_DIV_OUT:65 FPU_CS:78 FPU_SENSE:91* -NOTE PINS IPL_1_:56 DTACK:30 IPL_0_:67 AVEC:92 FC_0_:57 VPA:36* -NOTE PINS RST:86 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* -NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* -NOTE PINS CIIN:47 IPL_030_2_:9 RW_000:80 A0:69 BG_000:29* -NOTE PINS BGACK_030:83 CLK_EXP:10 IPL_030_1_:7 IPL_030_0_:8* -NOTE PINS DSACK1:81 E:66 VMA:35 RESET:3 RW:71 * +NOTE PINS DTACK:30 AVEC:92 VPA:36 RST:86 RESET:3 AMIGA_ADDR_ENABLE:33* +NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 SIZE_0_:70 A_30_:5 A_29_:6 A_28_:15 A_27_:16* +NOTE PINS A_26_:17 A_25_:18 A_24_:19 A_23_:85 A_22_:84 A_21_:94* +NOTE PINS A_20_:93 A_19_:97 A_18_:95 A_17_:59 IPL_030_2_:9* +NOTE PINS RW_000:80 IPL_030_1_:7 IPL_030_0_:8 A0:69 BG_000:29* +NOTE PINS BGACK_030:83 CLK_EXP:10 DSACK1:81 E:66 VMA:35 RW:71* NOTE Table of node names and numbers* -NOTE NODES RN_SIZE_0_:263 RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 * -NOTE NODES RN_DS_030:101 RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 * -NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * -NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * -NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:125 * -NOTE NODES RN_RW:245 cpu_est_0_:167 cpu_est_1_:187 inst_AS_000_INT:259 * -NOTE NODES SM_AMIGA_5_:139 inst_AMIGA_BUS_ENABLE_DMA_LOW:104 * -NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:133 * -NOTE NODES inst_DS_030_D0:184 inst_AS_030_000_SYNC:229 inst_BGACK_030_INT_D:289 * -NOTE NODES inst_AS_000_DMA:103 inst_DS_000_DMA:110 CYCLE_DMA_0_:106 * -NOTE NODES CYCLE_DMA_1_:122 SIZE_DMA_0_:253 SIZE_DMA_1_:119 * -NOTE NODES inst_VPA_D:265 inst_UDS_000_INT:115 inst_LDS_000_INT:109 * -NOTE NODES inst_CLK_OUT_PRE_D:145 inst_DTACK_D0:172 inst_CLK_OUT_PRE_50:142 * -NOTE NODES inst_CLK_000_D1:217 inst_CLK_000_D0:209 inst_CLK_000_PE:155 * -NOTE NODES CLK_000_P_SYNC_9_:166 inst_CLK_000_NE:113 CLK_000_N_SYNC_11_:278 * -NOTE NODES cpu_est_2_:193 IPL_D0_0_:136 IPL_D0_1_:236 IPL_D0_2_:178 * -NOTE NODES SM_AMIGA_3_:161 inst_CLK_000_NE_D0:233 SM_AMIGA_0_:223 * -NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:121 SM_AMIGA_6_:227 * -NOTE NODES RST_DLY_0_:158 RST_DLY_1_:169 RST_DLY_2_:151 * -NOTE NODES RST_DLY_3_:128 RST_DLY_4_:134 RST_DLY_5_:163 * -NOTE NODES RST_DLY_6_:152 RST_DLY_7_:164 N_203_i:170 CLK_000_P_SYNC_0_:230 * -NOTE NODES CLK_000_P_SYNC_1_:256 CLK_000_P_SYNC_2_:250 CLK_000_P_SYNC_3_:266 * -NOTE NODES CLK_000_P_SYNC_4_:130 CLK_000_P_SYNC_5_:260 CLK_000_P_SYNC_6_:224 * -NOTE NODES CLK_000_P_SYNC_7_:194 CLK_000_P_SYNC_8_:241 CLK_000_N_SYNC_0_:235 * -NOTE NODES CLK_000_N_SYNC_1_:160 CLK_000_N_SYNC_2_:146 CLK_000_N_SYNC_3_:140 * -NOTE NODES CLK_000_N_SYNC_4_:154 CLK_000_N_SYNC_5_:254 CLK_000_N_SYNC_6_:112 * -NOTE NODES CLK_000_N_SYNC_7_:248 CLK_000_N_SYNC_8_:188 CLK_000_N_SYNC_9_:211 * -NOTE NODES CLK_000_N_SYNC_10_:272 inst_CLK_030_H:116 SM_AMIGA_1_:239 * -NOTE NODES SM_AMIGA_4_:176 SM_AMIGA_2_:157 inst_DS_000_ENABLE:182 * -NOTE NODES SM_AMIGA_i_7_:221 CIIN_0:205 * +NOTE NODES RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 RN_DS_030:101 * +NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_SIZE_0_:263 * +NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_IPL_030_1_:143 * +NOTE NODES RN_IPL_030_0_:137 RN_A0:257 RN_BG_000:175 RN_BGACK_030:275 * +NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RW:245 N_301:229 * +NOTE NODES cpu_est_0_:176 cpu_est_1_:193 inst_AS_000_INT:134 * +NOTE NODES SM_AMIGA_5_:227 inst_AMIGA_BUS_ENABLE_DMA_LOW:121 * +NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:221 * +NOTE NODES inst_DS_030_D0:190 inst_AS_030_000_SYNC:223 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:109 inst_DS_000_DMA:104 CYCLE_DMA_0_:122 * +NOTE NODES CYCLE_DMA_1_:116 SIZE_DMA_0_:265 SIZE_DMA_1_:259 * +NOTE NODES inst_VPA_D:194 inst_UDS_000_INT:169 inst_LDS_000_INT:163 * +NOTE NODES inst_CLK_OUT_PRE_D:103 inst_DTACK_D0:224 inst_RESET_OUT:253 * +NOTE NODES inst_CLK_OUT_PRE_50:188 inst_CLK_000_D1:209 inst_CLK_000_D0:133 * +NOTE NODES inst_CLK_000_PE:187 CLK_000_P_SYNC_9_:148 inst_CLK_000_NE:113 * +NOTE NODES CLK_000_N_SYNC_11_:278 cpu_est_2_:151 IPL_D0_0_:262 * +NOTE NODES IPL_D0_1_:256 IPL_D0_2_:142 SM_AMIGA_3_:167 inst_CLK_000_NE_D0:182 * +NOTE NODES SM_AMIGA_0_:119 inst_AMIGA_BUS_ENABLE_DMA_HIGH:115 * +NOTE NODES SM_AMIGA_6_:239 RST_DLY_0_:128 RST_DLY_1_:139 * +NOTE NODES RST_DLY_2_:248 CLK_000_P_SYNC_0_:200 CLK_000_P_SYNC_1_:250 * +NOTE NODES CLK_000_P_SYNC_2_:118 CLK_000_P_SYNC_3_:184 CLK_000_P_SYNC_4_:217 * +NOTE NODES CLK_000_P_SYNC_5_:112 CLK_000_P_SYNC_6_:136 CLK_000_P_SYNC_7_:241 * +NOTE NODES CLK_000_P_SYNC_8_:152 CLK_000_N_SYNC_0_:211 CLK_000_N_SYNC_1_:130 * +NOTE NODES CLK_000_N_SYNC_2_:266 CLK_000_N_SYNC_3_:235 CLK_000_N_SYNC_4_:260 * +NOTE NODES CLK_000_N_SYNC_5_:146 CLK_000_N_SYNC_6_:106 CLK_000_N_SYNC_7_:178 * +NOTE NODES CLK_000_N_SYNC_8_:254 CLK_000_N_SYNC_9_:140 CLK_000_N_SYNC_10_:272 * +NOTE NODES inst_CLK_030_H:110 SM_AMIGA_1_:155 SM_AMIGA_4_:233 * +NOTE NODES SM_AMIGA_2_:157 inst_DS_000_ENABLE:145 SM_AMIGA_i_7_:161 * +NOTE NODES CIIN_0:205 * NOTE BLOCK 0 * L000000 - 111111111011111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111110111111111111111111111111111111 - 101111111110111111111111111111111111111111111111111111111111110111 - 111111111111111111111111111101111111111111111111111111111110111111 - 111111101111111111111111111111111111111101111111111101111111111111 - 111111111111111101111111111111011111111011111111110111101111111111 - 111101111111111111110111110111111111111111111111011111111011111111 - 111111111111011011111111011111111111011111111110111111111111111111 - 111111111111111111011111111111111011111111100111111111111111111111* + 111111111011111111011111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111110011111111111111111111111111111111111111111111111111110 + 111111111111111111111110111111111111111101111111111111111111111011 + 111110111111111111111111111101011111111111111111110111111011011111 + 111111011111111111110111011111111111110111101111011111111111111111 + 111111111111111001111111111111111111011111111110111111111111111111 + 101011111111111111111111111111111011111111110111111111111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111111111111111111111011111111111011111111111111111111111111111111* -L000726 111111111111111111111111111111111111111111101111111111111111111111* -L000792 111111111111111111111111111111111111111111111101111111111111111111* -L000858 111111111011111111011111111111111111111111111111111111111111111111* -L000924 111111111111011111111111111111111111111101111111111111111111111111* -L000990 111111111111101111111111111111111111111110111111111111111111111111* -L001056 111111111111111111111111111111110111111111111111111111111111111111* -L001122 111111111111111111111111011111111111111111111111011111111111111111* -L001188 000000000000000000000000000000000000000000000000000000000000000000* -L001254 000000000000000000000000000000000000000000000000000000000000000000* +L000660 111111111111111111111111111111111011111111111011111111111111111111* +L000726 000000000000000000000000000000000000000000000000000000000000000000* +L000792 000000000000000000000000000000000000000000000000000000000000000000* +L000858 000000000000000000000000000000000000000000000000000000000000000000* +L000924 000000000000000000000000000000000000000000000000000000000000000000* +L000990 111111111111111111111111111111111111111111111111111111111111011111* +L001056 101111111111111111111111111111111111111111111111111111111111111111* +L001122 111111111111111111111111111111111111111111111101111111111111111111* +L001188 111111111111111111111111111101111111111101111111111111111111111111* +L001254 111111111111111111111111111110111111111110111111111111111111111111* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111011111111111111111111111111111111111011110111111111111111111* -L001452 111111111111111111111111111111111111110111011001111111111111111111* -L001518 000000000000000000000000000000000000000000000000000000000000000000* -L001584 000000000000000000000000000000000000000000000000000000000000000000* -L001650 000000000000000000000000000000000000000000000000000000000000000000* -L001716 111111111111101111111111111101111011111111011110111111111111111111* -L001782 111111111111011111111111111110111011111111011110111111111111111111* +L001386 111111111111111111111111111111110111111111111111111111111111111111* +L001452 111111111111111101111111111111111111111111111111011111111111111111* +L001518 111111111011111111111111111111111111111111100111111111111111111111* +L001584 111111110111111111110111111111111111011111101111111111111111111111* +L001650 111111111111111111111011111111111111111111100111111111111111111111* +L001716 111111111111111111011111111111111111111111111111111111111111111111* +L001782 000000000000000000000000000000000000000000000000000000000000000000* L001848 000000000000000000000000000000000000000000000000000000000000000000* L001914 000000000000000000000000000000000000000000000000000000000000000000* L001980 000000000000000000000000000000000000000000000000000000000000000000* L002046 000000000000000000000000000000000000000000000000000000000000000000* L002112 111111111111111111111111111111111111111111111111111111111111111111* -L002178 111111111111111111111111111111111111111111101111111111111111111111* -L002244 111111111111111111111111110111111111011111111111111111111111111111* -L002310 111111111111111111111111111111111111011111111111111111111110111111* -L002376 101111111111111111111111111011111101111111111111111111101101111111* -L002442 111111111111111111111111111111111111111111101111111111111111111111* -L002508 111111111111111111111111111111111111111111111101111111111111111111* -L002574 111111111111011111111111111111111111111101111111111111111111111111* -L002640 111111111111101111111111111111111111111110111111111111111111111111* -L002706 111111111111111111111111111111110111111111111111111111111111111111* +L002178 101111111111111111111111111111111111111111111111111111111111111111* +L002244 111111111111111111111111111111111111111111111101111111111111111111* +L002310 111111111011111111111111111111111111011111111111111111111111111111* +L002376 111111111111111111111111111101111111111101111111111111111111111111* +L002442 111111111111111111111111111110111111111110111111111111111111111111* +L002508 111111111111111111111111111111110111111111111111111111111111111111* +L002574 111111111111111101111111111111111111111111111111011111111111111111* +L002640 000000000000000000000000000000000000000000000000000000000000000000* +L002706 000000000000000000000000000000000000000000000000000000000000000000* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111111111111111011111111111111111111111011111111111111111* -L002904 111111111011111111110111111111111111111111111111111111111011111111* -L002970 111111110111111101011111111111111111111111111111111111111011111111* -L003036 111111111111111110110111111111111111111111111111111111111011111111* -L003102 000000000000000000000000000000000000000000000000000000000000000000* -L003168 111111111101111111111111111111111111111111111111111111111111111111* +L002838 011111111011111110111111111101111011101110111110111111111111111111* +L002904 011111111011111110111111111110111011101101111110111111111111111111* +L002970 011111111111111110110111111101111011111110111110111111111111111111* +L003036 011111111111111110110111111110111011111101111110111111111111111111* +L003102 011111111011111111111111111101111011101110111110101111111111111111* +L003168 111101111111111111111111111111111111111111111111111111111111111111* L003234 000000000000000000000000000000000000000000000000000000000000000000* L003300 000000000000000000000000000000000000000000000000000000000000000000* L003366 000000000000000000000000000000000000000000000000000000000000000000* @@ -137,44 +135,44 @@ L003432 000000000000000000000000000000000000000000000000000000000000000000* L003498 000000000000000000000000000000000000000000000000000000000000000000* L003564 111111111111110111111111111111111111111111111111111111111111111111* -L003630 000000000000000000000000000000000000000000000000000000000000000000* -L003696 000000000000000000000000000000000000000000000000000000000000000000* -L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003630 011111111011111111111111111110111011101101111110101111111111111111* +L003696 011111111111111111110111111101111011111110111110101111111111111111* +L003762 011111111111111111110111111110111011111101111110101111111111111111* L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 111110111111111111111111110111111111111111011111111111111111111111* -L003960 111110111111111111111111111111111111111111011111111111111110111111* -L004026 101111111111111111111111111011111111111111011111111111111101111111* +L003894 011111111111111111111110111111111111111111111110111111111111111111* +L003960 011111111111111111111111111111111111111011111101111111110111111111* +L004026 000000000000000000000000000000000000000000000000000000000000000000* L004092 000000000000000000000000000000000000000000000000000000000000000000* L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111111011101111101111101111111011111101011110111111111111111111* -L004356 111111111011011111101111101111111011111110011110111111111111111111* -L004422 111111111111101101111111101111111011111101011110111111111111111111* -L004488 111111111111011101111111101111111011111110011110111111111111111111* -L004554 111111111011101111101111111111111011111101011110101111111111111111* -L004620 111111111011011111101111111111111011111110011110101111111111111111* -L004686 111111111111101101111111111111111011111101011110101111111111111111* -L004752 111111111111011101111111111111111011111110011110101111111111111111* +L004290 011111111111111111111111111101111011111110111110111111111111111111* +L004356 011111111111111111111111011110111011111101111110111111111111111111* +L004422 011111111111111111111111101101111011111111111110111111111111111111* +L004488 000000000000000000000000000000000000000000000000000000000000000000* +L004554 000000000000000000000000000000000000000000000000000000000000000000* +L004620 111111111101111111111111111111111111111111111111111111111111111111* +L004686 000000000000000000000000000000000000000000000000000000000000000000* +L004752 000000000000000000000000000000000000000000000000000000000000000000* L004818 000000000000000000000000000000000000000000000000000000000000000000* L004884 000000000000000000000000000000000000000000000000000000000000000000* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 111111111111111111111111111111111111111111101111111111111111111111* -L005082 111111111111111111111111111111011111110111111101111111111111111111* -L005148 111111111111111111111111101111111111111111111110101111111111111111* +L005016 011111011111011111111111111111101111111111111111111111111111111111* +L005082 010111111111111111111111101111011111111111111111111111111111111111* +L005148 000000000000000000000000000000000000000000000000000000000000000000* L005214 000000000000000000000000000000000000000000000000000000000000000000* L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 111111101111111111111111111111111111111111011110111111111111111111* -L005412 111111111111111111111111111111111111110111011101111011111111111111* +L005346 011111111111111111111101111111111111111111111110111111111111111111* +L005412 011111111111111111111111111111111111111111111101111011110111111111* L005478 000000000000000000000000000000000000000000000000000000000000000000* L005544 000000000000000000000000000000000000000000000000000000000000000000* L005610 000000000000000000000000000000000000000000000000000000000000000000* L005676 - 111111111111111111111111111111111111111111111110111101111111111011* -L005742 111111111111101111111111111111111011111101011110111111111111111111* -L005808 111111111111011111111111111101111011111110011110111111111111111111* -L005874 111111111111111111111111111110111011111101011110111111111111111111* + 111111111111111111111111111111111111111111111110111111111111111001* +L005742 011111111111111111111111011111111011111110111110111111111111111111* +L005808 011111111111111111111111101111111011111101111110111111111111111111* +L005874 000000000000000000000000000000000000000000000000000000000000000000* L005940 000000000000000000000000000000000000000000000000000000000000000000* L006006 000000000000000000000000000000000000000000000000000000000000000000* L006072 111111111111111111111111111111111111111111111111111111111111111111* @@ -186,315 +184,315 @@ L006402 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L006534 0010* -L006538 01010011111000* -L006552 10100110010011* -L006566 11100110010101* -L006580 10100110011111* +L006538 01100011111000* +L006552 00010110010011* +L006566 10100110010101* +L006580 00100110011111* L006594 00011111111000* -L006608 10010110010010* +L006608 10100110010010* L006622 10100110010000* L006636 00100110010011* -L006650 00100110010000* +L006650 00000110010000* L006664 11100110010010* L006678 10100110010000* -L006692 11111011110011* +L006692 00100110010011* L006706 10100110010001* L006720 11100110010011* L006734 10100110010100* -L006748 11101111110010* +L006748 11101011110010* NOTE BLOCK 1 * L006762 - 111111111111111111111011011111111111111101111111111111110111011111 - 111111111101010101111111110111111111111011111111111111111111111111 - 111111111011111111111101111111011101011111101111011111111111111111 - 111101111111111111111111111110111011111111111111110111011101111111 - 111111111111111111111111111111111111111111110111111101111111111111 + 111111111111111111111111011101011111111111111111111111110111111111 + 111111111110010111111111111011111111111111111111111011111111111111 + 111111111111111110111101111111111101111111101010111111111111111111 + 101111101111111111110111111111111111111111111111111111011110111001 111111111111111111111111111111111111111111111111111111111111111111 - 111111011111111111111111111111111111111111111111111111111111111111 - 111111111111111111011111111111111111111111111111111111111111111111 - 101011111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111 + 111110111111111111111111111111111111011101111111111111111111111111 + 111111111011111111111111111111111111111111111111111111111111111111 + 111011111111111111011111111111111111111111111111101111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 011111111111111111111111111111111111111111111111111101111111111111* -L007488 011101011111111101111101110111111111011111110111110111111111011111* +L007422 111111111111111111011111111111111111111111111111111111111111111111* +L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* L007686 000000000000000000000000000000000000000000000000000000000000000000* -L007752 111111111111111111111111111111111111111111111111111111110111111111* -L007818 011111101111111111111111111111111111111111111111110111111111111111* -L007884 011111111111111111111111111111111111101111111111110111111111111111* -L007950 011111111111111111111111111111111111111111111111110111111111101111* -L008016 011111111111111111111111111111111111111111111011110111111111111111* +L007752 000000000000000000000000000000000000000000000000000000000000000000* +L007818 000000000000000000000000000000000000000000000000000000000000000000* +L007884 000000000000000000000000000000000000000000000000000000000000000000* +L007950 000000000000000000000000000000000000000000000000000000000000000000* +L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 011111011111111111111111111111111111011111110111111011111111011111* -L008214 011101111111111101111101110111111111111111111111110111111111111111* -L008280 000000000000000000000000000000000000000000000000000000000000000000* +L008148 111111111111111111110111111111111111101111111111011111111111111111* +L008214 111111111111111111111011111111111111011111111111011111111111111111* +L008280 111111111111011111110111111111111111111111111111011111111111110111* L008346 000000000000000000000000000000000000000000000000000000000000000000* L008412 000000000000000000000000000000000000000000000000000000000000000000* -L008478 111111111111111111110111111111111111111111111111111111111111111111* -L008544 000000000000000000000000000000000000000000000000000000000000000000* -L008610 000000000000000000000000000000000000000000000000000000000000000000* -L008676 000000000000000000000000000000000000000000000000000000000000000000* -L008742 000000000000000000000000000000000000000000000000000000000000000000* +L008478 111101111111111111111111111111111111111111111111111111111111111111* +L008544 011111111111111001111111110111111111111111011011011111111111111111* +L008610 101111111111111001111111111011111111111111011011011111111111111111* +L008676 011111111111111010111111110111111111111111101011011111111111111111* +L008742 101111111111111010111111111011111111111111101011011111111111111111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 011111111011111111101111111111110111110111011111011111111111111111* -L008940 011111111011111111101111111111111011110111011111101111111111111111* -L009006 011111111011111111101111111111110111111011101111011111111111111111* -L009072 011111111011111111101111111111111011111011101111101111111111111111* -L009138 011111111011111111111111111111111111111111111111111111101111111111* -L009204 011111111110111111111111111111111111111111111111111111111111111111* -L009270 011111111111111111111111111111111011111111111111011111101111111111* -L009336 011111111111111111111111111111110111111111111111101111101111111111* -L009402 011111111111111111111111111111111111110111101111111111101111111111* -L009468 011111111111111111111111111111111111111011011111111111101111111111* +L008874 111111111111111111111111111111111111111111111011011111101111111111* +L008940 101111111111111111111111110111111111111111111111011111101111111111* +L009006 011111111111111111111111111011111111111111111111011111101111111111* +L009072 111111111111111101111111111111111111111111101111011111101111111111* +L009138 111111111111111110111111111111111111111111011111011111101111111111* +L009204 111111111111111111111111111101111111111111111111111111111111111111* +L009270 111111111111111011111111111111111111111111111111011111101111111111* +L009336 000000000000000000000000000000000000000000000000000000000000000000* +L009402 000000000000000000000000000000000000000000000000000000000000000000* +L009468 000000000000000000000000000000000000000000000000000000000000000000* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 011101011111111101111101110111111111011111110111110111111111011111* -L009666 011111111111111111101111111111111111111111111111111111101111111111* +L009600 111111111111111111111111111111111111111111111111011111111101111111* +L009666 110111111011111111111110111111111111111111111111011111111111111111* L009732 000000000000000000000000000000000000000000000000000000000000000000* L009798 000000000000000000000000000000000000000000000000000000000000000000* L009864 000000000000000000000000000000000000000000000000000000000000000000* -L009930 011111111111111111111111111111111011111111111111111111111111111111* -L009996 101111111111111111111101111111111111111111111111111111111111111111* -L010062 011111011111111111111111111111111111011111110111110111111111011111* +L009930 111111111111111111111111111111111111111101111111111111111111111111* +L009996 000000000000000000000000000000000000000000000000000000000000000000* +L010062 000000000000000000000000000000000000000000000000000000000000000000* L010128 000000000000000000000000000000000000000000000000000000000000000000* L010194 000000000000000000000000000000000000000000000000000000000000000000* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 011111111011111111101111111111111011110111011111101111111111111111* -L010392 011111111011111111101111111111111011111011101111101111111111111111* -L010458 011111110111111111011111111111111011111011101111101111111111111111* -L010524 011111110111111111011111111111111011110111011111101111111111111111* -L010590 011111111111111111111111111111111010111111111111111111111111111111* -L010656 011111111111111111111111111111111110111111111111101111111111111111* -L010722 011111111111111111111111111111111110110111101111111111111111111111* -L010788 011111111111111111111111111111111110111011011111111111111111111111* -L010854 011111111011111111011111111111111110111111111111111111111111111111* -L010920 011111110111111111101111111111111110111111111111111111111111111111* +L010326 101111111111111001111111111011111111111111011011011111111111111111* +L010392 101111111111111010111111111011111111111111101011011111111111111111* +L010458 101111111111110110111111111011111111111111100111011111111111111111* +L010524 101111111111110101111111111011111111111111010111011111111111111111* +L010590 101111111111111111111111111111111110111111111111011111111111111111* +L010656 111111111111111111111111111011111110111111111111011111111111111111* +L010722 111111111111111101111111111111111110111111101111011111111111111111* +L010788 111111111111111110111111111111111110111111011111011111111111111111* +L010854 111111111111110111111111111111111110111111111011011111111111111111* +L010920 111111111111111011111111111111111110111111110111011111111111111111* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111111111111111111111111111111111111111101111111111111111111111111* -L011118 011111111111101111111111111101111111111111111111111111111101111111* -L011184 010111101111011111111111111111111111111111111111111111111111111111* -L011250 010111111111011111111111111101111111111111111111111111111111111111* +L011052 111111111111111111111111111111111111111111111101111111111111111111* +L011118 000000000000000000000000000000000000000000000000000000000000000000* +L011184 000000000000000000000000000000000000000000000000000000000000000000* +L011250 000000000000000000000000000000000000000000000000000000000000000000* L011316 000000000000000000000000000000000000000000000000000000000000000000* -L011382 111111111111111011111111111111111111111111111111111111111111111111* -L011448 000000000000000000000000000000000000000000000000000000000000000000* -L011514 000000000000000000000000000000000000000000000000000000000000000000* -L011580 000000000000000000000000000000000000000000000000000000000000000000* -L011646 000000000000000000000000000000000000000000000000000000000000000000* +L011382 111111111111111111111111111111111111111111111011011111111111111111* +L011448 111111111111011111111111111111111111101111111111011111111111111111* +L011514 111111111111011111111011111111111111111111111111011111111111111111* +L011580 111111111111101111110111111111111111011111111111011111111111111111* +L011646 111111111111011111111111111111111111111111111111011111111111110111* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 011111111011111111101111111111110111111011101111011111111111111111* -L011844 011111111011111111101111111111111011111011101111101111111111111111* -L011910 011111110111111111011111111111110111111011101111011111111111111111* -L011976 011111110111111111011111111111111011111011101111101111111111111111* -L012042 011111111111111111111111101111111111111111101111111111111111111111* -L012108 111111111111110111111111111111111111111111111111111111111111111111* -L012174 011111111111111111111111101111111011111111111111011111111111111111* -L012240 011111111111111111111111101111110111111111111111101111111111111111* -L012306 011111111111111111111111101111111111111011111111111111111111111111* -L012372 011111111011111111011111101111111111111111111111111111111111111111* +L011778 011111111111111010111111110111111111111111101011011111111111111111* +L011844 101111111111111010111111111011111111111111101011011111111111111111* +L011910 011111111111110110111111110111111111111111100111011111111111111111* +L011976 101111111111110110111111111011111111111111100111011111111111111111* +L012042 111111111111111111111111101111111111111111101111011111111111111111* +L012108 101111111111111111111111100111111111111111111111011111111111111111* +L012174 011111111111111111111111101011111111111111111111011111111111111111* +L012240 111111111111111110111111101111111111111111111111011111111111111111* +L012306 111111111111110111111111101111111111111111111011011111111111111111* +L012372 111111111111111011111111101111111111111111110111011111111111111111* L012438 - 111111111111111111111111111111111111111111111111111111111111111111* -L012504 111111111111111111111111111111011111111111111111111111111111111111* -L012570 011111110111111111101111101111111111111111111111111111111111111111* + 111111101111111111111111111111111111111111111111111111111111111111* +L012504 111111111101111111111111111111111111111111111111111111111111111111* +L012570 000000000000000000000000000000000000000000000000000000000000000000* L012636 000000000000000000000000000000000000000000000000000000000000000000* L012702 000000000000000000000000000000000000000000000000000000000000000000* L012768 000000000000000000000000000000000000000000000000000000000000000000* -L012834 111111111111111111111111111111111111111111111111111111111111111111* -L012900 111111111111111111111111111111111111111111111111111111111111111111* -L012966 111111111111111111111111111111111111111111111111111111111111111111* -L013032 111111111111111111111111111111111111111111111111111111111111111111* -L013098 111111111111111111111111111111111111111111111111111111111111111111* +L012834 111111111111111111111111111111111111111111111111111111111111111101* +L012900 111111111111111111111111111111011111111111111111011111111110111111* +L012966 110111111011111111111111111111111111111111111111011111110111111111* +L013032 111111111111111111111111111111111111111111111111010111111101111111* +L013098 000000000000000000000000000000000000000000000000000000000000000000* L013164 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L013296 0010* -L013300 10100110011000* -L013314 00010110011110* -L013328 10100110010100* -L013342 00100110011111* -L013356 11100110010011* -L013370 01110110011111* -L013384 00000111010110* -L013398 01110110011110* -L013412 11100110011000* +L013300 00100110010000* +L013314 00101011111110* +L013328 10100110010101* +L013342 00010110011111* +L013356 11100110010010* +L013370 00110110011110* +L013384 11100110010110* +L013398 00100110011111* +L013412 11100110011001* L013426 10110110010011* -L013440 00110110010001* -L013454 00100110010011* +L013440 00100110010000* +L013454 01000110010010* L013468 11100110011000* -L013482 00110110010010* -L013496 00000110010100* -L013510 11101111111111* +L013482 10110110010011* +L013496 00100110011101* +L013510 00000110011111* NOTE BLOCK 2 * L013524 - 111111110101101111111111101111111111111111111111111111111111011111 - 111111111111111101111111110111111111101111111111011111111111111101 - 111111111111110111111101111111111111111110111111111111011111111111 - 111110111111111111110111111101011111111111110111111111111111111111 - 110111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111111101111111 - 111111011111111111011111111111111111111111111111110111111111111111 - 111111111111111111111111111111111111111111111110111111111111111111 - 011111111111111111111111111111110110111111101111111101111111111111* + 111111110111111111111101111111111111111111111011111111111111111011 + 111111111111111111111111110111111110111111111111111111111111111111 + 111111111111111111111111111110101111111110111111111111111111111111 + 111110111111010111101111111111111111111111111111111111111110111111 + 111111111111111111110111111111111111111111111111111111111111011111 + 111111111111111111111111111111111111110111011111010111101111111111 + 111111111111111111111111011111111111011111111111111111111111111111 + 111111111111111111111111111111110111111111111110111111111111111111 + 101011011111111111111111111111111111111111111111111101111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 101111111111111111111111111111111111111111111110111111111111111111* +L014184 111111111111111111111111111111111111111111111110111011111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 110111101111111111111111111111111111111111011111111111111111111111* -L014580 110111111111111111111111111111111111111111011111111111101111111111* -L014646 110111111111111111111111111111111111111111011111111111111111101111* -L014712 111011011111111111111111111111111111111111011111111111011111011111* -L014778 110111111111111101110101110111111111111111010111111111111111111111* +L014514 111111111111111111111111111111111111111111011111111111111111011111* +L014580 111101011111111111111111111111110111111111111111111111111111111111* +L014646 111111101111111111111111111111110111111111101111111111111111111111* +L014712 111111111111111111111111111111111011111111111111111111111111011111* +L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 000000000000000000000000000000000000000000000000000000000000000000* -L014910 111111111111111111111111111111111111111111100111111111111111111111* -L014976 110111011111111111110101110111111111111111011011111111011111011111* -L015042 110111011111111110110101110111111111111111110111111111011111011111* -L015108 000000000000000000000000000000000000000000000000000000000000000000* -L015174 000000000000000000000000000000000000000000000000000000000000000000* -L015240 111111111111111111111111111111111111111111111111011111111111111111* -L015306 000000000000000000000000000000000000000000000000000000000000000000* -L015372 000000000000000000000000000000000000000000000000000000000000000000* -L015438 000000000000000000000000000000000000000000000000000000000000000000* -L015504 000000000000000000000000000000000000000000000000000000000000000000* +L014910 111111111111111111111111111111111111111111110111111111111111111111* +L014976 111111111111111111111111111111111111111111111111111111111111111111* +L015042 111111111111111111111111111111111111111111111111111111111111111111* +L015108 111111111111111111111111111111111111111111111111111111111111111111* +L015174 111111111111111111111111111111111111111111111111111111111111111111* +L015240 111111111111111111111111111111111111111111111111111111111111111111* +L015306 111111111111111111111111111111111111111111111111111111111111111111* +L015372 111111111111111111111111111111111111111111111111111111111111111111* +L015438 111111111111111111111111111111111111111111111111111111111111111111* +L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 111111111111111111111111111111111111111111111111111111111111111101* -L015702 000000000000000000000000000000000000000000000000000000000000000000* -L015768 000000000000000000000000000000000000000000000000000000000000000000* +L015636 011111111111100111111111011111111111111111111111111111111111111111* +L015702 010111111111011111111111111111111111101111111111111111111111111111* +L015768 010111111111010111111111111111111111111111111111111111111111111111* L015834 000000000000000000000000000000000000000000000000000000000000000000* L015900 000000000000000000000000000000000000000000000000000000000000000000* -L015966 111111111110110111111111011111111111111101011111111111111111111111* -L016032 111101111011110111111111101111111111111101011111111010111101111111* -L016098 111111111111110111111111111111011111111111011111111111111111111111* -L016164 111111111111111111111111111110011101111111011111111111111111111111* +L015966 011111110111111111100111111111110111111111111111111111111111111111* +L016032 011101100111111111111011111111110111111111101111111110111111011111* +L016098 011111110111110111111111111111111111111111111111111111111111111111* +L016164 010111111111110111111111101111111111111111111111111111111111111111* L016230 000000000000000000000000000000000000000000000000000000000000000000* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 111111101111111111111111111111111111111111011111111111011111111111* -L016428 111111011111111111111111111111111111111111011111111111101111111111* -L016494 110111111111111101110101110111111111111111010111111111011111011111* -L016560 000000000000000000000000000000000000000000000000000000000000000000* -L016626 000000000000000000000000000000000000000000000000000000000000000000* -L016692 111111111111111111111111111111111111011111111111111111111111111111* -L016758 000000000000000000000000000000000000000000000000000000000000000000* -L016824 000000000000000000000000000000000000000000000000000000000000000000* -L016890 000000000000000000000000000000000000000000000000000000000000000000* -L016956 000000000000000000000000000000000000000000000000000000000000000000* +L016362 111111111111111111111111111111111111111111111111111111111111111111* +L016428 111111111111111111111111111111111111111111111111111111111111111111* +L016494 111111111111111111111111111111111111111111111111111111111111111111* +L016560 111111111111111111111111111111111111111111111111111111111111111111* +L016626 111111111111111111111111111111111111111111111111111111111111111111* +L016692 111111111111111111111111111111111111111111111111111111111111111111* +L016758 111111111111111111111111111111111111111111111111111111111111111111* +L016824 111111111111111111111111111111111111111111111111111111111111111111* +L016890 111111111111111111111111111111111111111111111111111111111111111111* +L016956 111111111111111111111111111111111111111111111111111111111111111111* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 111111111111110111111111111111111111111111101111111111111111111111* -L017154 111111111111111011111111111101110111111111011111111111111111111111* -L017220 111111111110110111111111011111111011111101111111111111111111111111* -L017286 111101111011110111111111101111111011111101111111111010111101111111* -L017352 111111111111110111111111111111111110111111111111111111111111111111* -L017418 111111111111111111111111110111111111111111101111111111111111111111* -L017484 110111011111111111110101111011111111111111011111111111011111011111* -L017550 110111011111111111110101110111111111111111111011111111011111011111* -L017616 110111011111111110110101110111111111111111111111111111011111011111* -L017682 000000000000000000000000000000000000000000000000000000000000000000* +L017088 011111111011111111111111011110111111011111111111101111111111111111* +L017154 011111111111111111100111011110110111011111111111101111111111111111* +L017220 011101101111111111111011011110110111011111101111101110111111011111* +L017286 011111111011101111111111011110111111111111111111101111111110111111* +L017352 011111111111101111100111011110110111111111111111101111111110111111* +L017418 011101101111101111111011011110110111111111101111101110111110011111* +L017484 011111111011111011111111111110111111011110111111101111111111111011* +L017550 011111111111111011100111111110110111011110111111101111111111111011* +L017616 011101101111111011111011111110110111011110101111101110111111011011* +L017682 011111111011101011111111111110111111111110111111101111111110111011* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 110111011111111111110101110111111111111111010111111111011111011111* -L017880 111111111111111101111111111111111111111111011111111111111111111111* -L017946 000000000000000000000000000000000000000000000000000000000000000000* -L018012 000000000000000000000000000000000000000000000000000000000000000000* +L017814 011111111111101011100111111110110111111110111111101111111110111011* +L017880 011101101111101011111011111110110111111110101111101110111110011011* +L017946 010111111111111111111111101110111111111111111111111111111111111111* +L018012 010111111111111111111111111110111111111111111111101111111111111111* L018078 000000000000000000000000000000000000000000000000000000000000000000* -L018144 111111111111011111111111111111111111111111111111111111111111111111* -L018210 000000000000000000000000000000000000000000000000000000000000000000* -L018276 000000000000000000000000000000000000000000000000000000000000000000* -L018342 000000000000000000000000000000000000000000000000000000000000000000* +L018144 101111111111111111111111111111111111111111111111111111111111111111* +L018210 111111111111111111111111110111111111110111111111111111111111111111* +L018276 111111111111111111111111110111111111111111111111111111111111111011* +L018342 111111111111111111111111111111101101111011111111111111101111110111* L018408 000000000000000000000000000000000000000000000000000000000000000000* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 111111111011111111111111111111111111111101111111111111111111111111* -L018606 111111110111111111111111111111111111111110111111111111111111111111* -L018672 000000000000000000000000000000000000000000000000000000000000000000* -L018738 000000000000000000000000000000000000000000000000000000000000000000* -L018804 000000000000000000000000000000000000000000000000000000000000000000* -L018870 111111101111111111111111111111111111111111011111111111111111011111* -L018936 111111111111111111111111111111111111111111011111111111101111011111* -L019002 111111011111111111111111111111111111111111011111111111011111101111* -L019068 110111111111111101110101110111111111111111010111111111111111011111* +L018540 101111110111111111111111111111111111111111111111111111111111111111* +L018606 011111111011111111111111011111111111111101111111111111111111111111* +L018672 111111110111111111100111111111110111111110111111111111111111111111* +L018738 111101100111111111111011111111110111111110101111111110111111011111* +L018804 111011110111111111111111111111111111111111111111111111111111111111* +L018870 011111111111111111111110111111111111110111111111111111111111111111* +L018936 011111111111111111111110111111111111111111111111111111111111111011* +L019002 011111111111111111111111111111101111111011111111111111111111110111* +L019068 000000000000000000000000000000000000000000000000000000000000000000* L019134 000000000000000000000000000000000000000000000000000000000000000000* L019200 000000000000000000000000000000000000000000000000000000000000000000* -L019266 111111111110111111111111011111111111111101111111111111111111111111* -L019332 111101111011111111111111101111111111111101111111111010111101111111* -L019398 000000000000000000000000000000000000000000000000000000000000000000* -L019464 000000000000000000000000000000000000000000000000000000000000000000* -L019530 000000000000000000000000000000000000000000000000000000000000000000* -L019596 111111111111111111101111111111111111111111011111111111111111111111* -L019662 000000000000000000000000000000000000000000000000000000000000000000* -L019728 000000000000000000000000000000000000000000000000000000000000000000* -L019794 000000000000000000000000000000000000000000000000000000000000000000* -L019860 000000000000000000000000000000000000000000000000000000000000000000* +L019266 111111111111111111111111111111111111111111111111111111111111111111* +L019332 111111111111111111111111111111111111111111111111111111111111111111* +L019398 111111111111111111111111111111111111111111111111111111111111111111* +L019464 111111111111111111111111111111111111111111111111111111111111111111* +L019530 111111111111111111111111111111111111111111111111111111111111111111* +L019596 111111111111111111111111111111111111111111111111111111111111111111* +L019662 111111111111111111111111111111111111111111111111111111111111111111* +L019728 111111111111111111111111111111111111111111111111111111111111111111* +L019794 111111111111111111111111111111111111111111111111111111111111111111* +L019860 111111111111111111111111111111111111111111111111111111111111111111* L019926 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L020058 0010* L020062 01100011111000* L020076 10100110010011* -L020090 10100111010001* -L020104 00100110010011* -L020118 00100110010000* +L020090 00010110010001* +L020104 11101011110011* +L020118 10100110010000* L020132 10100110010010* -L020146 10100110010000* -L020160 00100110010011* -L020174 10100111010001* -L020188 10100111010011* -L020202 10100110010110* -L020216 00100110011110* -L020230 10100110010000* -L020244 10100110010011* -L020258 11100011110001* -L020272 01100110011111* +L020146 11011111110001* +L020160 11111011110011* +L020174 10100110010000* +L020188 10110110010010* +L020202 11000011110110* +L020216 11001011111111* +L020230 10100111010000* +L020244 11100110010010* +L020258 11011111110001* +L020272 11110011111111* NOTE BLOCK 3 * L020286 - 111111111111111111111111101111011111111111111111111111111111111111 - 111111111111111110111111111101111111111111111111111111111111110111 - 011111111111111111111111111111111111111111111011111111111101111110 - 111111111111011111101110111111111111111111111110111111111111111111 - 111111111101111111111111111111111111111111111111111001111111111111 - 110111111111111111111111111111111111111111111111111111110111111111 - 111111111111110111111111111111111011110111111111111111111111011111 - 111101110111111111111111111011111111111110111111111111111111111111 - 111111011111111111111111111111111110011111101111111111011111111111* + 011111111111111101111111111111111111111111111111111111110111111111 + 111111011111111111111111111101111111111111111111111111111111111111 + 111111111111110111111111111111111111111111111111111111111111110111 + 111111111111111111111110111111111111111111111111111111111111111110 + 111111111101111111111111111111111101111111110111111011111111111111 + 111111111111111111111111110111111111111101111111111111111101111111 + 111111111111111111111111011111111111010111111111111111111111111111 + 111111110111011111111111111111111111111111111110111111101111111111 + 110101111111111111110111111111110111111111101111111111111111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 111111111111111111111111111111111111101111101111111111111111111111* -L021012 110111111111010111111110111111101111101111111111111111111111111111* -L021078 110111111111111011111110101111011111011111011111111111111111011111* +L020946 111011111111111111111111111111111111111111101111111111111111111111* +L021012 111011111111111111111110011111111011111111110111111111111101111111* +L021078 110111111111111111111110111111110110011111010111111111111110111111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 011111111111111111111111110110110111111111011111111111111111111111* -L021342 111111111111111111111111111110111111111111011111111111101111111111* +L021276 111111111111111111111111111110111111111111011111110111011111110111* +L021342 111110111111111111111111111110111111111111011111111111111111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111111111111111111111111111111111111111011111111111111111010111* -L021738 111111011111111111111111111111111111111111011111111111111111110111* -L021804 111111011111101111111111111111111101111111011111111111111111111111* +L021672 111111110111111111111111111111111011111111111111111111111111111111* +L021738 111111111011111111111111111111110111111111111111111111111111111111* +L021804 000000000000000000000000000000000000000000000000000000000000000000* L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* -L022002 111111111111111111111111111111111111111111011011111111111111111111* +L022002 111111111111011111111111111111111111111111111111111111111111111111* L022068 000000000000000000000000000000000000000000000000000000000000000000* L022134 000000000000000000000000000000000000000000000000000000000000000000* L022200 000000000000000000000000000000000000000000000000000000000000000000* L022266 000000000000000000000000000000000000000000000000000000000000000000* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 111111111111111111111111111111111111111110111111111111110111111111* -L022464 111111111111111111111111111111111111111101111111111011111111111111* +L022398 111111111111111111111111111111111111110111111110111111111111111111* +L022464 111111111111111011111111111111111111111111111101111111111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -504,56 +502,56 @@ L022860 000000000000000000000000000000000000000000000000000000000000000000* L022926 000000000000000000000000000000000000000000000000000000000000000000* L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 - 111111111111111111111111111111111111111101111111111101111111111111* -L023124 111111111111111111111111111111111111111111011111111111111101111011* -L023190 111111110111111111111111111011111101111111011111111111111111111111* -L023256 111111111111111101111111111111111111111111011111111111111111110111* + 111111111111111111111111111111111111111111111101111111111111111101* +L023124 111111111111111111111111111111111111011111111111111111111111111111* +L023190 000000000000000000000000000000000000000000000000000000000000000000* +L023256 000000000000000000000000000000000000000000000000000000000000000000* L023322 000000000000000000000000000000000000000000000000000000000000000000* L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 111111111110111111111111111111111111111111011111111111111111111111* +L023454 111111111111111111111111110111111111111111111111111111111111111111* L023520 000000000000000000000000000000000000000000000000000000000000000000* L023586 000000000000000000000000000000000000000000000000000000000000000000* L023652 000000000000000000000000000000000000000000000000000000000000000000* L023718 000000000000000000000000000000000000000000000000000000000000000000* L023784 - 111111111111111111111111111111111111111101111111111101111111111111* -L023850 111111110110111111111111111111111111111011111111111111111111111111* + 111111111111111111111111111111111111111111111101111111111111111101* +L023850 101111111110111111111111111111111111111111111111111111110111111111* L023916 000000000000000000000000000000000000000000000000000000000000000000* L023982 000000000000000000000000000000000000000000000000000000000000000000* L024048 000000000000000000000000000000000000000000000000000000000000000000* L024114 000000000000000000000000000000000000000000000000000000000000000000* -L024180 111111111111111011111101111111011111111111111111111111111111111111* -L024246 110111111111111011111110111111101111111111111111111111111111111111* -L024312 110111111111110111111110111111011111111111111111111111111111111101* -L024378 111011111111111111111101111111011111111111111111111111111111111101* -L024444 111111111111111011111111111111111111111111111111111111111111111110* +L024180 111111111111111101111111111111111111111111111111111111111111111111* +L024246 000000000000000000000000000000000000000000000000000000000000000000* +L024312 000000000000000000000000000000000000000000000000000000000000000000* +L024378 000000000000000000000000000000000000000000000000000000000000000000* +L024444 000000000000000000000000000000000000000000000000000000000000000000* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 111111111111111111111111111111111111111111111101111111111111111111* -L024642 111111111111111111111111111111111111111111111111111111111111111111* -L024708 111111111111111111111111111111111111111111111111111111111111111111* -L024774 111111111111111111111111111111111111111111111111111111111111111111* -L024840 111111111111111111111111111111111111111111111111111111111111111111* -L024906 111111111111111111111111111111111111111111111111111111111111111111* -L024972 111111111111111111111111111111111111111111111111111111111111111111* -L025038 111111111111111111111111111111111111111111111111111111111111111111* -L025104 111111111111111111111111111111111111111111111111111111111111111111* -L025170 111111111111111111111111111111111111111111111111111111111111111111* +L024576 111111111111111111111111111111111111111110111111111111111111111111* +L024642 000000000000000000000000000000000000000000000000000000000000000000* +L024708 000000000000000000000000000000000000000000000000000000000000000000* +L024774 000000000000000000000000000000000000000000000000000000000000000000* +L024840 000000000000000000000000000000000000000000000000000000000000000000* +L024906 111111111110111111111111111111111111111111011111111111111111111111* +L024972 000000000000000000000000000000000000000000000000000000000000000000* +L025038 000000000000000000000000000000000000000000000000000000000000000000* +L025104 000000000000000000000000000000000000000000000000000000000000000000* +L025170 000000000000000000000000000000000000000000000000000000000000000000* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111110110110111111111111111111111111111111111111111111111111111111* -L025368 111111111111111111111111111111111111111111111111111111111111111111* -L025434 111111111111111111111111111111111111111111111111111111111111111111* -L025500 111111111111111111111111111111111111111111111111111111111111111111* -L025566 111111111111111111111111111111111111111111111111111111111111111111* -L025632 110111111111110111111111111111111111111111111111111111111111111111* -L025698 111111111111111111111101111111011111111111111111111111111111111101* -L025764 111111111111111011111111111111101111111111111111111111111111111101* -L025830 110111111111111111111111111111111111111111111111111111111111111110* -L025896 000000000000000000000000000000000000000000000000000000000000000000* +L025302 111111101110111111111111111111111111111111111111111111110111111111* +L025368 000000000000000000000000000000000000000000000000000000000000000000* +L025434 000000000000000000000000000000000000000000000000000000000000000000* +L025500 000000000000000000000000000000000000000000000000000000000000000000* +L025566 000000000000000000000000000000000000000000000000000000000000000000* +L025632 111111111111111111111101111111110111111111111111111111111110111111* +L025698 111111111111111111111110111111111011111111110111111111111110111111* +L025764 111111110111111111111110111111110111111111110111111111111101111111* +L025830 111111110111111111111101111111110111111111111011111111111111111111* +L025896 111111111011111111111111111111111111111111111111111111111110111111* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111111111011111111111111111111111111111111111111111111111* +L026028 111111111111111111111011111111111111111111011111111111111111111111* L026094 111111111111111111111111111111111111111111111111111111111111111111* L026160 111111111111111111111111111111111111111111111111111111111111111111* L026226 111111111111111111111111111111111111111111111111111111111111111111* @@ -570,45 +568,45 @@ L026820 0010* L026824 10100111010000* L026838 11100110011110* L026852 10100110010100* -L026866 01100110011111* +L026866 00100110011111* L026880 10101011111001* L026894 00100011111111* -L026908 10100110010100* -L026922 01100110010010* +L026908 00100110010100* +L026922 00100110010010* L026936 01101011110011* -L026950 11100110010011* -L026964 00010110010000* -L026978 11101111110011* -L026992 01110011111011* -L027006 10100110011111* -L027020 00010110010000* -L027034 11101011110010* +L026950 00100110010011* +L026964 00100110010000* +L026978 01100110010011* +L026992 01101111111011* +L027006 11100110011111* +L027020 01010110010000* +L027034 11100011110010* NOTE BLOCK 4 * L027048 - 111111111111111111111111111111111111111111111111011111111111111111 - 111111111111111111111011111111111111110111111111110111111111111111 - 111111110101101111111111111111111111111111110111111111110111111111 - 111011011111111111111111111111111101111111111111111111101111111111 - 011111111111110111111111111111111111111111111111111111111111111111 - 111111111111111111111111011111111111011101111111111111111111111111 - 111111111111111111111111111111111011111111101111111101111111110111 - 111111111111111111111111111010011111111111111110111111111101111110 - 111110111111111110101101111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111110111111111110111111111111111 + 011101111111111111111111010101111111111111111111101111111111111111 + 111011011111111110111111111111111101111111111011111111111111111111 + 111111111110110111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111011111111101 + 111111111111011111111111111111111111111111011111111111111011100111 + 111111111011111111101111111111011111111111111110111110111101111111 + 111111111111111111111101111111111011101110111111111111111111111111* L027642 - 110111111111011111111101101111111111011111111111111110011111111010* + 110111111111101101101101111111111111111111111111011111101111111001* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 111110111111111111111111111111111111111111011110111111111011111111* -L028104 111111111111111111111111111111111111111111101101111111111111111111* +L028038 111111111110111111111111111111111011111111111110111111110111111111* +L028104 111111111111111111111111111111111111111111111101111111111011111111* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 011111111111111111111111111111111111111111111101111111111111111111* -L028434 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111110101111111111111111111* +L028434 011111111111111111111111111111111111111111111111111111111111101111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* L028632 111111111111111111111111111111111111111111111111111111111111111111* @@ -620,13 +618,13 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111011111111111111111111111111111111111111111110* +L029160 111110111111111111101111111111111111111111111111111111111111111111* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* L029424 111111111111111111111111111111111111111111111111111111111111111111* -L029490 111111101010111001011111111011011110111011111011111011111101111111* -L029556 111111111111111111111111111111111111111111111111111111110111111111* +L029490 111111101011111011111111101010011110011001111111111011111101111111* +L029556 111111111101111111111111111111111111111111111111111111111111111111* L029622 000000000000000000000000000000000000000000000000000000000000000000* L029688 000000000000000000000000000000000000000000000000000000000000000000* L029754 000000000000000000000000000000000000000000000000000000000000000000* @@ -644,12 +642,12 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 111111111111111111111111111111111111111111111111011111111111111111* +L030612 011111111111111111111111111111111111111111111111111111111111111111* L030678 111111111111111111111111111111111111111111111111111111111111111111* L030744 111111111111111111111111111111111111111111111111111111111111111111* L030810 111111111111111111111111111111111111111111111111111111111111111111* L030876 111111111111111111111111111111111111111111111111111111111111111111* -L030942 111111111111111111111111111111111111111101111111111111111111111111* +L030942 101111111111111111111111111111111111111111111111111111111111011111* L031008 111111111111111111111111111111111111111111111111111111111111111111* L031074 111111111111111111111111111111111111111111111111111111111111111111* L031140 111111111111111111111111111111111111111111111111111111111111111111* @@ -667,13 +665,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111111111111111111111111111101111111111111111111111111111111111111* -L032064 111111101010111001011111111011011110111011111011111011111101111111* + 111111111111111111111111111111111111111111111111111101111111111111* +L032064 111111101011111011111111101010011110011001111111111011111101111111* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111111111111111111111111111111110111111111111111111111111111111111* +L032394 111111111111111111111111111111111111111111011111111111111111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -696,74 +694,74 @@ L033450 L033582 0010* L033586 00100011110000* L033600 10101111110011* -L033614 11011011110100* -L033628 11110011110010* -L033642 01111111111001* -L033656 10101011111111* +L033614 00010110010100* +L033628 11101111110010* +L033642 01111011111000* +L033656 10100011111111* L033670 11011111110000* -L033684 11111011111111* -L033698 00110110010000* -L033712 00000110011110* -L033726 11011111110001* -L033740 11110011111110* +L033684 11111011111110* +L033698 00110110010001* +L033712 00000110011111* +L033726 11011111110000* +L033740 11110011111111* L033754 00111011110000* L033768 00000110011110* L033782 11010111111101* L033796 11111111111110* NOTE BLOCK 5 * L033810 - 111111111111111111111111111111111111011111111111111111111111111011 - 111111111110111111111111111111110111111111111111111111111111111111 - 111111111111111111101111111111111111111111101111101111110101111111 - 111111111111110111111011101001111111111111111111111111111111111111 - 111111111111111111111111111111101101111111111111111011111111111111 - 111110111111011111111111111111111111111011111111111111111111111111 - 111111110111111110111101111111111111111111111111111111111111011111 - 111111111111111111111111111111111111111110111111111111101111111111 - 101011011111111111111111111111111111111111111111111111111111111111* + 111111111111111111111110111111111110111111111101111111111111111111 + 111111111101111111111111111111111111111111111111111111111111111111 + 111110111111101111111111111111111111111111111111011111110101111111 + 111111111111110110111011101101111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111101011 + 111111111111111111111111111111011111111011111111111111011111111111 + 111111010111111111011111111111111011111111111111110101111111111111 + 111111111111111111111111111011111111111110111111111111111111111111 + 101011111111111111111111111111111111111111111111111111111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 101111111111111111111111111111111111111111111111111111111111111111* -L034536 111111111111111111111111111101011111111111111111111111111111111111* -L034602 111111101111111011111011111111101011111111111111111111111010111011* -L034668 111111101111111011011011111111101011111111111111111111111110111011* -L034734 111110101111111011111011111111101011111111111111111111111110111011* -L034800 111111101111111001111011111111101011111111111111111111111110111011* -L034866 111011111111111111111111111111110111111111111111111111111111101111* -L034932 111011111111111111111111111111011111111111111111111111111111111111* -L034998 111011111111111111110111111110111111111111111111111111111111111111* -L035064 111011111111111111111111111111111111011111111111111111111101111111* +L034470 011111111110111111111111111111111111111111111111111111111111111111* +L034536 000000000000000000000000000000000000000000000000000000000000000000* +L034602 000000000000000000000000000000000000000000000000000000000000000000* +L034668 000000000000000000000000000000000000000000000000000000000000000000* +L034734 000000000000000000000000000000000000000000000000000000000000000000* +L034800 010111111111111111111111111011111111111111111111111111111111101111* +L034866 010111111111111111111111101011111111110101111111111111111110110111* +L034932 010111111111111111111111111011111111110101111111111111011110110111* +L034998 010111110111111111111111111011111111110101111111111111111110110111* +L035064 010111111111111110111111111011111111110101111111111111111110110111* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 111111111101111111111111111111111111111111111111111111111111111111* -L035262 111011111111111111111111111111111111111111111111111111111111100111* -L035328 111011011111111111111111111110111111111111111111111111111111111111* -L035394 111011111111110111111111111110111111111111111111111111111111111111* +L035196 011111111111111111101111111111111111111111111111111111111111111111* +L035262 010111111111111111111111111011111111110101111111111101111110110111* +L035328 010111111111101111111111111011111111110101111111111111111110110111* +L035394 000000000000000000000000000000000000000000000000000000000000000000* L035460 000000000000000000000000000000000000000000000000000000000000000000* -L035526 011111111111111111111111111111101111111111111111111111111111010111* -L035592 010111111111111111111111111110011111111111111111111111111111111111* -L035658 000000000000000000000000000000000000000000000000000000000000000000* -L035724 000000000000000000000000000000000000000000000000000000000000000000* -L035790 000000000000000000000000000000000000000000000000000000000000000000* +L035526 111111111111111111111111111111111111111111111111111111111111111111* +L035592 111111111111111111111111111111111111111111111111111111111111111111* +L035658 111111111111111111111111111111111111111111111111111111111111111111* +L035724 111111111111111111111111111111111111111111111111111111111111111111* +L035790 111111111111111111111111111111111111111111111111111111111111111111* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 011101111111111110101111111111111111111111111111111011110111111111* -L035988 011111111111111111110111111111111111111111111111111011111111111111* -L036054 010111111111111111110111111110111111111111111111111111111111111111* +L035922 011111111111111111111011111111111101111111111111110111111111111111* +L035988 010111101111111111110111111111111111111111111111111111111111111111* +L036054 010111111111111111110111111111111101111111111111111111111111111111* L036120 000000000000000000000000000000000000000000000000000000000000000000* L036186 000000000000000000000000000000000000000000000000000000000000000000* -L036252 010111111111111111101111111111111111111111111111111111101111111111* -L036318 010111111111111111111111101111111111110101111111111011100111111111* -L036384 010111111111011111111111111111111111110101111111111011100111111111* -L036450 010111110111111111111111111111111111110101111111111011100111111111* -L036516 010111111111111111111111111011111111110101111111111011100111111111* +L036252 111110111111111011111011111110101110111111111110111111111111111011* +L036318 111110111111111011111011111110101110111111111110111111111111011111* +L036384 111110111111111011111011111110101010111111111110111111111111111111* +L036450 111110111111111011111011111110101110111111111110111111110111111111* +L036516 000000000000000000000000000000000000000000000000000000000000000000* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111110111111111101111111111111111111111111111111111111111111111111* -L036714 010111111111111111111101111111111111110101111111111011100111111111* -L036780 010111111111111111111111111111111111110101111111101011100111111111* -L036846 000000000000000000000000000000000000000000000000000000000000000000* -L036912 000000000000000000000000000000000000000000000000000000000000000000* +L036648 111111111111111111111111111111111111111111111111111111111111111111* +L036714 111111111111111111111111111111111111111111111111111111111111111111* +L036780 111111111111111111111111111111111111111111111111111111111111111111* +L036846 111111111111111111111111111111111111111111111111111111111111111111* +L036912 111111111111111111111111111111111111111111111111111111111111111111* L036978 111111111111111111111111111111111111111111111111111111111111111111* L037044 111111111111111111111111111111111111111111111111111111111111111111* L037110 111111111111111111111111111111111111111111111111111111111111111111* @@ -771,19 +769,19 @@ L037176 111111111111111111111111111111111111111111111111111111111111111111* L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 111111111111111111111111111111111111111111111111111111111111011111* -L037440 111111111111111111111111111111111111111111111111111111111111111111* -L037506 111111111111111111111111111111111111111111111111111111111111111111* -L037572 111111111111111111111111111111111111111111111111111111111111111111* -L037638 111111111111111111111111111111111111111111111111111111111111111111* -L037704 111101111111111110111111111111111111111111111111111111111111111111* +L037374 011111011111111111110111111111111111111111111111111111111111111111* +L037440 011101111111111111110111111111111111111111111111111111111111111111* +L037506 010101111111111111111111111111111111111111111111111011111111111111* +L037572 000000000000000000000000000000000000000000000000000000000000000000* +L037638 000000000000000000000000000000000000000000000000000000000000000000* +L037704 111111111111111111111101111111111111111111111111111111111111111111* L037770 111111111111111111111111111111111111111111111111111111111111111111* L037836 111111111111111111111111111111111111111111111111111111111111111111* L037902 111111111111111111111111111111111111111111111111111111111111111111* L037968 111111111111111111111111111111111111111111111111111111111111111111* L038034 000000000000000000000000000000000000000000000000000000000000000000* -L038100 011111111111111111111111111111111111111111101111111111111111111111* +L038100 111111111111111111111111111111111111111111111111111111111111111111* L038166 111111111111111111111111111111111111111111111111111111111111111111* L038232 111111111111111111111111111111111111111111111111111111111111111111* L038298 111111111111111111111111111111111111111111111111111111111111111111* @@ -795,16 +793,16 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 111111111111111111111111111111111111111111111111111111111111111111* -L038892 111111111111111111111111111111111111111111111111111111111111111111* -L038958 111111111111111111111111111111111111111111111111111111111111111111* -L039024 111111111111111111111111111111111111111111111111111111111111111111* -L039090 111111111111111111111111111111111111111111111111111111111111111111* -L039156 111111111111111111111111111111111101111111111111111111111111111111* -L039222 011111111111110111111111111101111111111111111111111111111111111011* -L039288 010111111111111111111111111111111111111111111111111111111111100111* -L039354 010111111111110111111111111111111111111111111111111111111111110111* -L039420 000000000000000000000000000000000000000000000000000000000000000000* +L038826 011111111111111111111111111111110111111111111111111111111010100111* +L038892 011111111111111111111111111111111101111111111111111111111110111111* +L038958 010111111111111111111111111111111101111111111111111011111111111111* +L039024 000000000000000000000000000000000000000000000000000000000000000000* +L039090 000000000000000000000000000000000000000000000000000000000000000000* +L039156 111111111111111111111111111111111111111111111111011111111111111111* +L039222 111111111111111111111111111111111111111111111111111111111111111111* +L039288 111111111111111111111111111111111111111111111111111111111111111111* +L039354 111111111111111111111111111111111111111111111111111111111111111111* +L039420 111111111111111111111111111111111111111111111111111111111111111111* L039486 000000000000000000000000000000000000000000000000000000000000000000* L039552 111111111111111111111111111111111111111111111111111111111111111111* @@ -821,117 +819,117 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L040344 0010* -L040348 11100110011110* -L040362 10110110010010* -L040376 00000110011110* -L040390 11000011110011* +L040348 01100110011110* +L040362 11100110010010* +L040376 01110110011110* +L040390 11100011110011* L040404 10100110011111* -L040418 11100110010011* -L040432 00110110011110* -L040446 11101111110011* -L040460 00110110011111* +L040418 10100011110011* +L040432 11011111111110* +L040446 11111011110011* +L040460 10100110011111* L040474 00000110010011* -L040488 01010110011110* -L040502 11101011111110* -L040516 10110110011110* -L040530 00110110011111* -L040544 11011111111110* -L040558 11110011111110* +L040488 11010011111110* +L040502 11111011111111* +L040516 10100110011110* +L040530 00000110011110* +L040544 11011111111111* +L040558 11110011111111* NOTE BLOCK 6 * L040572 - 111111111111111111111111111111011111111111011111111111111111111111 - 111111111111111111111111101111110111111111111111111111111111111111 - 111111111111111111111110111111111111111111111111111110111011110110 - 111110100110111111111111111111111111110111111111111111111111111111 - 011111111111111111111111111111111110111111111111111111111111111111 - 111111111111111011011111111111111111111111111111111111111101111111 - 111111111111101111111111111111111111111101111111110111011111111111 - 111111111111111101111111111011111111111111111110111111111111111111 - 111011111111111111110111111111111111111111111111101111111111111111* + 111111111111111111111111101111111111111111111111111111111111111111 + 111011111111111111111111111111110111111111111111111111101111111111 + 111111101111111111111011111111111111111111111111111111111111111111 + 101110111101111111111111111111111111011111111110111111111111111110 + 111111111111111111111111111111111110111111110111111011111111111111 + 111111111111111011111111111111111111111111111111111111111101111111 + 111111111111101111111111111111111111111111111111011111111111011111 + 111111110111111101111111110111111111111110111111111111111111111111 + 111111111111111111011111111111101111111111101111111111110111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 111111111111110111111111111111111110111111111101011111111111111111* -L041298 111111111111101111111111111111111111111111111110011111111111111111* +L041232 111111111111110111111111111111111110111101011111111111111111111111* +L041298 111111111111101111111111111111111111111110011111111111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 111111111111111111111111111111111111111111011111111111111111111111* +L041562 111111111111111111011111111111111111111111111111111111111111111111* L041628 000000000000000000000000000000000000000000000000000000000000000000* L041694 000000000000000000000000000000000000000000000000000000000000000000* L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111111111111111111111111101111111111111111111111111* -L042024 000000000000000000000000000000000000000000000000000000000000000000* +L041958 111111111111111111111111111111110111011111011111111111111111011111* +L042024 111111111111111111111111111111111111111111011101111111111111111111* L042090 000000000000000000000000000000000000000000000000000000000000000000* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* -L042288 111111111111111111111111111111111111111111111111111101111111111111* +L042288 111111111111111111111111111111011111111111111111111111111111111111* L042354 000000000000000000000000000000000000000000000000000000000000000000* L042420 000000000000000000000000000000000000000000000000000000000000000000* L042486 000000000000000000000000000000000000000000000000000000000000000000* L042552 000000000000000000000000000000000000000000000000000000000000000000* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111101111111111111111111111111101111111111111111111111111111111111* -L042750 111101111111111111111111111111111111111111111111111011111111111111* -L042816 111111111111111111111111111111011111111111111111110111111110111101* -L042882 111111111111111111111111111111101111111111111111111011111110111101* -L042948 111101111111111111111111111111111111111111111111111111111111111110* -L043014 111111111111111011111111111111111111111111111101011111111111111111* -L043080 111111101111111111111111111111111111111111111101011111111111111111* -L043146 111111111111111110111111111111111111111111111110011111101111111111* +L042684 111101111111111111111111111111111111111111111111111111111011111111* +L042750 111101111111111111111111111111111111111111111111111111111110111111* +L042816 111111110111111111111111111111111111111111111011111111110101111111* +L042882 111111110111111111111111111111111111111111111011111111111010111111* +L042948 111101111011111111111111111111111111111111111111111111111111111111* +L043014 111111111111111111111111111111111111111111011111111111111111111101* +L043080 111111111111111111111111111111110111011111011101111111111111011111* +L043146 000000000000000000000000000000000000000000000000000000000000000000* L043212 000000000000000000000000000000000000000000000000000000000000000000* L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 111111110111111111111111111111111111111111111111111111111111111111* +L043410 111111111111111111111111110111111111111111111111111111111111111111* L043476 000000000000000000000000000000000000000000000000000000000000000000* L043542 000000000000000000000000000000000000000000000000000000000000000000* L043608 000000000000000000000000000000000000000000000000000000000000000000* L043674 000000000000000000000000000000000000000000000000000000000000000000* -L043740 111111111111111111111101111111111111111111111111111111111111111111* +L043740 111111101111111111111111111111111111111111011111111111111111111111* L043806 000000000000000000000000000000000000000000000000000000000000000000* L043872 000000000000000000000000000000000000000000000000000000000000000000* L043938 000000000000000000000000000000000000000000000000000000000000000000* L044004 000000000000000000000000000000000000000000000000000000000000000000* L044070 - 011111111111111111111111111111111111111111111110111111111111111011* -L044136 111111111111111111111111111111111111111111111111101111111111111111* -L044202 111111111111110111111111111111111111111111111101111111110111111111* -L044268 111111111111111101111111111111111111111111111110111111111111111111* + 111111111111111111111111111111111111111110111111111011111111111101* +L044136 111111111111111111111111111111111111111111101111111111111111111111* +L044202 111111111111110111110111111111111111111101111111111111111111111111* +L044268 111111111111111101111111111111111111111110111111111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 111111111111111111111111111111110111111111111111011111111111111111* -L044532 110111111111111111111111101011111111111111111111011111111111111111* -L044598 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111111111111111111101111111111111111111111* +L044532 111111111111110111111111111111111111111101111111111111011111111111* +L044598 111111111111111110111111111111111111111110111111101111111111111111* L044664 000000000000000000000000000000000000000000000000000000000000000000* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 - 111111111111111111111111111111111111111111111110111111111111111011* -L044862 111111111111111111111111111111111111110111111111111111111111111111* -L044928 111111111111111111111111111111111111111111111111111111111111111111* -L044994 111111111111111111111111111111111111111111111111111111111111111111* -L045060 111111111111111111111111111111111111111111111111111111111111111111* -L045126 111111111111111111111111111111111111111111111111111111111111111111* -L045192 111111111111111111111111111111111111111111111111111111111111111111* -L045258 111111111111111111111111111111111111111111111111111111111111111111* -L045324 111111111111111111111111111111111111111111111111111111111111111111* -L045390 111111111111111111111111111111111111111111111111111111111111111111* -L045456 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111110111111111011111111111111* +L044862 110111111111111111111111111111111111111111111111111111111111111111* +L044928 000000000000000000000000000000000000000000000000000000000000000000* +L044994 000000000000000000000000000000000000000000000000000000000000000000* +L045060 000000000000000000000000000000000000000000000000000000000000000000* +L045126 000000000000000000000000000000000000000000000000000000000000000000* +L045192 101111111111111111111111111111111111111111011111111111111111111111* +L045258 000000000000000000000000000000000000000000000000000000000000000000* +L045324 000000000000000000000000000000000000000000000000000000000000000000* +L045390 000000000000000000000000000000000000000000000000000000000000000000* +L045456 000000000000000000000000000000000000000000000000000000000000000000* L045522 - 011111111111111111111111111111111111111111111110111111111111111111* -L045588 111111011111111111101111111111111111111111111111111111111111111111* -L045654 111111111111111111111111111111111111111111111111111111111111111111* -L045720 111111111111111111111111111111111111111111111111111111111111111111* -L045786 111111111111111111111111111111111111111111111111111111111111111111* -L045852 111111111111111111111111111111111111111111111111111111111111111111* -L045918 111111111111111111111011111111111111111111111111011111111111111111* -L045984 111111111111111111111111111111111111111111111111111111111111111111* -L046050 111111111111111111111111111111111111111111111111111111111111111111* -L046116 111111111111111111111111111111111111111111111111111111111111111111* -L046182 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111110111111111111111111111101* +L045588 111111111111111111111111011111111111111111111111111111101111111111* +L045654 000000000000000000000000000000000000000000000000000000000000000000* +L045720 000000000000000000000000000000000000000000000000000000000000000000* +L045786 000000000000000000000000000000000000000000000000000000000000000000* +L045852 000000000000000000000000000000000000000000000000000000000000000000* +L045918 111111111111111011111111111111111111111101011111111111111111111111* +L045984 111111111111111111111111101111111111111101011111111111111111111111* +L046050 111111111111111110111111111111111111111110011111101111111111111111* +L046116 000000000000000000000000000000000000000000000000000000000000000000* +L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* L046314 111111111101111111111111111111111111111111111111111111111111111111* @@ -950,46 +948,46 @@ L046974 L047106 0010* L047110 11100110011000* L047124 00100110011110* -L047138 00100110010100* +L047138 10100110010100* L047152 00100110011111* L047166 10100110011001* -L047180 11100110010011* +L047180 10100110010011* L047194 00100110010000* -L047208 00100110010010* +L047208 01100110010010* L047222 10100110010000* -L047236 11100110010011* -L047250 00010110010101* -L047264 11101111110011* -L047278 00110011110010* -L047292 01000110010010* +L047236 10100110010011* +L047250 00100110010101* +L047264 01100110010011* +L047278 00101111110010* +L047292 11100110010010* L047306 00010110010000* -L047320 11101011111111* +L047320 11100011111111* NOTE BLOCK 7 * L047334 - 111111111111111111111111111111111111111110011111111111111111111011 - 111111111111111110111111111111110111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111101111110111111111 - 111111101111111111111111101011111111111111111111111111111101111111 - 111111111111111111111011111111111111111111111111111001111111111111 - 111111111111011111111111111111011111011111111111111111111111111111 - 111111111110111111111101111110111101111111111111111111111111111111 - 111111111111111111111111111111111111111111111110111111101111111110 - 101010111011111111011111111111111111110111111111111111111111101111* + 111111111111111111101111111111111111111110111111111111111111111111 + 111101111111111111111010111111111111111111111111111111111111111111 + 111111111111111111111111111111110110111111111111111111111111111111 + 111111101111111110111111101101111111111111111111111111111110111111 + 111111111111111111111111111111111111111111111111111011111111111111 + 111111110111111111111111111111011111111111111111111111011111111111 + 111111111110010111111111111111111111111111111111111111111111110111 + 101111111111111111111111111011111111011111111111111111111111111110 + 111011111111111111111111111111111111110111011110101110111011111111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 011111111111111111111111111111111011111111111111110111111110101111* -L048060 011111111111111111111011111111111011111111111111110111111111101111* -L048126 011111111111111110111111111111110111111111111111111111111111111111* +L047994 111111111111111011111111111111110111111111111110011111111110111111* +L048060 111111111111111111111111111111100111111111111110011111111110111111* +L048126 111111111111111111111110111111111111111111111111011111111101111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111111101111111110010111111110011011111111011111111111111110* +L048324 111111110111101101111111011111111101111011111111111111101111111010* L048390 000000000000000000000000000000000000000000000000000000000000000000* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111101111111111111111111111111111111111111* +L048720 111101111111111111111111111111111111111111111111111111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -1001,19 +999,19 @@ L049248 111111111111111111111111111111111111111111111111111111111111111111* L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 101111111111111111111111111111111111111111111111111111111111111111* -L049512 111111111111111111111111111111111111011111111101111111111111111111* -L049578 111101111111111111111111111111111111011111111111111111111101111111* +L049446 111111111111111111111111111111111111111111111111101111111111111111* +L049512 011111110111111111111111111111111111111111111111111111111111111111* +L049578 111111110111110111111111111111111111111111111111111101111111111111* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 011111111111111111111111111111111111111111111111111111111111111110* +L049776 111111111111111111111111111111111111111111111111011111111111111110* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 111111111111111111111111111111111111111111111110111101111011111111* -L050172 111111110111111111111111111111111111111111111111111111111111111111* + 101111011111111111111111111111111111111111111111111011111111111111* +L050172 111111111111111111111111111111111111111111111111111111110111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1024,19 +1022,19 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111111111111111111111111111111111111111111111111110111111111* -L050898 111110111111111111101111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111111110111111111111111* +L050898 111111111111111111111111111111111111101111111111111110111111111111* L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 011111111111111111111111111101111111111110111111111111111111110111* -L051294 011111111111111111111111111101111111111111011111111111111111110111* -L051360 011111110111111111111111111111111111111111111111111111111111110111* -L051426 010111111110111111111111111111111111111111111111111111101111111111* +L051228 111101111111111111111111111101111111111110111111011111111111111111* +L051294 111101111111111111111111111101111111111111011111011111111111111111* +L051360 111111111111111111111111111101111111111111111111011111110111111111* +L051426 110111111110111111111111111011111111111111111111011111111111111111* L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 - 111111111111111111111111111111111111111111111101111101111111111111* + 011111011111111111111111111111111111111111111111111111111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1048,13 +1046,13 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 111111111111111111111111111111111111111111111110111111111011111111* -L052350 111111101111111111111111111111011111111111111111111111111111111111* + 101111111111111111111111111111111111111111111111111011111111111111* +L052350 111111111111111111100111111111111111111111111111111111111111111111* L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* L052614 111111111111111111111111111111111111111111111111111111111111111111* -L052680 011111111111111111111111111111111111111111111110111111111111111111* +L052680 101111111111111111111111111111111111111111111111011111111111111111* L052746 111111111111111111111111111111111111111111111111111111111111111111* L052812 111111111111111111111111111111111111111111111111111111111111111111* L052878 111111111111111111111111111111111111111111111111111111111111111111* @@ -1110,6 +1108,6 @@ E1 10000010 1 * -CF9B2* +C5646* U00000000000000000000000000000000* -11B6 +F39B diff --git a/Logic/68030_tk-gba060.jed b/Logic/68030_tk-gba060.jed index 21e60af..9a1d98a 100644 --- a/Logic/68030_tk-gba060.jed +++ b/Logic/68030_tk-gba060.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Thu Sep 24 16:21:08 2015 +DATE: Sat Oct 10 21:59:56 2015 ABEL mach447a * @@ -31,81 +31,80 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS A_28_:15 A_27_:16 SIZE_1_:79 A_26_:17 A_25_:18* -NOTE PINS A_31_:4 A_24_:19 A_23_:85 A_22_:84 A_21_:94 IPL_2_:68* -NOTE PINS A_20_:93 A_19_:97 FC_1_:58 A_18_:95 AS_030:82 A_17_:59* -NOTE PINS AS_000:42 A_16_:96 DS_030:98 UDS_000:32 LDS_000:31* -NOTE PINS A1:60 nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28* -NOTE PINS CLK_030:64 CLK_000:11 CLK_OSZI:61 CLK_DIV_OUT:65* -NOTE PINS CLK_EXP:10 IPL_1_:56 FPU_CS:78 IPL_0_:67 FPU_SENSE:91* -NOTE PINS FC_0_:57 DTACK:30 AVEC:92 VPA:36 RST:86 AMIGA_ADDR_ENABLE:33* -NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* -NOTE PINS CIIN:47 SIZE_0_:70 A_30_:5 A_29_:6 IPL_030_2_:9* -NOTE PINS RW_000:80 A0:69 BG_000:29 BGACK_030:83 IPL_030_1_:7* -NOTE PINS IPL_030_0_:8 DSACK1:81 E:66 VMA:35 RESET:3 RW:71* +NOTE PINS A_18_:95 A_17_:59 SIZE_1_:79 A_16_:96 A_31_:4 IPL_2_:68* +NOTE PINS FC_1_:58 AS_030:82 AS_000:42 DS_030:98 UDS_000:32* +NOTE PINS LDS_000:31 A1:60 nEXP_SPACE:14 IPL_1_:56 BERR:41* +NOTE PINS IPL_0_:67 BG_030:21 FC_0_:57 BGACK_000:28 CLK_030:64* +NOTE PINS CLK_000:11 CLK_OSZI:61 CLK_DIV_OUT:65 CLK_EXP:10* +NOTE PINS FPU_CS:78 FPU_SENSE:91 DTACK:30 AVEC:92 VPA:36* +NOTE PINS RST:86 RESET:3 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* +NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 SIZE_0_:70 A_30_:5 A_29_:6 A_28_:15 A_27_:16* +NOTE PINS A_26_:17 A_25_:18 A_24_:19 A_23_:85 A_22_:84 A_21_:94* +NOTE PINS A_20_:93 A_19_:97 IPL_030_2_:9 RW_000:80 A0:69* +NOTE PINS IPL_030_1_:7 IPL_030_0_:8 BG_000:29 BGACK_030:83* +NOTE PINS DSACK1:81 E:66 VMA:35 RW:71 * NOTE Table of node names and numbers* NOTE NODES RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 RN_DS_030:101 * NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_SIZE_0_:263 * -NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * -NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * -NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:125 * -NOTE NODES RN_RW:245 cpu_est_0_:157 cpu_est_1_:253 inst_AS_000_INT:103 * -NOTE NODES SM_AMIGA_5_:239 inst_AMIGA_BUS_ENABLE_DMA_LOW:154 * -NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:221 * -NOTE NODES inst_DS_030_D0:184 inst_AS_030_000_SYNC:241 inst_BGACK_030_INT_D:289 * -NOTE NODES inst_AS_000_DMA:113 inst_DS_000_DMA:109 CYCLE_DMA_0_:104 * -NOTE NODES CYCLE_DMA_1_:121 SIZE_DMA_0_:254 SIZE_DMA_1_:248 * -NOTE NODES inst_VPA_D:193 inst_UDS_000_INT:265 inst_LDS_000_INT:259 * -NOTE NODES inst_CLK_OUT_PRE_D:217 inst_DTACK_D0:134 inst_CLK_OUT_PRE_50:211 * -NOTE NODES inst_CLK_000_D1:187 inst_CLK_000_D0:128 inst_CLK_000_PE:155 * -NOTE NODES CLK_000_P_SYNC_9_:112 inst_CLK_000_NE:227 CLK_000_N_SYNC_11_:278 * -NOTE NODES cpu_est_2_:167 IPL_D0_0_:178 IPL_D0_1_:194 IPL_D0_2_:262 * -NOTE NODES SM_AMIGA_3_:161 inst_CLK_000_NE_D0:209 SM_AMIGA_0_:235 * -NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:260 SM_AMIGA_6_:229 * -NOTE NODES RST_DLY_0_:158 RST_DLY_1_:145 RST_DLY_2_:133 * -NOTE NODES RST_DLY_3_:163 RST_DLY_4_:170 RST_DLY_5_:139 * -NOTE NODES RST_DLY_6_:152 RST_DLY_7_:164 CLK_000_P_SYNC_0_:188 * -NOTE NODES CLK_000_P_SYNC_1_:166 CLK_000_P_SYNC_2_:142 CLK_000_P_SYNC_3_:160 * -NOTE NODES CLK_000_P_SYNC_4_:182 CLK_000_P_SYNC_5_:236 CLK_000_P_SYNC_6_:106 * -NOTE NODES CLK_000_P_SYNC_7_:122 CLK_000_P_SYNC_8_:116 CLK_000_N_SYNC_0_:230 * -NOTE NODES CLK_000_N_SYNC_1_:256 CLK_000_N_SYNC_2_:250 CLK_000_N_SYNC_3_:136 * -NOTE NODES CLK_000_N_SYNC_4_:130 pos_clk_un29_clk_000_ne_d0_i_n:176 * -NOTE NODES CLK_000_N_SYNC_5_:146 CLK_000_N_SYNC_6_:110 CLK_000_N_SYNC_7_:140 * -NOTE NODES CLK_000_N_SYNC_8_:266 CLK_000_N_SYNC_9_:224 CLK_000_N_SYNC_10_:272 * -NOTE NODES inst_CLK_030_H:115 SM_AMIGA_1_:151 SM_AMIGA_4_:223 * -NOTE NODES SM_AMIGA_2_:169 inst_DS_000_ENABLE:119 SM_AMIGA_i_7_:233 * -NOTE NODES CIIN_0:205 * +NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_IPL_030_1_:143 * +NOTE NODES RN_IPL_030_0_:137 RN_BG_000:175 RN_BGACK_030:275 * +NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RW:245 cpu_est_0_:259 * +NOTE NODES cpu_est_1_:253 inst_AS_000_INT:145 SM_AMIGA_5_:233 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_LOW:163 inst_AS_030_D0:277 * +NOTE NODES inst_nEXP_SPACE_D0reg:133 inst_DS_030_D0:176 * +NOTE NODES inst_AS_030_000_SYNC:235 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:109 inst_DS_000_DMA:121 CYCLE_DMA_0_:116 * +NOTE NODES CYCLE_DMA_1_:110 SIZE_DMA_0_:254 SIZE_DMA_1_:248 * +NOTE NODES inst_VPA_D:193 inst_UDS_000_INT:265 inst_LDS_000_INT:115 * +NOTE NODES inst_CLK_OUT_PRE_D:200 inst_DTACK_D0:112 inst_RESET_OUT:187 * +NOTE NODES inst_CLK_OUT_PRE_50:217 inst_CLK_000_D1:209 inst_CLK_000_D0:113 * +NOTE NODES inst_CLK_000_PE:155 CLK_000_P_SYNC_9_:106 inst_CLK_000_NE:103 * +NOTE NODES CLK_000_N_SYNC_11_:278 cpu_est_2_:167 IPL_D0_0_:160 * +NOTE NODES IPL_D0_1_:136 IPL_D0_2_:154 SM_AMIGA_3_:161 inst_CLK_000_NE_D0:119 * +NOTE NODES SM_AMIGA_0_:229 inst_AMIGA_BUS_ENABLE_DMA_HIGH:260 * +NOTE NODES SM_AMIGA_6_:227 RST_DLY_0_:188 RST_DLY_1_:182 * +NOTE NODES RST_DLY_2_:194 CLK_000_P_SYNC_0_:230 CLK_000_P_SYNC_1_:256 * +NOTE NODES CLK_000_P_SYNC_2_:170 CLK_000_P_SYNC_3_:164 CLK_000_P_SYNC_4_:211 * +NOTE NODES CLK_000_P_SYNC_5_:130 CLK_000_P_SYNC_6_:224 CLK_000_P_SYNC_7_:241 * +NOTE NODES CLK_000_P_SYNC_8_:146 CLK_000_N_SYNC_0_:140 CLK_000_N_SYNC_1_:134 * +NOTE NODES CLK_000_N_SYNC_2_:128 CLK_000_N_SYNC_3_:250 CLK_000_N_SYNC_4_:158 * +NOTE NODES CLK_000_N_SYNC_5_:178 CLK_000_N_SYNC_6_:152 CLK_000_N_SYNC_7_:266 * +NOTE NODES CLK_000_N_SYNC_8_:169 CLK_000_N_SYNC_9_:122 CLK_000_N_SYNC_10_:272 * +NOTE NODES inst_CLK_030_H:104 SM_AMIGA_1_:223 SM_AMIGA_4_:239 * +NOTE NODES SM_AMIGA_2_:151 inst_DS_000_ENABLE:139 N_61_i:157 * +NOTE NODES SM_AMIGA_i_7_:221 CIIN_0:205 * NOTE BLOCK 0 * L000000 - 111011111011111111011111111111111111111111111111111111111111111111 - 111110111111111111111110111111111111111111111111111111111111111111 - 111111111111110111111111111111111111111111111111111111111111111111 - 111111111111011111111111111111111111111111111111111111111111111111 - 111111011110111111111111111111111111111111111111111101111111111111 - 011111111111111111111111111101011111111111111111111111111111111111 - 111111111111111111111111111111111111110111111111011111111011011111 - 111111111111111101111111111011111111011110111111110111111111111111 - 111111111111111111111111011111111010111111100111111111111111111111* + 111111011011111111111101111111111111111111111111111111111101111111 + 111111111111111111111111111111111110111111111111111111111111111111 + 111111111111111111111111111111101111111111111111111111111111110111 + 111111111111011111111011111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111101111111111110111111111111110111111111 + 111101111111111111111111011111111111111111100101111111011111111111 + 101111111111111001111111111111111111011111111111111111111111111111 + 111111111111111111011111111111110111111111111111100110111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111111111111111111111111111111111011101111111111111111111111111111* +L000660 111111111111111111111111111111111111111111111111111110111011111111* L000726 000000000000000000000000000000000000000000000000000000000000000000* L000792 000000000000000000000000000000000000000000000000000000000000000000* L000858 000000000000000000000000000000000000000000000000000000000000000000* L000924 000000000000000000000000000000000000000000000000000000000000000000* -L000990 110111111111111111111111111111111111111111011111111111111111111111* -L001056 111111111111111111111111101011111101111111011111111111111111111111* +L000990 111111111111110111111111111111111111111111111111111111111111111111* +L001056 000000000000000000000000000000000000000000000000000000000000000000* L001122 000000000000000000000000000000000000000000000000000000000000000000* L001188 000000000000000000000000000000000000000000000000000000000000000000* L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111111111011111111111111111111011111110011011111111111111111111* -L001452 111111111111101111111111111111111011111110010111111111111111111111* -L001518 000000000000000000000000000000000000000000000000000000000000000000* -L001584 000000000000000000000000000000000000000000000000000000000000000000* -L001650 000000000000000000000000000000000000000000000000000000000000000000* -L001716 111101111111111111111111111111111111111111111111111111111111111111* +L001386 101111111011111110111111111110111111101111110111011110111111111111* +L001452 101111111011111110111111111101111111101111111011011110111111111111* +L001518 101111111111111110111111111110111111111111110111010110111111111111* +L001584 101111111111111110111111111101111111111111111011010110111111111111* +L001650 101111111011111111111111111110111111101111110111011110101111111111* +L001716 111111111111111111111111111111111111111111111111111111111101111111* L001782 000000000000000000000000000000000000000000000000000000000000000000* L001848 000000000000000000000000000000000000000000000000000000000000000000* L001914 000000000000000000000000000000000000000000000000000000000000000000* @@ -113,45 +112,45 @@ L001980 000000000000000000000000000000000000000000000000000000000000000000* L002046 000000000000000000000000000000000000000000000000000000000000000000* L002112 111111111111111111111111111111111111111111111111111111111111111111* -L002178 000000000000000000000000000000000000000000000000000000000000000000* -L002244 000000000000000000000000000000000000000000000000000000000000000000* -L002310 000000000000000000000000000000000000000000000000000000000000000000* +L002178 101111111011111111111111111101111111101111111011011110101111111111* +L002244 101111111111111111111111111110111111111111110111010110101111111111* +L002310 101111111111111111111111111101111111111111111011010110101111111111* L002376 000000000000000000000000000000000000000000000000000000000000000000* -L002442 111111111111111111111111111111111111111111101111111111111111111111* -L002508 111111111111111111111111111111111111111101111111111111111111111111* -L002574 011111111111111111111111111111111111111111110111111111111111111111* -L002640 101111111111111111111111111111111111111111111011111111111111111111* -L002706 111111111111111111111111111111110111111111111111111111111111111111* +L002442 111111111111111111111111111111111111111111111111101111111111111111* +L002508 011111111111111111111111111111111111111111111111111111111111111111* +L002574 111111111011111111111111111111111111011111111111111111111111111111* +L002640 111111111111111111111111111101111111111111110111111111111111111111* +L002706 111111111111111111111111111110111111111111111011111111111111111111* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111111111011111111111111111111111111111111111111111111111* -L002904 111111111111111101111111111111111111111111111111011111111111111111* -L002970 111111111011111111111111111111111111011111111111111111111011111111* -L003036 111111110111111111111111111111111111110111111111111111111011011111* -L003102 111111111111111111111111111111111111011011111111111111111011111111* -L003168 111111111111111111111111111101111111111111111111111111111111111111* -L003234 111111111111111111111111111111111111111111101111111111111111111111* -L003300 111111111111111111111111111111111111111101111111111111111111111111* -L003366 111111111011111111111111111111111111111111111111111111111111011111* -L003432 011111111111111111111111111111111111111111110111111111111111111111* +L002838 111111111111111111111111111111111111111111111111111101111111111111* +L002904 111111111111111101111111111111111111111111111111111111011111111111* +L002970 000000000000000000000000000000000000000000000000000000000000000000* +L003036 000000000000000000000000000000000000000000000000000000000000000000* +L003102 000000000000000000000000000000000000000000000000000000000000000000* +L003168 111111111111111111111111111111111111111111111110011111111111111111* +L003234 000000000000000000000000000000000000000000000000000000000000000000* +L003300 000000000000000000000000000000000000000000000000000000000000000000* +L003366 000000000000000000000000000000000000000000000000000000000000000000* +L003432 000000000000000000000000000000000000000000000000000000000000000000* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 101111111111111111111111111111111111111111111011111111111111111111* -L003630 111111111111111111111111111111110111111111111111111111111111111111* -L003696 111111111111111101111111111111111111111111111111011111111111111111* -L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003564 111111011111111111111111111111111111111111111111111111111111111111* +L003630 101111111111111111111111111110111111111111110111011110111111111111* +L003696 101111111111011111111111111101111111111111111011011110111111111111* +L003762 101111111111101111111111111111111111111111110111011110111111111111* L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 011111111011111110111111111111111011111110011011111111111111101111* -L003960 101111111011111110111111111111111011111110010111111111111111101111* -L004026 011111111111111110111111111111111011110110011011111111111111111111* -L004092 101111111111111110111111111111111011110110010111111111111111111111* -L004158 011111111011111111111111111111111011111110011011101111111111101111* +L003894 111111111111111111111111111111111111111111111111101111111111111111* +L003960 111101111111111111111111111111110111111111111111111111111111111111* +L004026 111101111111111111111011111111111111111111111111111111111111111111* +L004092 111111111111111111110111111111101001111110111111111111111111111111* +L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111011111111111111111111111111111111111111111111111111111111111* -L004356 101111111011111111111111111111111011111110010111101111111111101111* -L004422 011111111111111111111111111111111011110110011011101111111111111111* -L004488 101111111111111111111111111111111011110110010111101111111111111111* +L004290 101111111111011111111111111110111111111111111111011110111111111111* +L004356 101111111111101111111111111101111111111111111111011110111111111111* +L004422 000000000000000000000000000000000000000000000000000000000000000000* +L004488 000000000000000000000000000000000000000000000000000000000000000000* L004554 000000000000000000000000000000000000000000000000000000000000000000* L004620 111111111111111111111111111111111111111111111111111111111111111111* L004686 111111111111111111111111111111111111111111111111111111111111111111* @@ -160,19 +159,19 @@ L004818 111111111111111111111111111111111111111111111111111111111111111111* L004884 111111111111111111111111111111111111111111111111111111111111111111* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 111011111111110111111111111111111111111111011111111111111111111111* -L005082 111111111111111111111111111011011101111111011111111111111111111111* -L005148 110111111111111111111101111111111111111111011111111111111111111111* -L005214 000000000000000000000000000000000000000000000000000000000000000000* -L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 011111111111111111111111111111111011111110011011111111111111111111* -L005412 101111111111011111111111111111111011111110010111111111111111111111* -L005478 011111111111101111111111111111111011111110011111111111111111111111* -L005544 000000000000000000000000000000000000000000000000000000000000000000* -L005610 000000000000000000000000000000000000000000000000000000000000000000* +L005016 111111111111111111011111111111111111111111111111111111111111111111* +L005082 111111111111111111111111111111111111111111111111101111111111111111* +L005148 011111111111111111111111111111111111111111111111111111111111111111* +L005214 111111111111111111111111111101111111111111110111111111111111111111* +L005280 111111111111111111111111111110111111111111111011111111111111111111* +L005346 111111111111111111111111111111111111111111111111111101111111111111* +L005412 111111111111111101111111111111111111111111111111111111011111111111* +L005478 111111111011111111111111111111111111111111101111111111110111111111* +L005544 111111110111111111111111111111111111011111101111110111111111111111* +L005610 111111111111111111111111111111111111111111101111111011110111111111* L005676 - 111111111110111111111111111111111111111110111111111101111111111111* -L005742 111111111111111111111111111111111111111111111111110111111111111111* + 101111111111111111111111011111111111111111111111111111111111111011* +L005742 111111111111111111111101111111111111111111111111111111111111111111* L005808 111111111111111111111111111111111111111111111111111111111111111111* L005874 111111111111111111111111111111111111111111111111111111111111111111* L005940 111111111111111111111111111111111111111111111111111111111111111111* @@ -187,250 +186,250 @@ L006402 000000000000000000000000000000000000000000000000000000000000000000* L006534 0010* L006538 01100011111000* -L006552 11100110010011* +L006552 00100110010011* L006566 10100110010101* L006580 00100110011111* -L006594 00101111111000* +L006594 00001111111000* L006608 10100110010010* -L006622 00110110010000* -L006636 00010110010011* -L006650 10100110010000* +L006622 10110110010000* +L006636 01100110010011* +L006650 00000110010000* L006664 10100110010010* -L006678 00110110010000* +L006678 10100110010000* L006692 11101011110011* -L006706 10100110010001* +L006706 00010110010001* L006720 10100110010011* L006734 00010110010100* L006748 11101111110010* NOTE BLOCK 1 * L006762 - 111111011111110111111111011111111111111110111111111111110111111111 - 111111111111011111111111111111111111011111111101111110111101111111 - 110111111011111101011111111111111111111111101111111111111111110111 - 111101111110111111111011111111111011110111111111111111011111111111 - 011111111111111111111111111111111101111111111111111111111111111111 + 111111111111111111110111111111101111111110111111111101111111111111 + 111101111101111110111111111111111111111111111111111111111111110111 + 111111101011010111111101111111111101111111111111011111111111111110 + 101111111111111111111111011111111111111111111111111111011111111111 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111101011111111111111111111111111111111111 - 111111111111111111111111110111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111101111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111110111011011111111111110111111111111111 + 111111111111111111111111111011111111111111111111111111111111111111 + 111011111111111111111111111111111111111111101111111111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 011111111111111111111111111111111111111111111111011111111111111111* -L007488 111101111111010111010111111111111111011111111101011111110111110111* +L007422 111111111111111111111111111111111111111101111111111111111111111111* +L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* L007686 000000000000000000000000000000000000000000000000000000000000000000* -L007752 111111111111111111111111111111111111111101111111111111111111111111* +L007752 000000000000000000000000000000000000000000000000000000000000000000* L007818 000000000000000000000000000000000000000000000000000000000000000000* L007884 000000000000000000000000000000000000000000000000000000000000000000* L007950 000000000000000000000000000000000000000000000000000000000000000000* L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 111111011111111111111111111111111111111111111111111111111111111111* +L008148 111111111111111111111101111111111111111111111111111111111111111111* L008214 000000000000000000000000000000000000000000000000000000000000000000* L008280 000000000000000000000000000000000000000000000000000000000000000000* L008346 000000000000000000000000000000000000000000000000000000000000000000* L008412 000000000000000000000000000000000000000000000000000000000000000000* -L008478 110111111111111111111111111111111111111111111111111111111111111111* -L008544 111111111011111111111111110111110101111111011111011110111111111111* -L008610 111111111011111111111111111011111001111111011111011110111111111111* -L008676 111111111011111111111111110111110110111111101111011110111111111111* -L008742 111111111011111111111111111011111010111111101111011110111111111111* +L008478 111111111111111111111111111101111111111111111111111111111111111111* +L008544 000000000000000000000000000000000000000000000000000000000000000000* +L008610 000000000000000000000000000000000000000000000000000000000000000000* +L008676 000000000000000000000000000000000000000000000000000000000000000000* +L008742 000000000000000000000000000000000000000000000000000000000000000000* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 111111111011111111111111111111111111111111111111011111101111111111* -L008940 111111111111111111111111110111111011111111111111011111101111111111* -L009006 111111111111111111111111111011110111111111111111011111101111111111* -L009072 111111111111111111111111111111111101111111101111011111101111111111* -L009138 111111111111111111111111111111111110111111011111011111101111111111* -L009204 111111111111111111111011111111111111111111111111011111111111110111* -L009270 111111111111111111101111111111111111111111111111011111111111110111* -L009336 111111111111111111111111111111111111111111111111011111111011110111* -L009402 111111111111111111010111111111111111111111111111011111110111111011* -L009468 111101111111010111111111111111111111011111111101011111111111110111* +L008874 011111011011011111111111101111111111111111011111011111111111111111* +L008940 101111011011101111111111101111111111111111011111011111111111111111* +L009006 011111101011011111111111101111111111111111011111101111111111111111* +L009072 101111101011101111111111101111111111111111011111101111111111111111* +L009138 111111111011111111111111111111111111111111011111111111101111111111* +L009204 111111111110111111111111111111111111111111011111111111111111111111* +L009270 101111111111011111111111111111111111111111011111111111101111111111* +L009336 011111111111101111111111111111111111111111011111111111101111111111* +L009402 111111101111111111111111111111111111111111011111011111101111111111* +L009468 111111011111111111111111111111111111111111011111101111101111111111* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 111111111111111111111111111110111111111111111111011111111111111111* -L009666 111111111111111111111111111111111111111111111111011110101111111111* +L009600 111101111111111111111111111111111111111111111111111111111111111111* +L009666 111111111111111111111111101111111111111111011111111111101111111111* L009732 000000000000000000000000000000000000000000000000000000000000000000* L009798 000000000000000000000000000000000000000000000000000000000000000000* L009864 000000000000000000000000000000000000000000000000000000000000000000* -L009930 111111111101111111111111111111111111111111111111111111111111111111* +L009930 111111101111111111111111111111111111111111011111111111111111111111* L009996 000000000000000000000000000000000000000000000000000000000000000000* L010062 000000000000000000000000000000000000000000000000000000000000000000* L010128 000000000000000000000000000000000000000000000000000000000000000000* L010194 000000000000000000000000000000000000000000000000000000000000000000* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 111111111011111111111111111011111001111111011111011110111111111111* -L010392 111111111011111111111111111011111010111111101111011110111111111111* -L010458 111111110111111111111111111011111010111111101111011101111111111111* -L010524 111111110111111111111111111011111001111111011111011101111111111111* -L010590 111111111111111110111111111111111011111111111111011111111111111111* -L010656 111111111111111110111111111011111111111111111111011111111111111111* -L010722 111111111111111110111111111111111101111111101111011111111111111111* -L010788 111111111111111110111111111111111110111111011111011111111111111111* -L010854 111111111011111110111111111111111111111111111111011101111111111111* -L010920 111111110111111110111111111111111111111111111111011110111111111111* +L010326 101111011011101111111111101111111111111111011111011111111111111111* +L010392 101111101011101111111111101111111111111111011111101111111111111111* +L010458 101111100111101111111111011111111111111111011111101111111111111111* +L010524 101111010111101111111111011111111111111111011111011111111111111111* +L010590 101111111111111111111111111111111110111111011111111111111111111111* +L010656 111111111111101111111111111111111110111111011111111111111111111111* +L010722 111111101111111111111111111111111110111111011111011111111111111111* +L010788 111111011111111111111111111111111110111111011111101111111111111111* +L010854 111111111011111111111111011111111110111111011111111111111111111111* +L010920 111111110111111111111111101111111110111111011111111111111111111111* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111111111111111111111111111111011111111111111111111111111111111111* -L011118 000000000000000000000000000000000000000000000000000000000000000000* -L011184 000000000000000000000000000000000000000000000000000000000000000000* -L011250 000000000000000000000000000000000000000000000000000000000000000000* -L011316 000000000000000000000000000000000000000000000000000000000000000000* -L011382 111111111111111111111111111111111111111111111111111111111101111111* -L011448 111111111111011111111111111111111111111111111111101111111111111111* -L011514 111111111111100111010111111111111111111111111101011111110111110111* -L011580 111110111111010111010111111111111111111111111101111111110111110111* -L011646 111111111111010111010111111111111111101111111101111111110111110111* +L011052 111111111111111111111111111111110111101111111111111111111111111111* +L011118 111111111111111111111111111111111111111111111111111111111111111111* +L011184 111111111111111111111111111111111111111111111111111111111111111111* +L011250 111111111111111111111111111111111111111111111111111111111111111111* +L011316 111111111111111111111111111111111111111111111111111111111111111111* +L011382 111111111111110111111111111111111111111111011111111111111111111110* +L011448 110111111111111111111111111011111111111111011111111111111111110111* +L011514 111111111111111101111111111111111111111111011111111111111111111101* +L011580 000000000000000000000000000000000000000000000000000000000000000000* +L011646 000000000000000000000000000000000000000000000000000000000000000000* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 111111111011111111111111110111110110111111101111011110111111111111* -L011844 111111111011111111111111111011111010111111101111011110111111111111* -L011910 111111110111111111111111110111110110111111101111011101111111111111* -L011976 111111110111111111111111111011111010111111101111011101111111111111* -L012042 111111111111111111111111101111111111111111101111011111111111111111* -L012108 111111111111111111111111100111111011111111111111011111111111111111* -L012174 111111111111111111111111101011110111111111111111011111111111111111* -L012240 111111111111111111111111101111111110111111111111011111111111111111* -L012306 111111111011111111111111101111111111111111111111011101111111111111* -L012372 111111110111111111111111101111111111111111111111011110111111111111* +L011778 011111101011011111111111101111111111111111011111101111111111111111* +L011844 101111101011101111111111101111111111111111011111101111111111111111* +L011910 011111100111011111111111011111111111111111011111101111111111111111* +L011976 101111100111101111111111011111111111111111011111101111111111111111* +L012042 111111101111111111111111111111111111111111011111111110111111111111* +L012108 101111111111011111111111111111111111111111011111111110111111111111* +L012174 011111111111101111111111111111111111111111011111111110111111111111* +L012240 111111111111111111111111111111111111111111011111101110111111111111* +L012306 111111111011111111111111011111111111111111011111111110111111111111* +L012372 111111110111111111111111101111111111111111011111111110111111111111* L012438 - 111111111111111111111111111111111111111111111111111111111111111111* -L012504 111111111111111111111111111111111111110111111111111111111111111111* + 111111111111111111111111111111111111111111111111111011111111111111* +L012504 111111111111111111111111111111011111111111111111111111111111111111* L012570 111111111111111111111111111111111111111111111111111111111111111111* L012636 111111111111111111111111111111111111111111111111111111111111111111* L012702 111111111111111111111111111111111111111111111111111111111111111111* L012768 111111111111111111111111111111111111111111111111111111111111111111* -L012834 111111111111111111111011111111111111111111111111011111110111111111* -L012900 111111111111111111101111111111111111111111111111011111110111111111* -L012966 111111111111111111010111111111111111111111111111011111111011111111* -L013032 111101111111010111111111111111111111011111111101011111110111110111* +L012834 111111111111111111111111111111111111111111011111111111111111111101* +L012900 110111111111111111111011111011111111111111011111111111111111111111* +L012966 000000000000000000000000000000000000000000000000000000000000000000* +L013032 000000000000000000000000000000000000000000000000000000000000000000* L013098 000000000000000000000000000000000000000000000000000000000000000000* L013164 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L013296 0010* -L013300 10100110011000* -L013314 00101011111110* -L013328 00100110010101* -L013342 00010110011111* +L013300 00100011110000* +L013314 00101111111111* +L013328 00100110010100* +L013342 00100110011110* L013356 11100110010010* -L013370 10100110011110* -L013384 01000110010110* -L013398 00100110011111* -L013412 11100110011001* -L013426 10110111010011* -L013440 00100110010000* -L013454 00000110010010* -L013468 11100110011000* -L013482 10110110010011* -L013496 00010110010101* -L013510 11000011111111* +L013370 01110110011111* +L013384 00000110010111* +L013398 01100110011111* +L013412 11100110011000* +L013426 10110110010010* +L013440 00010110010000* +L013454 11001011110011* +L013468 11100110011001* +L013482 11110110010011* +L013496 00010110011100* +L013510 11001111111110* NOTE BLOCK 2 * L013524 - 111111110111111111111111111111111111111111011111111111111111010111 - 111111111111011111111111110111111101011111111111111111111111111111 - 011111111111111111011111111111110111111111111101111111111111111111 - 111111111111110111111010011101111111111111111011111111111111111101 - 110111101111111111111111111111101111111111111111111111111111111111 - 111101111111111111111111111111111111111011111111111111111101111111 - 111111111111111110111111111111111111111111111111111111110111111111 - 111111111111111111111111111111111111111110111111111111111111111111 - 111111111111111111111111111111111111111111111111101001111111111111* + 111111111111110111111011111111111110111111111101111111111111111111 + 111111111111111111111111100111111111111111111111111111111111111111 + 111111111011111110111111111111110111111111111111111111111111111111 + 101110111110111111111111111101111111111111111111111111111111111110 + 111111101111111111111111111111111111111111110111111111111111111111 + 111111111111111111111111111111011111111011111111111111111101111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 111111111111011111011111111111111111111110111111111111111111111111 + 111111111111111111111111111111111111011111101111111011111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 111111111111111111111111101111111111111110111111111111111111111111* +L014184 111111111111111111111111111011111111111110111111111111111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 111011111111111111111111111101111111111111111111011111111111011111* -L014580 110111111111111111111011111111111111111111111111010111111111111111* -L014646 110111111111111111111111111111111111111111111111010111111111011111* -L014712 000000000000000000000000000000000000000000000000000000000000000000* +L014514 111011111111111111111111111111010111111111011111111111111101111111* +L014580 111101111111111111111111101111010111101111011101111111111110111110* +L014646 111111111111111111111111111111110111111111010111111111111111111111* +L014712 111111111111111111111111111110111111111111010111110111111111111111* L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 000000000000000000000000000000000000000000000000000000000000000000* -L014910 111111111111111111111111111111111111111111111111101111111111111101* -L014976 011111111111011111010111110111111111111111011111011111111111110110* -L015042 011111111111011111010111110111111111101111011111111111111111110101* +L014910 111111111111111111011111111111111111111111111111111111111111111111* +L014976 000000000000000000000000000000000000000000000000000000000000000000* +L015042 000000000000000000000000000000000000000000000000000000000000000000* L015108 000000000000000000000000000000000000000000000000000000000000000000* L015174 000000000000000000000000000000000000000000000000000000000000000000* -L015240 111111011111111111111111111111111111111110111111011111111111111111* -L015306 111111111111111111111111101111111111110101111111011111111111111111* +L015240 111111111011111111111111111111111111111111011111111111111111111111* +L015306 000000000000000000000000000000000000000000000000000000000000000000* L015372 000000000000000000000000000000000000000000000000000000000000000000* L015438 000000000000000000000000000000000000000000000000000000000000000000* L015504 000000000000000000000000000000000000000000000000000000000000000000* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 111111111111111111111111111111111111111111111111111111110111111111* +L015636 111111111111011111111111111111111111111111111111111111111111111111* L015702 000000000000000000000000000000000000000000000000000000000000000000* L015768 000000000000000000000000000000000000000000000000000000000000000000* L015834 000000000000000000000000000000000000000000000000000000000000000000* L015900 000000000000000000000000000000000000000000000000000000000000000000* -L015966 111111111111111001111111111111111111111111111111111111111111111111* -L016032 111111111111110110111111111111111111111111111111111111111111111111* +L015966 111011111111111111111111111111111111111111111111111111111101111111* +L016032 111101111111111111111111101111111111101111111101111111111110111110* L016098 000000000000000000000000000000000000000000000000000000000000000000* L016164 000000000000000000000000000000000000000000000000000000000000000000* L016230 000000000000000000000000000000000000000000000000000000000000000000* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 111111111111111111011011111111111111111111111111011111111111111111* -L016428 111111111111111111100111111111111111111111111111011111111111111111* -L016494 011111111111011111011111110111111111011111011111011111111111110101* +L016362 111111111101111111111111111111111111111111111111111111111111111111* +L016428 000000000000000000000000000000000000000000000000000000000000000000* +L016494 000000000000000000000000000000000000000000000000000000000000000000* L016560 000000000000000000000000000000000000000000000000000000000000000000* L016626 000000000000000000000000000000000000000000000000000000000000000000* -L016692 111111111111111111111111111111111101111111111111111111111111111111* +L016692 101111111111111111111111111111111111111111011111111111111111111111* L016758 000000000000000000000000000000000000000000000000000000000000000000* L016824 000000000000000000000000000000000000000000000000000000000000000000* L016890 000000000000000000000000000000000000000000000000000000000000000000* L016956 000000000000000000000000000000000000000000000000000000000000000000* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 111111111111111111111111111111110111111111111111101111111111111111* -L017154 111111111111111111111111111101011011111111111111011111111111111111* -L017220 111111111111111101111111111111100111111111111110111111111101111111* -L017286 111111110111111001111101111111100111111111111011111110111110111111* +L017088 111111111111111111111111111111110111111111101111111111111111111111* +L017154 111111111111111111111111111101111001111111011111111111111111111111* +L017220 111011111111111111111111111111010110111111111111111111111101111111* +L017286 111101111111111111111111101111010110101111111101111111111110111110* L017352 111111111111111111111111111111110111111111111111111011111111111111* -L017418 111111111111111111111011110111111111111111111111011111111111111111* -L017484 111111111111111111101111110111111111111111111111011111111111111111* -L017550 111111111111111111111111110111111111111111101111011111111111111111* -L017616 101111111111111111111111110111111111111111111111011111111111111111* -L017682 011111111111111111010111111011111111111111011111011111111111111111* +L017418 111111011111111111111111111111111111111110011111111111111111111111* +L017484 111111111111111111111111111011111111110101011111111111111111111111* +L017550 000000000000000000000000000000000000000000000000000000000000000000* +L017616 000000000000000000000000000000000000000000000000000000000000000000* +L017682 000000000000000000000000000000000000000000000000000000000000000000* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 011111111111011111010111110111111111111111011111011111111111110101* -L017880 111111111111111111111111111111111111011111111111011111111111111111* -L017946 000000000000000000000000000000000000000000000000000000000000000000* -L018012 000000000000000000000000000000000000000000000000000000000000000000* -L018078 000000000000000000000000000000000000000000000000000000000000000000* -L018144 111101111111111111111111111111111111111111111111111111111111111111* -L018210 111111111111011111111111110111111111011111111111011111111111110101* -L018276 000000000000000000000000000000000000000000000000000000000000000000* -L018342 000000000000000000000000000000000000000000000000000000000000000000* -L018408 000000000000000000000000000000000000000000000000000000000000000000* +L017814 111111111111110111111111111111111111111111111111111111111111111111* +L017880 111111111111111111111111111111111111111111111111111111111111111111* +L017946 111111111111111111111111111111111111111111111111111111111111111111* +L018012 111111111111111111111111111111111111111111111111111111111111111111* +L018078 111111111111111111111111111111111111111111111111111111111111111111* +L018144 111111111111111111111111111111111111111111111111111111111111111111* +L018210 111111111111111111111111111111111111111111111111111111111111111111* +L018276 111111111111111111111111111111111111111111111111111111111111111111* +L018342 111111111111111111111111111111111111111111111111111111111111111111* +L018408 111111111111111111111111111111111111111111111111111111111111111111* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 111111110111111111111111111111111111111111110111111111111111111111* -L018606 111111111111110101111101111111111111111111111111111111111111111111* -L018672 111111111111111001111111111111111111111111111011111111111111111111* -L018738 111111110111111110111111111111111111111111111111111111111111111111* +L018540 111111111111111111111111111111111111111111111101111111111111111101* +L018606 111101111111111111111111011111011111111111111111111111111111111111* +L018672 111111111111111111111111101111011111111111111111111111111111111110* +L018738 111111111111111111111111111111101111111111111101111111111111111111* L018804 000000000000000000000000000000000000000000000000000000000000000000* -L018870 111111111111111101111111111111110111111111111110011111111101111111* -L018936 111111110111111001111101111111110111111111111011011110111110111111* -L019002 111111111111111111111111111111110111111111111111011111111111011111* -L019068 111111111111111111111111111110111111111111111111010111111111011111* -L019134 000000000000000000000000000000000000000000000000000000000000000000* +L018870 111111111111111111110111111111111111111111111111111111111111111111* +L018936 111111111111111111111111111111111111111111111111111111111111111111* +L019002 111111111111111111111111111111111111111111111111111111111111111111* +L019068 111111111111111111111111111111111111111111111111111111111111111111* +L019134 111111111111111111111111111111111111111111111111111111111111111111* L019200 000000000000000000000000000000000000000000000000000000000000000000* -L019266 011111111111011111010111110111111111011111011111011111111111110101* -L019332 111111111111111111111111111111111111111111111111101111111111110111* -L019398 011111111111111111010111110111111111111111011111011111111111111111* -L019464 000000000000000000000000000000000000000000000000000000000000000000* -L019530 000000000000000000000000000000000000000000000000000000000000000000* +L019266 111111111111111101111111111111111111111111111111111111111111111111* +L019332 111111111111111111111111111111111111111111111111111111111111111111* +L019398 111111111111111111111111111111111111111111111111111111111111111111* +L019464 111111111111111111111111111111111111111111111111111111111111111111* +L019530 111111111111111111111111111111111111111111111111111111111111111111* L019596 111111111111111111111111111111111111111111111111111111111111111111* L019662 111111111111111111111111111111111111111111111111111111111111111111* L019728 111111111111111111111111111111111111111111111111111111111111111111* @@ -442,59 +441,59 @@ L019926 L020058 0010* L020062 01100011111000* L020076 10100110010011* -L020090 10100111010001* -L020104 11100110010011* +L020090 00100110010001* +L020104 01100110010011* L020118 00100110010000* -L020132 10100110010010* -L020146 10100110010000* -L020160 00100110010011* +L020132 11100011110010* +L020146 00100110010000* +L020160 01100110010011* L020174 10100111010001* -L020188 10100110010011* -L020202 10100110010110* -L020216 00000110011110* -L020230 10100110010000* -L020244 10100110010011* -L020258 00100111010001* -L020272 11100011111111* +L020188 11100110010011* +L020202 00010110010110* +L020216 11101011111111* +L020230 10100110010001* +L020244 00000110010011* +L020258 00010110010000* +L020272 11101111111110* NOTE BLOCK 3 * L020286 - 111111111111111111111111101111111111111111111101111111111111111111 - 111111111111111111111111111101111111111111111011111111101111111111 - 111111111111111111111101111111011111111110101111111111111111111111 - 111110101111011111110111111111111011111111111111111111110110111111 - 111111111101111111111111111111111111111111111111111001111111111111 - 110111111111111111011111111111111111111111111111111111111111111111 - 111111111111110110111111111111111111111111111111111111111111111111 - 101111111011111111111111111111111111111111111111111111111111111111 - 111111111111111111111111110111111101011111111111101111111111111111* + 111111111111111111111111101111011111111111111111111111111111111111 + 111111110111011111111111111111111111111111111011111111101111111111 + 111111111111111111011111111111111111111111111111111111110111111111 + 111111101111111111111110111101111111111111111111111111111111111111 + 111111111101111111111111111111111101111111111111111011111111111111 + 110111111111111111111111111111111111111101111111111111111111111111 + 111111111111110111111111111111111111110111111111111111111111011111 + 111111111111111111111111111011110111111111111110111111111111111111 + 101101111111111111110111111111111111011111011111111111111111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 111111111111111111111111111111111111101111111111101111111111111111* -L021012 111110011111011111111111111111111111101111111101111111111011111111* -L021078 111010101111111111111111111111111111011111111101011111110101111111* +L020946 101111111111111111111111111111111111101111111111111111111111111111* +L021012 111111011111111111111110111101011111101111111111111111101111111111* +L021078 011011101111111111111110111111011111011111011111111111011111111111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 111111110111111111110111111110111111111111111111010111111111111111* -L021342 111111111111111111111111111110111110111111111111011111111111111111* +L021276 011111111011111111111111110111111111111111111111111111110111011111* +L021342 011110111011111111111111111111111111111111111111111111111111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 110111111111111101111110111111111111111111111111111111111111111111* -L021738 111001101111111101111111111111111111101111111101111111111011111111* +L021672 011111111110111111111111111111111111111111111111111111111111111111* +L021738 000000000000000000000000000000000000000000000000000000000000000000* L021804 000000000000000000000000000000000000000000000000000000000000000000* L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* -L022002 111111111111111111111111111111111011111111111111011111111111111111* +L022002 111111111111111111011111111111111111111111111111111111111111111111* L022068 000000000000000000000000000000000000000000000000000000000000000000* L022134 000000000000000000000000000000000000000000000000000000000000000000* L022200 000000000000000000000000000000000000000000000000000000000000000000* L022266 000000000000000000000000000000000000000000000000000000000000000000* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 101111111111111111111111111111111111111111110111111111111111111111* -L022464 011111111111111111111111111111111111111110111111111111111111111111* +L022398 111111111111111111111111111111111111111111110110111111111111111111* +L022464 111111111111111111111111111111111111111111111101111011111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -504,36 +503,36 @@ L022860 000000000000000000000000000000000000000000000000000000000000000000* L022926 000000000000000000000000000000000000000000000000000000000000000000* L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 - 011111111111111111111111111111111111111111111111111101111111111111* -L023124 111111111111111111111111111111011111111111111111111111111111111111* -L023190 000000000000000000000000000000000000000000000000000000000000000000* -L023256 000000000000000000000000000000000000000000000000000000000000000000* -L023322 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111110111111111111111111111111111111101111111111111111111* +L023124 011111111111111111111111111111110111111111101111111111111111111111* +L023190 011111111111111111111111111111110111111110111111111111111111111111* +L023256 011111111111111111111111111111111011111101011111111111111111111111* +L023322 011111111111111111111111111111110101111111111111111111111111111111* L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 111111111110111111111111111111111111111111111111011111111111111111* -L023520 000000000000000000000000000000000000000000000000000000000000000000* -L023586 000000000000000000000000000000000000000000000000000000000000000000* -L023652 000000000000000000000000000000000000000000000000000000000000000000* -L023718 000000000000000000000000000000000000000000000000000000000000000000* +L023454 111111111111111111111111111111111111111111111111111111111111111111* +L023520 111111111111111111111111111111111111111111111111111111111111111111* +L023586 111111111111111111111111111111111111111111111111111111111111111111* +L023652 111111111111111111111111111111111111111111111111111111111111111111* +L023718 111111111111111111111111111111111111111111111111111111111111111111* L023784 - 011111111111111111111111111111111111111111111111111101111111111111* -L023850 111111111110111111011111101111111111111111111111111111111111111111* -L023916 000000000000000000000000000000000000000000000000000000000000000000* -L023982 000000000000000000000000000000000000000000000000000000000000000000* -L024048 000000000000000000000000000000000000000000000000000000000000000000* -L024114 000000000000000000000000000000000000000000000000000000000000000000* -L024180 111111111111111111110111111111111111111111111111111111111111111111* -L024246 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111110111111111111111111111111111111101111111111111111111* +L023850 111111111110011111111111101111111111111111111111111111111111111111* +L023916 111111111111111111111111111111111111111111111111111111111111111111* +L023982 111111111111111111111111111111111111111111111111111111111111111111* +L024048 111111111111111111111111111111111111111111111111111111111111111111* +L024114 111111111111111111111111111111111111111111111111111111111111111111* +L024180 011111111111110111111111111111111111111111111111111111111111111111* +L024246 011111111111111111111111111111110101111101011111111111111111111111* L024312 000000000000000000000000000000000000000000000000000000000000000000* L024378 000000000000000000000000000000000000000000000000000000000000000000* L024444 000000000000000000000000000000000000000000000000000000000000000000* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 111111111111111011110111111111111111111111111111111111111111111111* -L024642 111111111111111111111111111111111111111111111111111111111111111111* -L024708 111111111111111111111111111111111111111111111111111111111111111111* -L024774 111111111111111111111111111111111111111111111111111111111111111111* -L024840 111111111111111111111111111111111111111111111111111111111111111111* +L024576 011111111111111111111111111111111111111101101111111111111111111111* +L024642 011111111111111111111111111111111111111110011111111111111111111111* +L024708 011111111111111111111111111111110101111101111111111111111111111111* +L024774 000000000000000000000000000000000000000000000000000000000000000000* +L024840 000000000000000000000000000000000000000000000000000000000000000000* L024906 111111111111111111111111111111111111111111111111111111111111111111* L024972 111111111111111111111111111111111111111111111111111111111111111111* L025038 111111111111111111111111111111111111111111111111111111111111111111* @@ -541,23 +540,23 @@ L025104 111111111111111111111111111111111111111111111111111111111111111111* L025170 111111111111111111111111111111111111111111111111111111111111111111* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111111111110111111011111111111111111111111111111111111101111111111* +L025302 111111111110011111111111111111111111111011111111111111111111111111* L025368 111111111111111111111111111111111111111111111111111111111111111111* L025434 111111111111111111111111111111111111111111111111111111111111111111* L025500 111111111111111111111111111111111111111111111111111111111111111111* L025566 111111111111111111111111111111111111111111111111111111111111111111* -L025632 111111111111111111111111111011111111111111111111011111111111111111* +L025632 011111111111111111111011111111111111111111111111111111111111111111* L025698 111111111111111111111111111111111111111111111111111111111111111111* L025764 111111111111111111111111111111111111111111111111111111111111111111* L025830 111111111111111111111111111111111111111111111111111111111111111111* L025896 111111111111111111111111111111111111111111111111111111111111111111* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111111111111111111111111111111111101111011111111111111111* -L026094 111111111111111111111111111111111111111111111111111111111111111111* -L026160 111111111111111111111111111111111111111111111111111111111111111111* -L026226 111111111111111111111111111111111111111111111111111111111111111111* -L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026028 011111111111111111111111111111110111111101011111111111111111111111* +L026094 011111111111111111111111111111111101111111111111111111111111111111* +L026160 000000000000000000000000000000000000000000000000000000000000000000* +L026226 000000000000000000000000000000000000000000000000000000000000000000* +L026292 000000000000000000000000000000000000000000000000000000000000000000* L026358 111111111111111111111111111111111111111111111111111111111111111111* L026424 111111111111111111111111111111111111111111111111111111111111111111* L026490 111111111111111111111111111111111111111111111111111111111111111111* @@ -569,46 +568,46 @@ L026688 L026820 0010* L026824 10100111010000* L026838 11100110011110* -L026852 11101111110100* -L026866 01100110011111* -L026880 10101111111000* -L026894 00101011111110* -L026908 00100110010100* -L026922 01100110010011* -L026936 01101111110010* -L026950 00100110010010* -L026964 00010110010001* +L026852 01100110010100* +L026866 00100110011111* +L026880 10101011111001* +L026894 00100011111111* +L026908 10100110010100* +L026922 11100011110010* +L026936 01111111110011* +L026950 10100110010011* +L026964 10100110010000* L026978 11100011110011* -L026992 01111011111010* -L027006 01000110011110* -L027020 01010110010000* +L026992 01111011111011* +L027006 01000110011111* +L027020 10100110010000* L027034 11101111110011* NOTE BLOCK 4 * L027048 - 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111110111111111110111111111111111 - 111111111111101111111111110101111111111111110111111111111111111111 - 111011111111111110111011111111111101111111011111111111111111111111 - 011111111110110111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111011111111101 - 111110111111111111111111111111111111111111111111111101111011110111 - 111111101011111111101111111111011111111111111110111111111101111111 - 111111111111111111111101011111111011101110111111111111111111111111* + 111111111111111111110111111111111111111111111111111111111111111111 + 110111111111111111111111111111111111110111111111111101111111111111 + 011111011101111111111111110111111111111111111111101111111111111111 + 111111111111111111111111111111111101111110011111111111101111111111 + 111111111111110111111111111111111111111111111111111111111111111111 + 111110111111111111111111011111111111011111111111111111111111111111 + 111111111111011111111111111111111111111111111111110111111011010111 + 111111111011111111111111111110011111111111111110111111111101111110 + 111111111111111110101101111111111011111111111111111111111111111111* L027642 - 110111111111011101101101111111111111111111111111111110101111111001* + 111111111111101111111101101111111111011101111111011111011111111010* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 111111111110111111111111111111111011111111111110111111110111111111* +L028038 101111111111111111111111111111111011111111111110111111110111111111* L028104 111111111111111111111111111111111111111111111101111111111011111111* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 011111111111111111111111111111111111111111111101111111111111111111* -L028434 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111101110111111111111111* +L028434 111101111111111111111111111111111111111111111111111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* L028632 111111111111111111111111111111111111111111111111111111111111111111* @@ -620,13 +619,13 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111101111101111111111111111111111111111111111111111* +L029160 111111111111111111111011111111111111111111111111111111111111111110* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* L029424 111111111111111111111111111111111111111111111111111111111111111111* -L029490 111111111011111011111111111010011110011001101011111011111101111111* -L029556 111111111101111111111111111111111111111111111111111111111111111111* +L029490 111011101010111001011111111011011110111011101111111111111101111111* +L029556 011111111111111111111111111111111111111111111111111111111111111111* L029622 000000000000000000000000000000000000000000000000000000000000000000* L029688 000000000000000000000000000000000000000000000000000000000000000000* L029754 000000000000000000000000000000000000000000000000000000000000000000* @@ -644,12 +643,12 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 111111111111111111110111111111111111111111111111111111111111111111* +L030612 111111111111111111111111111111111111111111111111111111111111011111* L030678 111111111111111111111111111111111111111111111111111111111111111111* L030744 111111111111111111111111111111111111111111111111111111111111111111* L030810 111111111111111111111111111111111111111111111111111111111111111111* L030876 111111111111111111111111111111111111111111111111111111111111111111* -L030942 111110111111111111111111111111111111111111111111111111111111111111* +L030942 111111111111111111111111111111111111111111111111111101111111111111* L031008 111111111111111111111111111111111111111111111111111111111111111111* L031074 111111111111111111111111111111111111111111111111111111111111111111* L031140 111111111111111111111111111111111111111111111111111111111111111111* @@ -667,13 +666,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111111011111111111111111111111111111111111111111111111111111111111* -L032064 111111111011111011111111111010011110011001101011111011111101111111* + 111111111111111111111111111101111111111111111111111111111111111111* +L032064 111011101010111001011111111011011110111011101111111111111101111111* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111101111111111111111111111111111111111111111111111111111111111111* +L032394 111110111111111111111111111111111111111111111111111111111111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -696,97 +695,97 @@ L033450 L033582 0010* L033586 00100011110000* L033600 10101111110011* -L033614 11011011110100* -L033628 11110011110010* -L033642 01111111111001* -L033656 10101011111111* +L033614 00010110010100* +L033628 11101111110010* +L033642 01111011111000* +L033656 10100011111111* L033670 11011111110000* -L033684 11111011111111* -L033698 00110110010000* -L033712 00000110011110* -L033726 11011111110001* -L033740 11110011111110* +L033684 11111011111110* +L033698 00110110010001* +L033712 00000110011111* +L033726 11011111110000* +L033740 11110011111111* L033754 00111011110000* L033768 00000110011110* L033782 11010111111101* L033796 11111111111110* NOTE BLOCK 5 * L033810 - 011111111111111111111011111111101110111111111111111111111111111111 - 111111111101111111111111111111111111111111111111111111111111111110 - 111110111111100111101111111111111111111111111111111111111111111111 - 111111111111111110111111101110111111011111111111111111111101111111 - 111111111111111111111111111111111111111111110111111111111111101011 - 111111111111111111111111111111111111111011111111111111011111111111 - 111111110111111111111101111111111111111111111111110111111111111111 - 111111111111111111111111111011110111111110101111111111111111111111 - 111011011111111111111111111111111111111111111111101111111111111111* + 111111111111111111111111111111111110111111111111111111111111111111 + 111111111111111111111111111111111111101111111111111111111111111111 + 011110111111110111111111111111111111111111111111101111101111111111 + 111111111101111110111111111101011111111110111111111111111010111111 + 111111111111111111111011111111111111111111110111111011111111111111 + 111111111111011111011111111111111111111011111111111111111111111111 + 111111010111111111111101111111111011111111111111111111111111111111 + 111111111111111111111111111011111111111111111110111111111111111111 + 111011111111111111111111011111111111111111101111111111111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 111111111110111111111111111111111111111111111111011111111111111111* -L034536 000000000000000000000000000000000000000000000000000000000000000000* -L034602 000000000000000000000000000000000000000000000000000000000000000000* -L034668 000000000000000000000000000000000000000000000000000000000000000000* -L034734 000000000000000000000000000000000000000000000000000000000000000000* -L034800 111111111111111111111111111101111101111111111111011111111111111111* -L034866 111111111111111111111111111111111101111111111111011111111111011111* -L034932 110111111111111111111111111111111111111111111111011111111110011111* -L034998 000000000000000000000000000000000000000000000000000000000000000000* -L035064 000000000000000000000000000000000000000000000000000000000000000000* +L034470 111111111111111111111111111111111111111111101111111111111111111111* +L034536 111111111111111111111111111101111111111111111111111111011111111111* +L034602 101110111111111011111011111111111110111111111011111111101110111111* +L034668 111110111111111011111011111111111110011111111011111111101110111111* +L034734 111110111111111011111011111111111010111111111011111111101110111111* +L034800 111110011111111011111011111111111110111111111011111111101110111111* +L034866 111001111111111111111111101111111111111111111111111111111111111111* +L034932 111011111111110111101111111111111111111111111111111111111111111111* +L034998 111011111111111111111111111111111111111111111111111111011111111111* +L035064 111011111111111111111111111110111111111111111111111111111101111111* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 111111111111111111110111111111111111111111111111111111111111111111* -L035262 111111111111111111111111111111111111111111111111111111111111111111* -L035328 111111111111111111111111111111111111111111111111111111111111111111* -L035394 111111111111111111111111111111111111111111111111111111111111111111* -L035460 111111111111111111111111111111111111111111111111111111111111111111* -L035526 111111111111111111111111111111111111111111111111111111111111111111* -L035592 111111111111111111111111111111111111111111111111111111111111111111* -L035658 111111111111111111111111111111111111111111111111111111111111111111* -L035724 111111111111111111111111111111111111111111111111111111111111111111* -L035790 111111111111111111111111111111111111111111111111111111111111111111* +L035196 111111111101111111111111111111111111111111111111111111111111111111* +L035262 111011111111111111110111101111111111111111111111111111111111111111* +L035328 111011111111111111111111111110111101111111111111111111111111111111* +L035394 111011111111111111111111111110111111111111110111111111111111111111* +L035460 111011111111110111111111111111011111111111111111111111111111111111* +L035526 111111111111111111111011111101111111111111010111111111111111111111* +L035592 110111111111111111110111101111111111111111011111111111111111111111* +L035658 110111111111111111110111111111111111111111010111111111111111111111* +L035724 000000000000000000000000000000000000000000000000000000000000000000* +L035790 000000000000000000000000000000000000000000000000000000000000000000* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 111111111111111111111111111111111111111111011111111111111111111111* +L035922 111111111111111111111111111111111111111111111111111111111111111111* L035988 111111111111111111111111111111111111111111111111111111111111111111* L036054 111111111111111111111111111111111111111111111111111111111111111111* L036120 111111111111111111111111111111111111111111111111111111111111111111* L036186 111111111111111111111111111111111111111111111111111111111111111111* -L036252 111110111111111111111111111111101111101111111111010111111111110111* -L036318 111110111111111111011111111111111111111111111111011111111111111111* -L036384 110111111111111111011111111111111111111111111111011111111110111111* +L036252 111111111111111111110111011111111111111111011111111111101111111111* +L036318 110111111111111111111111111110111111111111011111111111011111111111* +L036384 000000000000000000000000000000000000000000000000000000000000000000* L036450 000000000000000000000000000000000000000000000000000000000000000000* L036516 000000000000000000000000000000000000000000000000000000000000000000* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111111111111111111111111111111111111101111111111110111111111111111* -L036714 111111111111111111111111111111111111111111111111111111111111111111* -L036780 111111111111111111111111111111111111111111111111111111111111111111* -L036846 111111111111111111111111111111111111111111111111111111111111111111* -L036912 111111111111111111111111111111111111111111111111111111111111111111* -L036978 111111111111111111111111111111111111111111111111101111111111111111* -L037044 111111111111111111111111111111111111111111111111111111111101111101* -L037110 101111111111111011101111111111111110111111111011111111111111101010* -L037176 101111111111111011101111111111011110111111111011111111111111101110* -L037242 101111111111111011101111111111111110111111111011111011111111101110* +L036648 111111011111111111111111111111111011111111111111111111111111111111* +L036714 011111101111111111111111111111110111101111011111111011111111111111* +L036780 111111111111111111111111111111111111111111011111111011111101111111* +L036846 110111111111111111111111111110111111111111011111111111111101111111* +L036912 000000000000000000000000000000000000000000000000000000000000000000* +L036978 111111111111111111111111111111111111111111111111111111111111111111* +L037044 111111111111111111111111111111111111111111111111111111111111111111* +L037110 111111111111111111111111111111111111111111111111111111111111111111* +L037176 111111111111111111111111111111111111111111111111111111111111111111* +L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 101111111111111011101111111111111110011111111011111111111111101110* -L037440 111011111111111111111111111110111101111111111111111111111111111111* -L037506 111011111111111111111111111111111111111111111111111111111111111101* -L037572 111011111111111111011111111111111111111111111111111111111110111111* -L037638 111011011111110111111111111111111111111111111111111111111111111111* -L037704 111111111111111111111111111101111111111111110111011111111111111110* -L037770 110111111111111111111111111111111111111111111111011111111110111101* -L037836 000000000000000000000000000000000000000000000000000000000000000000* -L037902 000000000000000000000000000000000000000000000000000000000000000000* -L037968 000000000000000000000000000000000000000000000000000000000000000000* +L037374 111110111111111111111111111101111111111111011111111111111101111111* +L037440 110101111111111111111111101111111111111111011111111111111111111111* +L037506 110101111111111111111111111111111111111111011111111111111101111111* +L037572 000000000000000000000000000000000000000000000000000000000000000000* +L037638 000000000000000000000000000000000000000000000000000000000000000000* +L037704 110111111111111111111111111011111111101111011111111111111111111111* +L037770 010111111111111111111111111011111111110110011101111011111111111111* +L037836 010111111111011111111111111011111111110111011101111011111111111111* +L037902 010111110111111111111111111011111111110111011101111011111111111111* +L037968 010111111111111110111111111011111111110111011101111011111111111111* L038034 000000000000000000000000000000000000000000000000000000000000000000* -L038100 111111111111111111111111111111110111111111111111111111111111111111* -L038166 111011111111111111111111111110111111111111110111111111111111111111* -L038232 111011111111111111111111111111111111111111111111111111111110011111* -L038298 011011111111111111111111111111111111111111111111111111111110111111* +L038100 010111111111111111111101111011111111110111011101111011111111111111* +L038166 010111111111111111111111111011111111110111011101101011111111111111* +L038232 000000000000000000000000000000000000000000000000000000000000000000* +L038298 000000000000000000000000000000000000000000000000000000000000000000* L038364 000000000000000000000000000000000000000000000000000000000000000000* L038430 111111111111111111111111111111111111111111111111111111111111111111* L038496 111111111111111111111111111111111111111111111111111111111111111111* @@ -795,23 +794,23 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 111111111111111111011111111111111110111111111111011111111101111111* -L038892 110111111111111111111111111110111101111111111111011111111111111111* -L038958 110111111111111111011111111111111101111111111111011111111111111111* -L039024 000000000000000000000000000000000000000000000000000000000000000000* -L039090 000000000000000000000000000000000000000000000000000000000000000000* -L039156 110111111111111111111111111011101111111111111111011111111111111111* -L039222 110110111111111111111111101011111111110101111111011111111111110111* -L039288 110110111111111111111111111011111111110101111111011111011111110111* -L039354 110110110111111111111111111011111111110101111111011111111111110111* -L039420 110110111111111110111111111011111111110101111111011111111111110111* +L038826 111111111111111111111111111111111111111111111111111111111111111111* +L038892 111111111111111111111111111111111111111111111111111111111111111111* +L038958 111111111111111111111111111111111111111111111111111111111111111111* +L039024 111111111111111111111111111111111111111111111111111111111111111111* +L039090 111111111111111111111111111111111111111111111111111111111111111111* +L039156 111111111111111111111111111111111111111111111111111111110111111111* +L039222 111101111111111111111111011111111111111111011111111111111111111111* +L039288 111101111111111111111111111111111101111111011111111111111111111111* +L039354 110111111111111111111111111110111101111111011111111111111111111111* +L039420 000000000000000000000000000000000000000000000000000000000000000000* L039486 000000000000000000000000000000000000000000000000000000000000000000* -L039552 110110111111111111111101111011111111110101111111011111111111110111* -L039618 110110111111101111111111111011111111110101111111011111111111110111* -L039684 000000000000000000000000000000000000000000000000000000000000000000* -L039750 000000000000000000000000000000000000000000000000000000000000000000* -L039816 000000000000000000000000000000000000000000000000000000000000000000* +L039552 111111111111111111111111111111111111111111111111111111111111111111* +L039618 111111111111111111111111111111111111111111111111111111111111111111* +L039684 111111111111111111111111111111111111111111111111111111111111111111* +L039750 111111111111111111111111111111111111111111111111111111111111111111* +L039816 111111111111111111111111111111111111111111111111111111111111111111* L039882 111111111111111111111111111111111111111111111111111111111111111111* L039948 111111111111111111111111111111111111111111111111111111111111111111* L040014 111111111111111111111111111111111111111111111111111111111111111111* @@ -821,37 +820,37 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L040344 0010* -L040348 01100110011110* -L040362 10100110010010* -L040376 00010110011110* -L040390 11100011110011* -L040404 00110110011111* +L040348 11100110011110* +L040362 10110110010010* +L040376 00000110011110* +L040390 11000011110011* +L040404 10110110011111* L040418 10100110010011* -L040432 00010110011110* -L040446 11011111110011* -L040460 11100110011111* -L040474 10100110010011* -L040488 00000110011110* -L040502 11101011111110* -L040516 10100110011110* -L040530 11100110011111* -L040544 11111111111110* -L040558 11110011111110* +L040432 00000110011110* +L040446 11101111110011* +L040460 10100110011111* +L040474 11100110010011* +L040488 11111011111110* +L040502 11111111111110* +L040516 10110110011110* +L040530 00110110011111* +L040544 11010011111110* +L040558 11111011111110* NOTE BLOCK 6 * L040572 - 111111111111111111111111111111011111111111111111111011111111111111 - 111101111110111111111111111110111111111111111111111111101111111111 - 111011111111111111101011101111111111101111111110111111111111101111 - 111111111011110111111110111111111111111111111011111111111111111111 - 111111101111111111111111111111111110111111111111111101111111111011 - 111111111111111111111111111111111111111010111111111111111111111111 - 111111111111101111111111110111111011111111111111011111111111111111 - 101111111111111101111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111101111111111111111111111* + 111111110111111111101111111111111111111111111111111111111111111111 + 111111111111111111111111101111111111111111111011111111111111111111 + 111011111110111111111111111011111111101111111111111111110111111111 + 111110101111111111110111111110111111111111111110111111111111111101 + 111111111111111111111110111111111110111111111111111111111111111111 + 111111111111111011111111111111011111111111111111111111111111111111 + 111111111111101111111111111111111111111111111111010111111111111111 + 111111111111111101111111111111111111111110111111111111111111111111 + 101111111111111111111111111111110111111111111111111111111111111111* L041166 000000000000000000000000000000000000000000000000000000000000000000* -L041232 011111111111111111111111111111111110110111011111111111111111111111* -L041298 101111111111101111111111111111111111111111011111111111111111111111* +L041232 011111111111110111111111111111111110111101111111111111111111111111* +L041298 011111111111101111111111111111111111111110111111111111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* @@ -862,33 +861,33 @@ L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111111111111111111111111111101111111111111111111111* -L042024 011111110111111111111111111111111111110111111111111111111111111111* -L042090 101111111111111110111111111111111111111111111111101111111111111111* +L041958 101111111111111111111111111111111111111111111111111111111111111111* +L042024 111111111111110111111111111111111111111101111101111111111111111111* +L042090 111111111111111110111111111111111111111110111111101111111111111111* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* -L042288 111111111111111111111111011111111111111111111111111111111111111111* +L042288 111111111111111111110111111111111111111111111111111111111111111111* L042354 000000000000000000000000000000000000000000000000000000000000000000* L042420 000000000000000000000000000000000000000000000000000000000000000000* L042486 000000000000000000000000000000000000000000000000000000000000000000* L042552 000000000000000000000000000000000000000000000000000000000000000000* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111111111111111011111101111111111111111111111111111111111111111111* -L042750 111111111111111111111101111111111111111111111011111111111111111111* -L042816 111111111111110111111111111111100111111111110111111111111111111111* -L042882 111111111111111011111111111111100111111111111011111111111111111111* -L042948 111111111111111111111101111111111011111111111111111111111111111111* -L043014 111111111111110111111101111111111111111111111011111111111111111111* -L043080 111111111111111011111110111111011111111111111011111111111111111111* -L043146 111111111111110111111110111111010111111111110111111111111111111111* -L043212 111111111111110111111101111111100111111111111111111111111111111111* -L043278 111111111111111111111111111111111011111111111011111111111111111111* +L042684 111101111111111111111111101111111111111111111111111111111111111111* +L042750 111101101111111111111111111111111111111111111111111111111111111111* +L042816 111111011011111111111111011111011111111111111111111111111111111111* +L042882 111111101011111111111111101111011111111111111111111111111111111111* +L042948 111101111111111111111111111111101111111111111111111111111111111111* +L043014 111101101111111111111111011111111111111111111111111111111111111111* +L043080 111110100111111111111111101111111111111111111111111111111111111111* +L043146 111110010111111111111111011111011111111111111111111111111111111111* +L043212 111101111011111111111111011111011111111111111111111111111111111111* +L043278 111111101111111111111111111111101111111111111111111111111111111111* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 011111111111111111111111111111111111111011011111111111111111111111* -L043476 011111111111111111111111111111111111111111011110111111111111111111* -L043542 101111111111111110111111111111111111111111011111101111111111111111* +L043410 011111111111111011111111111111111111111101111111111111111111111111* +L043476 011111111110111111111111111111111111111101111111111111111111111111* +L043542 011111111111111110111111111111111111111110111111101111111111111111* L043608 000000000000000000000000000000000000000000000000000000000000000000* L043674 000000000000000000000000000000000000000000000000000000000000000000* L043740 110111111111111111111111111111111111111111111111111111111111111111* @@ -897,44 +896,44 @@ L043872 000000000000000000000000000000000000000000000000000000000000000000* L043938 000000000000000000000000000000000000000000000000000000000000000000* L044004 000000000000000000000000000000000000000000000000000000000000000000* L044070 - 101111111111111111111111111111111111111111111111111101111111111011* -L044136 111111111111111111111111111111111111111111101111111111111111111111* -L044202 011111111111111111110111111111111111110111111111111111111111111111* -L044268 101111111111111101111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111110111111110111111011111111* +L044136 101111111111111111111111111111111111111111111111111111111111111111* +L044202 111111111111110111111111110111111111111101111111111111111111111111* +L044268 111111111111111101111111111111111111111110111111111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 111111111111111111111111111111111111111111101111111111111111111111* -L044532 111111111111111111111111110111111111111111111111111111011111111111* -L044598 111111111111111111101111111111111111111111111111111111011111111111* -L044664 111111111111111111011111111001111111101110111111111111111111111111* +L044466 111111111111111111111111101111011111111111111111111111111111111111* +L044532 111111111111111111111111011111101111111111111111111111111111111111* +L044598 000000000000000000000000000000000000000000000000000000000000000000* +L044664 000000000000000000000000000000000000000000000000000000000000000000* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 - 101111111111111111111111111111111111111111111111111111111111111011* -L044862 101111101111111111111111111111111111111111011111111111111111111111* -L044928 011111111110111111111111111111111111110111011111111111111111111111* + 111111111111111111111111111111111111111110111111111111111011111111* +L044862 011111111111111111111110111111111111111110111111111111111111111111* +L044928 011111111111110111111111111111111111111101111011111111111111111111* L044994 000000000000000000000000000000000000000000000000000000000000000000* L045060 000000000000000000000000000000000000000000000000000000000000000000* L045126 000000000000000000000000000000000000000000000000000000000000000000* -L045192 111111111111111111111111111111111111111111011111111111111111101111* -L045258 000000000000000000000000000000000000000000000000000000000000000000* -L045324 000000000000000000000000000000000000000000000000000000000000000000* -L045390 000000000000000000000000000000000000000000000000000000000000000000* -L045456 000000000000000000000000000000000000000000000000000000000000000000* +L045192 111111111111111111111111111111111111111111111111111111111111111111* +L045258 111111111111111111111111111111111111111111111111111111111111111111* +L045324 111111111111111111111111111111111111111111111111111111111111111111* +L045390 111111111111111111111111111111111111111111111111111111111111111111* +L045456 111111111111111111111111111111111111111111111111111111111111111111* L045522 - 101111111111111111111111111111111111111111111111111101111111111111* -L045588 111111111011111111111111111111111111111111111101111111111111111111* -L045654 000000000000000000000000000000000000000000000000000000000000000000* -L045720 000000000000000000000000000000000000000000000000000000000000000000* -L045786 000000000000000000000000000000000000000000000000000000000000000000* -L045852 000000000000000000000000000000000000000000000000000000000000000000* -L045918 111111111111111111111111110111111111111111011111111011111111111111* -L045984 111111111111111111101111111111111111111111011111111011111111111111* -L046050 111111111111111111011111111011111111101111011111111111111111111111* + 111111111111111111111111111111111111111110111111110111111111111111* +L045588 111111111101111111111111111111111111111111111110111111111111111111* +L045654 111111111111111111111111111111111111111111111111111111111111111111* +L045720 111111111111111111111111111111111111111111111111111111111111111111* +L045786 111111111111111111111111111111111111111111111111111111111111111111* +L045852 111111111111111111111111111111111111111111111111111111111111111111* +L045918 011111111111111111101111111111110111111111111111111111111111111111* +L045984 011111111111111111101111111110111111111111111111111111111111111111* +L046050 011111111111111111111111111101111011101111111111111111111111111111* L046116 000000000000000000000000000000000000000000000000000000000000000000* L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* -L046314 111101111111111111111111111111111111111111111111111111111111111111* +L046314 111111111111111111111111111111111111111111111111111111111111111101* L046380 111111111111111111111111111111111111111111111111111111111111111111* L046446 111111111111111111111111111111111111111111111111111111111111111111* L046512 111111111111111111111111111111111111111111111111111111111111111111* @@ -959,37 +958,37 @@ L047208 00100110010011* L047222 10100110010001* L047236 10100110010011* L047250 11100110010100* -L047264 01100110010010* -L047278 00100011110010* +L047264 11100011110010* +L047278 00111011110010* L047292 11100110010011* L047306 00010110010001* -L047320 11101011111111* +L047320 11101111111111* NOTE BLOCK 7 * L047334 - 111111111111111111111111111111111110111110111111111111111111111111 - 111111111111111111111110111111111111111111111111111111111111111110 - 111110111111111111111111111111111111111111111111101111111110111111 - 111111111111111110111111101101101111111111111111111111111111111011 - 111111111111111111111111111111111111111111110111111001111111111111 - 111111111111111111111111111111111111011111111110111111011111111111 - 111111010110011111111111111111111111111111111111111111111111111111 - 101111111111111111101111111011111111111111111111111111111111111111 - 111011111111111111111111111111111011110111101111111111111011101111* + 111111111111111111111111111111111111111110111111111111111111111111 + 111111111111111111111111111111111111111111111111111011111111111111 + 111110111110111111101111111111111111111111111111101111110111111111 + 111111111011111111111111101011111111111111111111111111111101111111 + 111111011111111111111011111111111111111111111111111111111111111011 + 111111111111011111111111111111111111111111111111111111111111111101 + 111111111111110111111110111111111101111111111111111101111111111111 + 101111111111111111111111111111111111011011111111111111101111111111 + 111011111111111101111111111110101011111111101110111111111111111111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 111101111111111111111111111110111110111111011111111111111111101111* -L048060 111101111111111111111111111111111110111111011111111111111111101110* -L048126 111111111111111111111110111111111101111111011111111111111111111111* +L047994 111110111111111111111111111111111111111111011110111111111110110111* +L048060 111110111111111111101111111111111111111111011110111111111111110111* +L048126 111101111111111111111111111111111111111111011111111011111111111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111011101101101111011111111111011011111111011111101111111111* +L048324 111111111111101110111111010111111110111011111111011110111111111101* L048390 000000000000000000000000000000000000000000000000000000000000000000* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111111011111111111111111111111111111111111* +L048720 111111011111111111111111111111111111111111111111111111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -1002,18 +1001,18 @@ L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* L049446 111111111111111111111111111111111111111111101111111111111111111111* -L049512 011111111111111111111111111111111111011111111111111111111111111111* -L049578 111111111111111111111111111101110111011111111111111111111111111111* +L049512 011111111111111111111111111111111111111111111111111111111111111101* +L049578 111111111111111111111111111111110111111111111111111111111101111101* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 111111111111111111101111111111111111111111011111111111111111111111* +L049776 111111111111111111111111111111111111111011011111111111111111111111* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 101111111111111111111111111111111111111111111111111001111111111111* -L050172 111111111111111111111111111111111111111111111111111111110111111111* + 101111111111110111111111111111111111111111111111111111111011111111* +L050172 111111111111111111111111111101111111111111111111111111111111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1024,19 +1023,19 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111111111111111111111111111111111111111111110111111111111111* -L050898 111111101111111111111111111111111011111111111111111111111111111111* + 111111111111111111111111111111111111111111111111111111110111111111* +L050898 111111111111111111111111111111111011101111111111111111111111111111* L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 111111111111111111111111111111011111111110010111111111111111111111* -L051294 111111111111111111111111111111011111111111010101111111111111111111* -L051360 111111111111111111111111111111111111111111010111111111110111111111* -L051426 110111111110111111111111111011111111111111011111111111111111111111* +L051228 111111011111111111110111111111111111111110011111111111111111111111* +L051294 111111011111111111110111111111011111111111011111111111111111111111* +L051360 111111111111111111110111111101111111111111011111111111111111111111* +L051426 110111111111111111111110111111111111111111011111111111101111111111* L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 - 011111111111111111111111111111111111111111111111111101111111111111* + 011111111111110111111111111111111111111111111111111111111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1048,8 +1047,8 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 101111111111111111111111111111111111111111111111111011111111111111* -L052350 111111111111111111111111111111111111111111111111111111111110110111* + 101111111111111111111111111111111111111111111111111111111011111111* +L052350 111111110110111111111111111111111111111111111111111111111111111111* L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* @@ -1110,6 +1109,6 @@ E1 10000010 1 * -C961A* +C09E8* U00000000000000000000000000000000* -179E +FCCE diff --git a/Logic/68030_tk.b2_ b/Logic/68030_tk.b2_ deleted file mode 100644 index 4ef6a67..0000000 --- a/Logic/68030_tk.b2_ +++ /dev/null @@ -1 +0,0 @@ - -collapse all -pterms 16 -nmax 32 -clust 5 -reduce bypin choose -xorsyn -dev M4A5_clk diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 deleted file mode 100644 index cc4b3be..0000000 --- a/Logic/68030_tk.bl2 +++ /dev/null @@ -1,2456 +0,0 @@ -#$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Sep 24 16:20:59 2015 -#$ MODULE 68030_tk -#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ -# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 \ -# A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ \ -# BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT \ -# IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST \ -# RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ -#$ NODES 695 N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n \ -# N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n N_35_0 \ -# as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 \ -# ds_000_enable_0_un1_n inst_BGACK_030_INTreg N_256 N_13_i ds_000_enable_0_un0_n \ -# vcc_n_n N_89 N_42_0 a0_dma_0_un3_n cpu_est_3_reg N_91 N_12_i a0_dma_0_un1_n \ -# inst_VMA_INTreg N_92 N_43_0 a0_dma_0_un0_n inst_RESET_OUTreg pos_clk_a0_dma_3_n \ -# N_8_i size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ -# un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 \ -# size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n un4_size N_104 \ -# LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ -# pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ -# un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ -# cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 cpu_est_0_1__un1_n \ -# un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ -# pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ -# pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 \ -# pos_clk_un24_bgack_030_int_i_0_x2 N_96_i cpu_est_0_2__un0_n cpu_est_0_ \ -# DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n cpu_est_1_ un22_berr_1 N_89_i \ -# cpu_est_0_3__un1_n inst_AS_000_INT N_8 pos_clk_size_dma_6_0_0__n \ -# cpu_est_0_3__un0_n SM_AMIGA_5_ N_12 N_88_i ipl_030_0_0__un3_n \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n \ -# inst_AS_030_D0 N_16 N_138_0 ipl_030_0_0__un0_n inst_nEXP_SPACE_D0reg N_20 \ -# un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n inst_DS_030_D0 N_21 ipl_030_0_1__un1_n \ -# inst_AS_030_000_SYNC N_11 N_157_i ipl_030_0_1__un0_n inst_BGACK_030_INT_D \ -# un1_amiga_bus_enable_low_i N_158_i ipl_030_0_2__un3_n inst_AS_000_DMA \ -# un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n inst_DS_000_DMA BGACK_030_INT_i \ -# ipl_030_0_2__un0_n CYCLE_DMA_0_ AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 \ -# dsack1_int_0_un3_n CYCLE_DMA_1_ AS_030_i un1_as_000_i dsack1_int_0_un1_n \ -# SIZE_DMA_0_ AS_000_INT_i N_93_i dsack1_int_0_un0_n SIZE_DMA_1_ N_105_i \ -# as_000_int_0_un3_n inst_VPA_D N_91_i N_87_i as_000_int_0_un1_n inst_UDS_000_INT \ -# N_92_i N_86_i as_000_int_0_un0_n inst_LDS_000_INT a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ -# lds_000_int_0_un3_n inst_CLK_OUT_PRE_D a_i_18__n un1_rst_dly_i_m_i_2__n \ -# lds_000_int_0_un1_n inst_DTACK_D0 a_i_16__n lds_000_int_0_un0_n \ -# inst_CLK_OUT_PRE_50 size_dma_i_1__n un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n \ -# inst_CLK_000_D1 size_dma_i_0__n rw_000_int_0_un1_n inst_CLK_000_D0 FPU_SENSE_i \ -# un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n inst_CLK_000_PE CLK_000_D1_i \ -# uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_000_D0_i un1_rst_dly_i_m_i_5__n \ -# uds_000_int_0_un1_n inst_CLK_000_NE AS_030_000_SYNC_i uds_000_int_0_un0_n \ -# CLK_000_N_SYNC_11_ AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n cpu_est_2_ \ -# sm_amiga_i_i_7__n vma_int_0_un1_n IPL_D0_0_ AS_000_i un1_rst_dly_i_m_i_7__n \ -# vma_int_0_un0_n IPL_D0_1_ CLK_000_PE_i bg_000_0_un3_n IPL_D0_2_ nEXP_SPACE_D0_i \ -# un1_rst_dly_i_m_i_8__n bg_000_0_un1_n SM_AMIGA_3_ A1_i bg_000_0_un0_n \ -# inst_CLK_000_NE_D0 sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n \ -# pos_clk_un6_bg_030_n BERR_i un1_as_030_i ds_000_dma_0_un1_n SM_AMIGA_0_ \ -# sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ -# inst_AMIGA_BUS_ENABLE_DMA_HIGH RESET_OUT_0_sqmuxa_i \ -# pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n inst_DSACK1_INTreg \ -# un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n RESET_OUT_i \ -# CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n RW_000_i N_244_0 \ -# a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i pos_clk_un3_ds_030_d0_n \ -# un1_rst_dly_i_3__n N_115_0 a_14__n SM_AMIGA_6_ un1_rst_dly_i_4__n N_84_i RST_DLY_0_ \ -# un1_rst_dly_i_5__n N_245_0 a_13__n RST_DLY_1_ un1_rst_dly_i_6__n N_85_i RST_DLY_2_ \ -# un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n RST_DLY_3_ un1_rst_dly_i_8__n \ -# N_94_i RST_DLY_4_ CLK_OUT_PRE_D_i a_11__n RST_DLY_5_ \ -# pos_clk_un11_clk_000_n_sync_i_n RST_DLY_6_ CLK_030_H_i a_10__n RST_DLY_7_ \ -# AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n CLK_000_P_SYNC_0_ VPA_D_i \ -# N_160_i CLK_000_P_SYNC_1_ cpu_est_i_0__n N_161_i a_8__n CLK_000_P_SYNC_2_ \ -# cpu_est_i_1__n CLK_000_P_SYNC_3_ cpu_est_i_3__n N_155_i a_7__n CLK_000_P_SYNC_4_ \ -# a_i_24__n N_156_i CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_d0_i_n a_6__n \ -# CLK_000_P_SYNC_6_ sm_amiga_i_0__n N_154_i CLK_000_P_SYNC_7_ sm_amiga_i_3__n N_152_i \ -# a_5__n CLK_000_P_SYNC_8_ CLK_000_NE_i N_153_i CLK_000_N_SYNC_0_ cpu_est_i_2__n \ -# a_4__n CLK_000_N_SYNC_1_ sm_amiga_i_1__n N_150_i CLK_000_N_SYNC_2_ sm_amiga_i_4__n \ -# N_151_i a_3__n CLK_000_N_SYNC_3_ sm_amiga_i_2__n CLK_000_N_SYNC_4_ \ -# pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n CLK_000_N_SYNC_5_ \ -# DSACK1_INT_0_sqmuxa_i N_147_i CLK_000_N_SYNC_6_ RW_i N_148_i CLK_000_N_SYNC_7_ \ -# un5_ciin_i CLK_000_N_SYNC_8_ DTACK_D0_i N_164_i CLK_000_N_SYNC_9_ a_i_31__n N_165_i \ -# CLK_000_N_SYNC_10_ a_i_29__n N_163_i inst_RW_000_INT a_i_30__n N_199_i \ -# inst_RW_000_DMA a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ -# a_i_28__n N_197_i inst_A0_DMA a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n \ -# N_194_i inst_CLK_030_H UDS_000_INT_i pos_clk_cpu_est_11_0_1__n SM_AMIGA_1_ \ -# LDS_000_INT_i N_192_i SM_AMIGA_4_ DS_030_i N_135_i SM_AMIGA_2_ N_224_i N_162_i \ -# inst_DS_000_ENABLE N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ -# DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ -# DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 \ -# un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i \ -# N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 N_19 AS_030_c N_166_i N_22 \ -# N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 RW_000_c N_132_i cpu_est_0_0_ N_146_i \ -# DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n \ -# LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n pos_clk_un23_clk_000_ne_d0_0_n \ -# size_c_0__n pos_clk_un5_clk_000_pe_i_n pos_clk_un9_clk_000_ne_i_n size_c_1__n \ -# pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i \ -# N_32_0 N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n \ -# N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i SM_AMIGA_i_7_ N_55_0 N_115 N_50_0 \ -# pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i G_165 N_48_0 \ -# G_166 N_9_i G_167 N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ -# a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n N_40_0 N_90 \ -# N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i pos_clk_un11_clk_000_n_sync_n a_c_20__n \ -# N_36_0 pos_clk_CYCLE_DMA_5_1_i_x2 N_22_i pos_clk_CYCLE_DMA_5_0_i_x2 a_c_21__n \ -# N_33_0 N_135 BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 \ -# pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ -# pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ -# pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ -# DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ -# a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ -# pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 \ -# pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 pos_clk_un14_clk_000_n_sync_n \ -# N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 \ -# N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 \ -# N_140 A1_c N_163_1 N_151 N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c \ -# un5_ciin_1 N_155 un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 \ -# BG_000DFFreg un5_ciin_5 N_142 un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 \ -# N_166 un5_ciin_9 N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n \ -# N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ -# pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ -# pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ -# pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ -# IPL_030DFF_0_reg pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ -# IPL_030DFF_1_reg RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 \ -# IPL_030DFF_2_reg RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 \ -# pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n \ -# pos_clk_un24_bgack_030_int_i_0_0_2_n G_149 N_72_0_1 G_147 ipl_c_2__n \ -# pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 G_145 un22_berr_1_0 N_211 DTACK_c \ -# N_116_1 G_143 N_116_2 N_209 N_116_3 G_141 N_116_4 G_139 VPA_c pos_clk_un6_bg_030_1_n \ -# N_205 N_115_0_1 G_137 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 \ -# RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 \ -# RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n \ -# un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n \ -# un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n \ -# fc_c_1__n amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ -# amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ -# amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ -# rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ -# bgack_030_int_0_un3_n G_135 bgack_030_int_0_un1_n -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ -BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ -DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ -A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ -A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ -A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ -SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF \ -LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_159.BLIF N_21_i.BLIF \ -bgack_030_int_0_un0_n.BLIF N_157.BLIF N_34_0.BLIF as_030_000_sync_0_un3_n.BLIF \ -N_158.BLIF N_20_i.BLIF as_030_000_sync_0_un1_n.BLIF un1_rst_dly_i_m_1__n.BLIF \ -N_35_0.BLIF as_030_000_sync_0_un0_n.BLIF N_138.BLIF N_16_i.BLIF \ -ds_000_enable_0_un3_n.BLIF N_88.BLIF N_39_0.BLIF ds_000_enable_0_un1_n.BLIF \ -inst_BGACK_030_INTreg.BLIF N_256.BLIF N_13_i.BLIF ds_000_enable_0_un0_n.BLIF \ -vcc_n_n.BLIF N_89.BLIF N_42_0.BLIF a0_dma_0_un3_n.BLIF cpu_est_3_reg.BLIF \ -N_91.BLIF N_12_i.BLIF a0_dma_0_un1_n.BLIF inst_VMA_INTreg.BLIF N_92.BLIF \ -N_43_0.BLIF a0_dma_0_un0_n.BLIF inst_RESET_OUTreg.BLIF pos_clk_a0_dma_3_n.BLIF \ -N_8_i.BLIF size_dma_0_1__un3_n.BLIF gnd_n_n.BLIF N_96.BLIF N_46_0.BLIF \ -size_dma_0_1__un1_n.BLIF un1_amiga_bus_enable_low.BLIF N_72.BLIF N_76_i.BLIF \ -size_dma_0_1__un0_n.BLIF un6_as_030.BLIF N_97.BLIF N_72_0.BLIF \ -size_dma_0_0__un3_n.BLIF un3_size.BLIF N_116.BLIF UDS_000_c_i.BLIF \ -size_dma_0_0__un1_n.BLIF un4_size.BLIF N_104.BLIF LDS_000_c_i.BLIF \ -size_dma_0_0__un0_n.BLIF un5_ciin.BLIF N_76.BLIF N_256_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un8_ciin.BLIF \ -pos_clk_size_dma_6_1__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un4_as_000.BLIF \ -pos_clk_size_dma_6_0__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF un1_SM_AMIGA_7.BLIF \ -N_250.BLIF N_104_i.BLIF cpu_est_0_1__un3_n.BLIF un21_fpu_cs.BLIF \ -pos_clk_un6_bgack_000_n.BLIF N_252_0.BLIF cpu_est_0_1__un1_n.BLIF \ -un22_berr.BLIF N_251.BLIF N_251_0.BLIF cpu_est_0_1__un0_n.BLIF un6_ds_030.BLIF \ -N_252.BLIF pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_2__un3_n.BLIF \ -un6_uds_000.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_97_i.BLIF \ -cpu_est_0_2__un1_n.BLIF un6_lds_000.BLIF \ -pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_96_i.BLIF cpu_est_0_2__un0_n.BLIF \ -cpu_est_0_.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_250_0.BLIF \ -cpu_est_0_3__un3_n.BLIF cpu_est_1_.BLIF un22_berr_1.BLIF N_89_i.BLIF \ -cpu_est_0_3__un1_n.BLIF inst_AS_000_INT.BLIF N_8.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF cpu_est_0_3__un0_n.BLIF SM_AMIGA_5_.BLIF \ -N_12.BLIF N_88_i.BLIF ipl_030_0_0__un3_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_13.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -ipl_030_0_0__un1_n.BLIF inst_AS_030_D0.BLIF N_16.BLIF N_138_0.BLIF \ -ipl_030_0_0__un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_20.BLIF \ -un1_rst_dly_i_m_i_1__n.BLIF ipl_030_0_1__un3_n.BLIF inst_DS_030_D0.BLIF \ -N_21.BLIF ipl_030_0_1__un1_n.BLIF inst_AS_030_000_SYNC.BLIF N_11.BLIF \ -N_157_i.BLIF ipl_030_0_1__un0_n.BLIF inst_BGACK_030_INT_D.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_158_i.BLIF ipl_030_0_2__un3_n.BLIF \ -inst_AS_000_DMA.BLIF un21_fpu_cs_i.BLIF N_159_i.BLIF ipl_030_0_2__un1_n.BLIF \ -inst_DS_000_DMA.BLIF BGACK_030_INT_i.BLIF ipl_030_0_2__un0_n.BLIF \ -CYCLE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_257_0.BLIF \ -dsack1_int_0_un3_n.BLIF CYCLE_DMA_1_.BLIF AS_030_i.BLIF un1_as_000_i.BLIF \ -dsack1_int_0_un1_n.BLIF SIZE_DMA_0_.BLIF AS_000_INT_i.BLIF N_93_i.BLIF \ -dsack1_int_0_un0_n.BLIF SIZE_DMA_1_.BLIF N_105_i.BLIF as_000_int_0_un3_n.BLIF \ -inst_VPA_D.BLIF N_91_i.BLIF N_87_i.BLIF as_000_int_0_un1_n.BLIF \ -inst_UDS_000_INT.BLIF N_92_i.BLIF N_86_i.BLIF as_000_int_0_un0_n.BLIF \ -inst_LDS_000_INT.BLIF a_i_19__n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ -lds_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_18__n.BLIF \ -un1_rst_dly_i_m_i_2__n.BLIF lds_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF \ -a_i_16__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF \ -size_dma_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un3_n.BLIF \ -inst_CLK_000_D1.BLIF size_dma_i_0__n.BLIF rw_000_int_0_un1_n.BLIF \ -inst_CLK_000_D0.BLIF FPU_SENSE_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ -rw_000_int_0_un0_n.BLIF inst_CLK_000_PE.BLIF CLK_000_D1_i.BLIF \ -uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_000_D0_i.BLIF \ -un1_rst_dly_i_m_i_5__n.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF \ -AS_030_000_SYNC_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -AS_030_D0_i.BLIF un1_rst_dly_i_m_i_6__n.BLIF vma_int_0_un3_n.BLIF \ -cpu_est_2_.BLIF sm_amiga_i_i_7__n.BLIF vma_int_0_un1_n.BLIF IPL_D0_0_.BLIF \ -AS_000_i.BLIF un1_rst_dly_i_m_i_7__n.BLIF vma_int_0_un0_n.BLIF IPL_D0_1_.BLIF \ -CLK_000_PE_i.BLIF bg_000_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF \ -un1_rst_dly_i_m_i_8__n.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_3_.BLIF A1_i.BLIF \ -bg_000_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF sm_amiga_i_6__n.BLIF \ -un3_as_030_i.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ -BERR_i.BLIF un1_as_030_i.BLIF ds_000_dma_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ -sm_amiga_i_5__n.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_dma_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF RESET_OUT_0_sqmuxa_i.BLIF \ -pos_clk_un9_clk_000_n_sync_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -inst_DSACK1_INTreg.BLIF un1_rst_dly_i_1__n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n.BLIF as_000_dma_0_un1_n.BLIF RESET_OUT_i.BLIF \ -CLK_EXP_c_i.BLIF as_000_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ -RW_000_i.BLIF N_244_0.BLIF a_15__n.BLIF pos_clk_ipl_n.BLIF \ -un1_rst_dly_i_2__n.BLIF N_83_i.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -un1_rst_dly_i_3__n.BLIF N_115_0.BLIF a_14__n.BLIF SM_AMIGA_6_.BLIF \ -un1_rst_dly_i_4__n.BLIF N_84_i.BLIF RST_DLY_0_.BLIF un1_rst_dly_i_5__n.BLIF \ -N_245_0.BLIF a_13__n.BLIF RST_DLY_1_.BLIF un1_rst_dly_i_6__n.BLIF N_85_i.BLIF \ -RST_DLY_2_.BLIF un1_rst_dly_i_7__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF \ -a_12__n.BLIF RST_DLY_3_.BLIF un1_rst_dly_i_8__n.BLIF N_94_i.BLIF \ -RST_DLY_4_.BLIF CLK_OUT_PRE_D_i.BLIF a_11__n.BLIF RST_DLY_5_.BLIF \ -pos_clk_un11_clk_000_n_sync_i_n.BLIF RST_DLY_6_.BLIF CLK_030_H_i.BLIF \ -a_10__n.BLIF RST_DLY_7_.BLIF AS_000_DMA_i.BLIF N_73_0.BLIF \ -pos_clk_un8_bg_030_n.BLIF VMA_INT_i.BLIF a_9__n.BLIF CLK_000_P_SYNC_0_.BLIF \ -VPA_D_i.BLIF N_160_i.BLIF CLK_000_P_SYNC_1_.BLIF cpu_est_i_0__n.BLIF \ -N_161_i.BLIF a_8__n.BLIF CLK_000_P_SYNC_2_.BLIF cpu_est_i_1__n.BLIF \ -CLK_000_P_SYNC_3_.BLIF cpu_est_i_3__n.BLIF N_155_i.BLIF a_7__n.BLIF \ -CLK_000_P_SYNC_4_.BLIF a_i_24__n.BLIF N_156_i.BLIF CLK_000_P_SYNC_5_.BLIF \ -pos_clk_un7_clk_000_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_6_.BLIF \ -sm_amiga_i_0__n.BLIF N_154_i.BLIF CLK_000_P_SYNC_7_.BLIF sm_amiga_i_3__n.BLIF \ -N_152_i.BLIF a_5__n.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_NE_i.BLIF N_153_i.BLIF \ -CLK_000_N_SYNC_0_.BLIF cpu_est_i_2__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_1_.BLIF \ -sm_amiga_i_1__n.BLIF N_150_i.BLIF CLK_000_N_SYNC_2_.BLIF sm_amiga_i_4__n.BLIF \ -N_151_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_2__n.BLIF \ -CLK_000_N_SYNC_4_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_149_i.BLIF \ -a_2__n.BLIF CLK_000_N_SYNC_5_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF N_147_i.BLIF \ -CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_148_i.BLIF CLK_000_N_SYNC_7_.BLIF \ -un5_ciin_i.BLIF CLK_000_N_SYNC_8_.BLIF DTACK_D0_i.BLIF N_164_i.BLIF \ -CLK_000_N_SYNC_9_.BLIF a_i_31__n.BLIF N_165_i.BLIF CLK_000_N_SYNC_10_.BLIF \ -a_i_29__n.BLIF N_163_i.BLIF inst_RW_000_INT.BLIF a_i_30__n.BLIF N_199_i.BLIF \ -inst_RW_000_DMA.BLIF a_i_27__n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF a_i_28__n.BLIF N_197_i.BLIF inst_A0_DMA.BLIF \ -a_i_25__n.BLIF N_198_i.BLIF DS_000_ENABLE_1_sqmuxa.BLIF a_i_26__n.BLIF \ -N_194_i.BLIF inst_CLK_030_H.BLIF UDS_000_INT_i.BLIF \ -pos_clk_cpu_est_11_0_1__n.BLIF SM_AMIGA_1_.BLIF LDS_000_INT_i.BLIF \ -N_192_i.BLIF SM_AMIGA_4_.BLIF DS_030_i.BLIF N_135_i.BLIF SM_AMIGA_2_.BLIF \ -N_224_i.BLIF N_162_i.BLIF inst_DS_000_ENABLE.BLIF N_225_i.BLIF N_191_i.BLIF \ -AS_000_INT_1_sqmuxa.BLIF N_226_i.BLIF N_193_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ -N_190_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_189_i.BLIF N_3.BLIF \ -DS_000_ENABLE_1_sqmuxa_i.BLIF N_188_i.BLIF un6_lds_000_i.BLIF N_195_i.BLIF \ -un6_uds_000_i.BLIF N_196_i.BLIF N_6.BLIF un6_ds_030_i.BLIF N_186_i.BLIF \ -N_9.BLIF DS_000_DMA_i.BLIF N_143_0.BLIF N_10.BLIF un4_as_000_i.BLIF \ -N_142_0.BLIF N_14.BLIF un8_ciin_i.BLIF N_141_0.BLIF N_15.BLIF \ -un6_as_030_i.BLIF N_140_0.BLIF N_17.BLIF DS_030_D0_i.BLIF N_139_0.BLIF \ -N_19.BLIF AS_030_c.BLIF N_166_i.BLIF N_22.BLIF N_137_i.BLIF N_23.BLIF \ -AS_000_c.BLIF N_136_i.BLIF N_24.BLIF N_133_i.BLIF N_25.BLIF RW_000_c.BLIF \ -N_132_i.BLIF cpu_est_0_0_.BLIF N_146_i.BLIF DS_030_c.BLIF N_144_i.BLIF \ -UDS_000_c.BLIF un1_SM_AMIGA_7_i.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF \ -LDS_000_c.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ -pos_clk_un23_clk_000_ne_d0_0_n.BLIF size_c_0__n.BLIF \ -pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -size_c_1__n.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ -pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF size_c_i_1__n.BLIF N_25_i.BLIF \ -N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF N_23_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF \ -N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF N_52_0.BLIF \ -DTACK_c_i.BLIF N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF \ -SM_AMIGA_i_7_.BLIF N_55_0.BLIF N_115.BLIF N_50_0.BLIF \ -pos_clk_cpu_est_11_1__n.BLIF N_3_i.BLIF pos_clk_cpu_est_11_3__n.BLIF \ -N_49_0.BLIF N_220.BLIF N_6_i.BLIF G_165.BLIF N_48_0.BLIF G_166.BLIF N_9_i.BLIF \ -G_167.BLIF N_45_0.BLIF un6_uds_000_1.BLIF N_10_i.BLIF \ -pos_clk_un24_bgack_030_int_i_0_n.BLIF a_c_16__n.BLIF N_44_0.BLIF N_244.BLIF \ -N_14_i.BLIF N_245.BLIF a_c_17__n.BLIF N_41_0.BLIF N_15_i.BLIF N_80.BLIF \ -a_c_18__n.BLIF N_40_0.BLIF N_90.BLIF N_17_i.BLIF N_100.BLIF a_c_19__n.BLIF \ -N_38_0.BLIF N_105.BLIF N_19_i.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF \ -a_c_20__n.BLIF N_36_0.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_22_i.BLIF \ -pos_clk_CYCLE_DMA_5_0_i_x2.BLIF a_c_21__n.BLIF N_33_0.BLIF N_135.BLIF \ -BG_030_c_i.BLIF N_133.BLIF a_c_22__n.BLIF pos_clk_un6_bg_030_i_n.BLIF \ -N_190.BLIF pos_clk_un8_bg_030_0_n.BLIF N_188.BLIF a_c_23__n.BLIF \ -N_127_i_1.BLIF N_189.BLIF N_127_i_2.BLIF N_136.BLIF a_c_24__n.BLIF \ -pos_clk_un11_ds_030_d0_i_1_n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_135_i_1.BLIF \ -pos_clk_un29_clk_000_ne_d0_n.BLIF a_c_25__n.BLIF \ -pos_clk_cpu_est_11_0_1_1__n.BLIF DSACK1_INT_0_sqmuxa.BLIF \ -pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ -a_c_26__n.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF pos_clk_un5_clk_000_pe_n.BLIF \ -N_195_1.BLIF pos_clk_un9_clk_000_ne_n.BLIF a_c_27__n.BLIF N_196_1.BLIF \ -pos_clk_un23_clk_000_ne_d0_n.BLIF N_131_i_1.BLIF \ -pos_clk_un27_clk_000_ne_d0_n.BLIF a_c_28__n.BLIF N_131_i_2.BLIF \ -pos_clk_un14_clk_000_n_sync_n.BLIF N_131_i_3.BLIF N_144.BLIF a_c_29__n.BLIF \ -N_119_i_1.BLIF N_146.BLIF N_119_i_2.BLIF N_143.BLIF a_c_30__n.BLIF \ -N_121_i_1.BLIF N_147.BLIF N_123_i_1.BLIF N_139.BLIF a_c_31__n.BLIF \ -N_123_i_2.BLIF N_148.BLIF N_125_i_1.BLIF N_149.BLIF A0_c.BLIF N_129_i_1.BLIF \ -N_150.BLIF N_162_1.BLIF N_140.BLIF A1_c.BLIF N_163_1.BLIF N_151.BLIF \ -N_165_1.BLIF N_152.BLIF nEXP_SPACE_c.BLIF N_165_2.BLIF N_153.BLIF N_165_3.BLIF \ -N_154.BLIF BERR_c.BLIF un5_ciin_1.BLIF N_155.BLIF un5_ciin_2.BLIF N_141.BLIF \ -BG_030_c.BLIF un5_ciin_3.BLIF N_156.BLIF un5_ciin_4.BLIF N_160.BLIF \ -BG_000DFFreg.BLIF un5_ciin_5.BLIF N_142.BLIF un5_ciin_6.BLIF N_161.BLIF \ -un5_ciin_7.BLIF N_164.BLIF BGACK_000_c.BLIF un5_ciin_8.BLIF N_166.BLIF \ -un5_ciin_9.BLIF N_132.BLIF un5_ciin_10.BLIF N_191.BLIF un5_ciin_11.BLIF \ -N_192.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF N_193.BLIF CLK_OSZI_c.BLIF \ -pos_clk_un9_clk_000_ne_2_n.BLIF N_194.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ -N_197.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF N_198.BLIF CLK_EXP_c.BLIF \ -pos_clk_un5_clk_000_pe_1_n.BLIF N_195.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -N_196.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_162.BLIF FPU_SENSE_c.BLIF \ -pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_199.BLIF \ -pos_clk_un27_clk_000_ne_d0_2_n.BLIF N_199_1.BLIF IPL_030DFF_0_reg.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_163.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF \ -N_165.BLIF IPL_030DFF_1_reg.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF N_73.BLIF \ -RESET_OUT_0_sqmuxa_7_3.BLIF N_94.BLIF IPL_030DFF_2_reg.BLIF \ -RESET_OUT_0_sqmuxa_5_1.BLIF N_85.BLIF N_87_1.BLIF N_84.BLIF ipl_c_0__n.BLIF \ -N_87_2.BLIF N_83.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n.BLIF ipl_c_1__n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF G_149.BLIF N_72_0_1.BLIF G_147.BLIF \ -ipl_c_2__n.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF N_213.BLIF un21_fpu_cs_1.BLIF \ -G_145.BLIF un22_berr_1_0.BLIF N_211.BLIF DTACK_c.BLIF N_116_1.BLIF G_143.BLIF \ -N_116_2.BLIF N_209.BLIF N_116_3.BLIF G_141.BLIF N_116_4.BLIF G_139.BLIF \ -VPA_c.BLIF pos_clk_un6_bg_030_1_n.BLIF N_205.BLIF N_115_0_1.BLIF G_137.BLIF \ -N_245_0_1.BLIF RESET_OUT_0_sqmuxa_1.BLIF RST_c.BLIF N_247_i_1.BLIF \ -RESET_OUT_0_sqmuxa.BLIF N_248_i_1.BLIF RESET_OUT_0_sqmuxa_7.BLIF \ -N_249_i_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF RW_c.BLIF pos_clk_ipl_1_n.BLIF \ -un1_rst_dly_i_m_8__n.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -un1_rst_dly_i_m_7__n.BLIF fc_c_0__n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n.BLIF un1_rst_dly_i_m_6__n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF un1_rst_dly_i_m_5__n.BLIF \ -fc_c_1__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF un1_rst_dly_i_m_4__n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF un1_rst_dly_i_m_3__n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF un1_rst_dly_i_m_2__n.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF rw_000_dma_0_un3_n.BLIF N_86.BLIF \ -rw_000_dma_0_un1_n.BLIF N_93.BLIF rw_000_dma_0_un0_n.BLIF N_87.BLIF \ -bgack_030_int_0_un3_n.BLIF G_135.BLIF bgack_030_int_0_un1_n.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ -VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ -SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ -cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ -IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ -CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D \ -CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D \ -RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D \ -RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D \ -RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ -CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ -CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ -CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ -CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D \ -inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D \ -inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D \ -inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C \ -inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D inst_A0_DMA.C \ -inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ -inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C \ -inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C \ -inst_DTACK_D0.D inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ -inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ -inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ -inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ -inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ -UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_159 N_21_i bgack_030_int_0_un0_n N_157 \ -N_34_0 as_030_000_sync_0_un3_n N_158 N_20_i as_030_000_sync_0_un1_n \ -un1_rst_dly_i_m_1__n N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i \ -ds_000_enable_0_un3_n N_88 N_39_0 ds_000_enable_0_un1_n N_256 N_13_i \ -ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n N_91 N_12_i \ -a0_dma_0_un1_n N_92 N_43_0 a0_dma_0_un0_n pos_clk_a0_dma_3_n N_8_i \ -size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ -un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 \ -N_72_0 size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n \ -un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ -pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ -un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ -cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 \ -cpu_est_0_1__un1_n un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ -pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ -pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 N_96_i \ -cpu_est_0_2__un0_n DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n \ -un22_berr_1 N_89_i cpu_est_0_3__un1_n N_8 pos_clk_size_dma_6_0_0__n \ -cpu_est_0_3__un0_n N_12 N_88_i ipl_030_0_0__un3_n N_13 \ -pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n N_16 N_138_0 ipl_030_0_0__un0_n \ -N_20 un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n N_21 ipl_030_0_1__un1_n N_11 \ -N_157_i ipl_030_0_1__un0_n un1_amiga_bus_enable_low_i N_158_i \ -ipl_030_0_2__un3_n un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n BGACK_030_INT_i \ -ipl_030_0_2__un0_n AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n \ -AS_030_i un1_as_000_i dsack1_int_0_un1_n AS_000_INT_i N_93_i \ -dsack1_int_0_un0_n N_105_i as_000_int_0_un3_n N_91_i N_87_i as_000_int_0_un1_n \ -N_92_i N_86_i as_000_int_0_un0_n a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ -lds_000_int_0_un3_n a_i_18__n un1_rst_dly_i_m_i_2__n lds_000_int_0_un1_n \ -a_i_16__n lds_000_int_0_un0_n size_dma_i_1__n un1_rst_dly_i_m_i_3__n \ -rw_000_int_0_un3_n size_dma_i_0__n rw_000_int_0_un1_n FPU_SENSE_i \ -un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n CLK_000_D1_i uds_000_int_0_un3_n \ -CLK_000_D0_i un1_rst_dly_i_m_i_5__n uds_000_int_0_un1_n AS_030_000_SYNC_i \ -uds_000_int_0_un0_n AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n \ -sm_amiga_i_i_7__n vma_int_0_un1_n AS_000_i un1_rst_dly_i_m_i_7__n \ -vma_int_0_un0_n CLK_000_PE_i bg_000_0_un3_n nEXP_SPACE_D0_i \ -un1_rst_dly_i_m_i_8__n bg_000_0_un1_n A1_i bg_000_0_un0_n sm_amiga_i_6__n \ -un3_as_030_i ds_000_dma_0_un3_n pos_clk_un6_bg_030_n BERR_i un1_as_030_i \ -ds_000_dma_0_un1_n sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ -RESET_OUT_0_sqmuxa_i pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n \ -un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n \ -RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n \ -RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i \ -pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n un1_rst_dly_i_4__n \ -N_84_i un1_rst_dly_i_5__n N_245_0 a_13__n un1_rst_dly_i_6__n N_85_i \ -un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n un1_rst_dly_i_8__n N_94_i \ -CLK_OUT_PRE_D_i a_11__n pos_clk_un11_clk_000_n_sync_i_n CLK_030_H_i a_10__n \ -AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n VPA_D_i N_160_i \ -cpu_est_i_0__n N_161_i a_8__n cpu_est_i_1__n cpu_est_i_3__n N_155_i a_7__n \ -a_i_24__n N_156_i pos_clk_un7_clk_000_d0_i_n a_6__n sm_amiga_i_0__n N_154_i \ -sm_amiga_i_3__n N_152_i a_5__n CLK_000_NE_i N_153_i cpu_est_i_2__n a_4__n \ -sm_amiga_i_1__n N_150_i sm_amiga_i_4__n N_151_i a_3__n sm_amiga_i_2__n \ -pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n DSACK1_INT_0_sqmuxa_i N_147_i \ -RW_i N_148_i un5_ciin_i DTACK_D0_i N_164_i a_i_31__n N_165_i a_i_29__n N_163_i \ -a_i_30__n N_199_i a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ -a_i_28__n N_197_i a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i \ -UDS_000_INT_i pos_clk_cpu_est_11_0_1__n LDS_000_INT_i N_192_i DS_030_i N_135_i \ -N_224_i N_162_i N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ -DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ -DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i \ -N_6 un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 \ -N_14 un8_ciin_i N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 \ -N_19 AS_030_c N_166_i N_22 N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 \ -RW_000_c N_132_i N_146_i DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i \ -pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n \ -pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n \ -pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n \ -pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 \ -N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 \ -DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_115 N_50_0 \ -pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i \ -N_48_0 N_9_i N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ -a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n \ -N_40_0 N_90 N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i \ -pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 N_22_i a_c_21__n N_33_0 N_135 \ -BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n \ -N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ -pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ -pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ -DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ -a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ -pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n \ -N_131_i_1 pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 \ -pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 \ -N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 \ -N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 N_140 A1_c N_163_1 N_151 \ -N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c un5_ciin_1 N_155 \ -un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 un5_ciin_5 N_142 \ -un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 \ -N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 \ -CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ -pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ -pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ -pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ -pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ -RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 RESET_OUT_0_sqmuxa_5_1 \ -N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n \ -pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n \ -N_72_0_1 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 \ -un22_berr_1_0 N_211 DTACK_c N_116_1 N_116_2 N_209 N_116_3 N_116_4 VPA_c \ -pos_clk_un6_bg_030_1_n N_205 N_115_0_1 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c \ -N_247_i_1 RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 \ -RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n \ -amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n \ -amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n \ -amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n \ -amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ -amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ -amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ -rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ -bgack_030_int_0_un3_n bgack_030_int_0_un1_n AS_030.OE AS_000.OE RW_000.OE \ -DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ -CLK_DIV_OUT.OE DSACK1.OE CIIN.OE pos_clk_un24_bgack_030_int_i_0_x2 \ -cpu_est_0_0_ G_165 G_166 G_167 pos_clk_CYCLE_DMA_5_1_i_x2 \ -pos_clk_CYCLE_DMA_5_0_i_x2 G_149 G_147 G_145 G_143 G_141 G_139 G_137 G_135 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names N_54_0.BLIF IPL_D0_2_.D -0 1 -.names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D -11 1 -.names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D -11 1 -.names N_127_i_1.BLIF N_127_i_2.BLIF SM_AMIGA_5_.D -11 1 -.names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D -11 1 -.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D -11 1 -.names N_119_i_1.BLIF N_119_i_2.BLIF SM_AMIGA_1_.D -11 1 -.names N_137_i.BLIF N_146_i.BLIF SM_AMIGA_0_.D -11 1 -.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D -1- 1 --1 1 -.names N_30_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names N_31_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_1__n.BLIF RST_DLY_0_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_2_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_4__n.BLIF RST_DLY_3_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_5__n.BLIF RST_DLY_4_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_6__n.BLIF RST_DLY_5_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_7__n.BLIF RST_DLY_6_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D -11 1 -.names N_249_i_1.BLIF N_257_0.BLIF CYCLE_DMA_0_.D -11 1 -.names N_248_i_1.BLIF N_257_0.BLIF CYCLE_DMA_1_.D -11 1 -.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D -1- 1 --1 1 -.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D -1- 1 --1 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names N_247_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D -11 1 -.names N_93_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_39_0.BLIF inst_RW_000_DMA.D -0 1 -.names N_40_0.BLIF inst_RW_000_INT.D -0 1 -.names N_41_0.BLIF inst_LDS_000_INT.D -0 1 -.names N_42_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names N_43_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names N_44_0.BLIF inst_AS_000_INT.D -0 1 -.names N_45_0.BLIF inst_DSACK1_INTreg.D -0 1 -.names N_46_0.BLIF inst_A0_DMA.D -0 1 -.names N_48_0.BLIF inst_AS_000_DMA.D -0 1 -.names N_49_0.BLIF inst_DS_000_DMA.D -0 1 -.names N_50_0.BLIF inst_DS_030_D0.D -0 1 -.names N_90.BLIF inst_AS_030_D0.D -0 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D -0 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names N_33_0.BLIF BG_000DFFreg.D -0 1 -.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D -0 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names N_36_0.BLIF inst_VMA_INTreg.D -0 1 -.names N_38_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_257_0.BLIF inst_BGACK_030_INT_D.D -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 -11 1 -.names N_21.BLIF N_21_i -0 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 -11 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names N_250.BLIF as_030_000_sync_0_un3_n -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 -11 1 -.names N_20.BLIF N_20_i -0 1 -.names inst_AS_030_000_SYNC.BLIF N_250.BLIF as_030_000_sync_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_1__n.BLIF un1_rst_dly_i_m_1__n -11 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names N_105_i.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names N_16.BLIF N_16_i -0 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names BGACK_030_INT_i.BLIF N_256_i.BLIF N_88 -11 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ -ds_000_enable_0_un1_n -11 1 -.names N_256_i.BLIF N_256 -0 1 -.names N_13.BLIF N_13_i -0 1 -.names un1_SM_AMIGA_7_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names vcc_n_n - 1 -.names BGACK_030_INT_i.BLIF N_256.BLIF N_89 -11 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n -0 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_91 -11 1 -.names N_12.BLIF N_12_i -0 1 -.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -a0_dma_0_un1_n -11 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_92 -11 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_8.BLIF N_8_i -0 1 -.names N_252.BLIF size_dma_0_1__un3_n -0 1 -.names gnd_n_n -.names N_72.BLIF N_105.BLIF N_96 -11 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF N_252.BLIF size_dma_0_1__un1_n -11 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low -11 1 -.names N_72_0.BLIF N_72 -0 1 -.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_76_i -11 1 -.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names N_105.BLIF N_116.BLIF N_97 -11 1 -.names N_72_0_1.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_72_0 -11 1 -.names N_252.BLIF size_dma_0_0__un3_n -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names N_116_4.BLIF N_116_3.BLIF N_116 -11 1 -.names UDS_000_c.BLIF UDS_000_c_i -0 1 -.names pos_clk_size_dma_6_0__n.BLIF N_252.BLIF size_dma_0_0__un1_n -11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_104 -11 1 -.names LDS_000_c.BLIF LDS_000_c_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names N_76_i.BLIF N_76 -0 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_256_i -11 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n -0 1 -.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF un8_ciin -11 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -pos_clk_un5_bgack_030_int_d_i_n -11 1 -.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n -11 1 -.names un1_SM_AMIGA_7_i.BLIF un1_SM_AMIGA_7 -0 1 -.names N_250_0.BLIF N_250 -0 1 -.names N_104.BLIF N_104_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_252_0 -11 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names un22_berr_1_0.BLIF N_116.BLIF un22_berr -11 1 -.names N_251_0.BLIF N_251 -0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_251_0 -11 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names N_252_0.BLIF N_252 -0 1 -.names BGACK_000_c.BLIF N_76.BLIF pos_clk_un6_bgack_000_0_n -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 -11 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names N_97.BLIF N_97_i -0 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n -11 1 -.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 -11 1 -.names N_96.BLIF N_96_i -0 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names N_105.BLIF un1_SM_AMIGA_7.BLIF DS_000_ENABLE_1_sqmuxa_1 -11 1 -.names N_96_i.BLIF N_97_i.BLIF N_250_0 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n -0 1 -.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 -11 1 -.names N_89.BLIF N_89_i -0 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names N_89_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 -1- 1 --1 1 -.names N_88.BLIF N_88_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_13 -1- 1 --1 1 -.names N_88_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_16 -1- 1 --1 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 -11 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names un1_rst_dly_i_m_1__n.BLIF un1_rst_dly_i_m_i_1__n -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 -1- 1 --1 1 -.names N_157.BLIF N_157_i -0 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names N_158.BLIF N_158_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names N_159.BLIF N_159_i -0 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_257_0 -11 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i -11 1 -.names inst_DSACK1_INTreg.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_93.BLIF N_93_i -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names N_105.BLIF N_105_i -0 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names N_91.BLIF N_91_i -0 1 -.names N_87.BLIF N_87_i -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names N_92.BLIF N_92_i -0 1 -.names N_86.BLIF N_86_i -0 1 -.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_86_i.BLIF N_87_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n -0 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -lds_000_int_0_un1_n -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names N_115.BLIF rw_000_int_0_un3_n -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_115.BLIF rw_000_int_0_un1_n -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n -0 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n -0 1 -.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n -0 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names A1_c.BLIF A1_i -0 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i -11 1 -.names N_245.BLIF ds_000_dma_0_un3_n -0 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_245.BLIF ds_000_dma_0_un1_n -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n -0 1 -.names N_244.BLIF as_000_dma_0_un3_n -0 1 -.names G_135.BLIF un1_rst_dly_i_1__n -0 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n -11 1 -.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_244.BLIF as_000_dma_0_un1_n -11 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names CLK_EXP_c.BLIF CLK_EXP_c_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_244_0 -11 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n -11 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names N_83.BLIF N_83_i -0 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n -11 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 -11 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names N_84.BLIF N_84_i -0 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names N_245_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_245_0 -11 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names N_85.BLIF N_85_i -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names N_85_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names N_94.BLIF N_94_i -0 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_73_0 -11 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_160.BLIF N_160_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names N_161.BLIF N_161_i -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names N_155.BLIF N_155_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names N_156.BLIF N_156_i -0 1 -.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n -0 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_154.BLIF N_154_i -0 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_152.BLIF N_152_i -0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_153.BLIF N_153_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names N_150.BLIF N_150_i -0 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names N_151.BLIF N_151_i -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n -0 1 -.names N_149.BLIF N_149_i -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 -.names N_147.BLIF N_147_i -0 1 -.names RW_c.BLIF RW_i -0 1 -.names N_148.BLIF N_148_i -0 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names N_164.BLIF N_164_i -0 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names N_165.BLIF N_165_i -0 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_163.BLIF N_163_i -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_199.BLIF N_199_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n -11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names N_197.BLIF N_197_i -0 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names N_198.BLIF N_198_i -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names N_194.BLIF N_194_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ -pos_clk_cpu_est_11_0_1__n -11 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names N_192.BLIF N_192_i -0 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i -11 1 -.names G_165.BLIF N_224_i -0 1 -.names N_162.BLIF N_162_i -0 1 -.names G_166.BLIF N_225_i -0 1 -.names N_191.BLIF N_191_i -0 1 -.names N_105.BLIF sm_amiga_i_5__n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names G_167.BLIF N_226_i -0 1 -.names N_193.BLIF N_193_i -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF N_105.BLIF DSACK1_INT_1_sqmuxa -11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i -11 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i -11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i -11 1 -.names un6_lds_000.BLIF un6_lds_000_i -0 1 -.names N_195.BLIF N_195_i -0 1 -.names un6_uds_000.BLIF un6_uds_000_i -0 1 -.names N_196.BLIF N_196_i -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names N_195_i.BLIF N_196_i.BLIF N_186_i -11 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_143_0 -11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names un8_ciin.BLIF un8_ciin_i -0 1 -.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_15 -1- 1 --1 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 -11 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_17 -1- 1 --1 1 -.names inst_DS_030_D0.BLIF DS_030_D0_i -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_139_0 -11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names N_166.BLIF N_166_i -0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names N_166_i.BLIF RST_c.BLIF N_137_i -11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 -1- 1 --1 1 -.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i -11 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 -1- 1 --1 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i -11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 -1- 1 --1 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i -11 1 -.names N_146.BLIF N_146_i -0 1 -.names N_144.BLIF N_144_i -0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_144_i.BLIF un1_SM_AMIGA_7_i -11 1 -.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n -0 1 -.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n -0 1 -.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ -pos_clk_un23_clk_000_ne_d0_0_n -11 1 -.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n -0 1 -.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n -0 1 -.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -pos_clk_un7_clk_000_pe_0_n -11 1 -.names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF \ -pos_clk_un11_ds_030_d0_i_n -11 1 -.names A0_c.BLIF A0_c_i -0 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names N_25.BLIF N_25_i -0 1 -.names N_25_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names N_24.BLIF N_24_i -0 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names N_23.BLIF N_23_i -0 1 -.names N_23_i.BLIF RST_c.BLIF N_30_0 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 -11 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 -11 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i -0 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 -11 1 -.names N_115_0.BLIF N_115 -0 1 -.names DS_030_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n -0 1 -.names N_3.BLIF N_3_i -0 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n -0 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 -11 1 -.names N_6.BLIF N_6_i -0 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names N_9.BLIF N_9_i -0 1 -.names N_9_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names N_10.BLIF N_10_i -0 1 -.names pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_n -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names N_244_0.BLIF N_244 -0 1 -.names N_14.BLIF N_14_i -0 1 -.names N_245_0.BLIF N_245 -0 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names N_15.BLIF N_15_i -0 1 -.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_80 -1- 1 --1 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names AS_030_i.BLIF RST_c.BLIF N_90 -11 1 -.names N_17.BLIF N_17_i -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_100 -11 1 -.names N_17_i.BLIF RST_c.BLIF N_38_0 -11 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF N_105 -11 1 -.names N_19.BLIF N_19_i -0 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names N_22.BLIF N_22_i -0 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_135_i.BLIF N_135 -0 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names N_133_i.BLIF N_133 -0 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names N_190_i.BLIF N_190 -0 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names N_188_i.BLIF N_188 -0 1 -.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 -11 1 -.names N_189_i.BLIF N_189 -0 1 -.names N_159_i.BLIF RST_c.BLIF N_127_i_2 -11 1 -.names N_136_i.BLIF N_136 -0 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ -pos_clk_un7_clk_000_d0_n -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 -11 1 -.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ -pos_clk_un29_clk_000_ne_d0_n -11 1 -.names N_191_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n -11 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names N_193_i.BLIF N_194_i.BLIF pos_clk_cpu_est_11_0_2_1__n -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n -11 1 -.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n -11 1 -.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF \ -pos_clk_un5_clk_000_pe_n -11 1 -.names N_188.BLIF cpu_est_0_.BLIF N_195_1 -11 1 -.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ -pos_clk_un9_clk_000_ne_n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 -11 1 -.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n -0 1 -.names N_137_i.BLIF N_162_i.BLIF N_131_i_1 -11 1 -.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ -pos_clk_un27_clk_000_ne_d0_n -11 1 -.names N_163_i.BLIF N_164_i.BLIF N_131_i_2 -11 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n -0 1 -.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 -11 1 -.names sm_amiga_i_3__n.BLIF sm_amiga_i_5__n.BLIF N_144 -11 1 -.names N_147_i.BLIF N_148_i.BLIF N_119_i_1 -11 1 -.names N_143.BLIF sm_amiga_i_0__n.BLIF N_146 -11 1 -.names N_149_i.BLIF RST_c.BLIF N_119_i_2 -11 1 -.names N_143_0.BLIF N_143 -0 1 -.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 -11 1 -.names N_139.BLIF sm_amiga_i_2__n.BLIF N_147 -11 1 -.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 -11 1 -.names N_139_0.BLIF N_139 -0 1 -.names N_154_i.BLIF RST_c.BLIF N_123_i_2 -11 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF N_148 -11 1 -.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_1__n.BLIF N_149 -11 1 -.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 -11 1 -.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 -11 1 -.names N_135.BLIF BERR_i.BLIF N_162_1 -11 1 -.names N_140_0.BLIF N_140 -0 1 -.names N_133.BLIF BERR_i.BLIF N_163_1 -11 1 -.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 -11 1 -.names N_133_i.BLIF N_135_i.BLIF N_165_1 -11 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 -11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 -11 1 -.names N_165_1.BLIF N_165_2.BLIF N_165_3 -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 -11 1 -.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 -11 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names N_141_0.BLIF N_141 -0 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 -11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 -11 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 -11 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names N_142_0.BLIF N_142 -0 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 -11 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 -11 1 -.names BERR_i.BLIF N_136_i.BLIF N_164 -11 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names N_132.BLIF SM_AMIGA_0_.BLIF N_166 -11 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names N_132_i.BLIF N_132 -0 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 -11 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n -11 1 -.names N_189_i.BLIF cpu_est_0_.BLIF N_193 -11 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n -11 1 -.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 -11 1 -.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n -11 1 -.names N_190.BLIF cpu_est_3_reg.BLIF N_197 -11 1 -.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ -pos_clk_un9_clk_000_ne_4_n -11 1 -.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 -11 1 -.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n -11 1 -.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n -11 1 -.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 -11 1 -.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -pos_clk_un5_clk_000_pe_3_n -11 1 -.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 -11 1 -.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n -11 1 -.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 -11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 -11 1 -.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n -11 1 -.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 -11 1 -.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 -11 1 -.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 -11 1 -.names N_73_0.BLIF N_73 -0 1 -.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ -RESET_OUT_0_sqmuxa_7_3 -11 1 -.names CLK_030_H_i.BLIF N_73.BLIF N_94 -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_85 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_87_1 -11 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_84 -11 1 -.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_87_2 -11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_83 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n -11 1 -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n -11 1 -.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_104_i.BLIF \ -pos_clk_un24_bgack_030_int_i_0_0_2_n -11 1 -.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_72_0_1 -11 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ -pos_clk_un7_clk_000_d0_1_n -11 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 -.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 -11 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 -11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 -11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 -11 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 -11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 -11 1 -.names N_116_1.BLIF N_116_2.BLIF N_116_4 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 -11 1 -.names N_83_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 -11 1 -.names N_84_i.BLIF RW_000_i.BLIF N_245_0_1 -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names N_94_i.BLIF RST_c.BLIF N_247_i_1 -11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_248_i_1 -11 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 -11 1 -.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_249_i_1 -11 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 -11 1 -.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n -11 1 -.names N_92_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n -11 1 -.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_86 -11 1 -.names N_251.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_93 -11 1 -.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_87_1.BLIF N_87_2.BLIF N_87 -11 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2 -01 1 -10 1 -11 0 -00 0 -.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_ -01 1 -10 1 -11 0 -00 0 -.names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_165 -01 1 -10 1 -11 0 -00 0 -.names IPL_D0_1_.BLIF ipl_c_1__n.BLIF G_166 -01 1 -10 1 -11 0 -00 0 -.names IPL_D0_2_.BLIF ipl_c_2__n.BLIF G_167 -01 1 -10 1 -11 0 -00 0 -.names CYCLE_DMA_1_.BLIF N_220.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 -01 1 -10 1 -11 0 -00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_7.BLIF G_149 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_6_.BLIF N_213.BLIF G_147 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_5_.BLIF N_211.BLIF G_145 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_4_.BLIF N_209.BLIF G_143 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_5.BLIF G_141 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_2_.BLIF N_205.BLIF G_139 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_1.BLIF G_137 -01 1 -10 1 -11 0 -00 0 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF G_135 -01 1 -10 1 -11 0 -00 0 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ -1 1 -0 0 -.names BG_000DFFreg.BLIF BG_000 -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -0 0 -.names gnd_n_n.BLIF CLK_DIV_OUT -1 1 -0 0 -.names CLK_EXP_c.BLIF CLK_EXP -1 1 -0 0 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -0 0 -.names inst_DSACK1_INTreg.BLIF DSACK1 -1 1 -0 0 -.names vcc_n_n.BLIF AVEC -1 1 -0 0 -.names cpu_est_3_reg.BLIF E -1 1 -0 0 -.names inst_VMA_INTreg.BLIF VMA -1 1 -0 0 -.names inst_RESET_OUTreg.BLIF RESET -1 1 -0 0 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -0 0 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -0 0 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -0 0 -.names N_80.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -0 0 -.names un5_ciin.BLIF CIIN -1 1 -0 0 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -0 0 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -0 0 -.names cpu_est_0_0_.BLIF cpu_est_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C -1 1 -0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_3_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_4_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_5_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_6_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_7_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -0 0 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C -1 1 -0 0 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -0 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -0 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -0 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -0 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_030_D0.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -0 0 -.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C -1 1 -0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -0 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -0 0 -.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C -1 1 -0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -0 0 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -0 0 -.names un3_size.BLIF SIZE_1_ -1 1 -0 0 -.names un6_as_030_i.BLIF AS_030 -1 1 -0 0 -.names un4_as_000_i.BLIF AS_000 -1 1 -0 0 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -0 0 -.names un6_ds_030_i.BLIF DS_030 -1 1 -0 0 -.names un6_uds_000_i.BLIF UDS_000 -1 1 -0 0 -.names un6_lds_000_i.BLIF LDS_000 -1 1 -0 0 -.names inst_A0_DMA.BLIF A0 -1 1 -0 0 -.names gnd_n_n.BLIF BERR -1 1 -0 0 -.names inst_RW_000_DMA.BLIF RW -1 1 -0 0 -.names un4_size.BLIF SIZE_0_ -1 1 -0 0 -.names A_15_.BLIF a_15__n -1 1 -0 0 -.names A_14_.BLIF a_14__n -1 1 -0 0 -.names A_13_.BLIF a_13__n -1 1 -0 0 -.names A_12_.BLIF a_12__n -1 1 -0 0 -.names A_11_.BLIF a_11__n -1 1 -0 0 -.names A_10_.BLIF a_10__n -1 1 -0 0 -.names A_9_.BLIF a_9__n -1 1 -0 0 -.names A_8_.BLIF a_8__n -1 1 -0 0 -.names A_7_.BLIF a_7__n -1 1 -0 0 -.names A_6_.BLIF a_6__n -1 1 -0 0 -.names A_5_.BLIF a_5__n -1 1 -0 0 -.names A_4_.BLIF a_4__n -1 1 -0 0 -.names A_3_.BLIF a_3__n -1 1 -0 0 -.names A_2_.BLIF a_2__n -1 1 -0 0 -.names AS_030.PIN.BLIF AS_030_c -1 1 -0 0 -.names AS_000.PIN.BLIF AS_000_c -1 1 -0 0 -.names RW_000.PIN.BLIF RW_000_c -1 1 -0 0 -.names DS_030.PIN.BLIF DS_030_c -1 1 -0 0 -.names UDS_000.PIN.BLIF UDS_000_c -1 1 -0 0 -.names LDS_000.PIN.BLIF LDS_000_c -1 1 -0 0 -.names SIZE_0_.PIN.BLIF size_c_0__n -1 1 -0 0 -.names SIZE_1_.PIN.BLIF size_c_1__n -1 1 -0 0 -.names A_16_.BLIF a_c_16__n -1 1 -0 0 -.names A_17_.BLIF a_c_17__n -1 1 -0 0 -.names A_18_.BLIF a_c_18__n -1 1 -0 0 -.names A_19_.BLIF a_c_19__n -1 1 -0 0 -.names A_20_.BLIF a_c_20__n -1 1 -0 0 -.names A_21_.BLIF a_c_21__n -1 1 -0 0 -.names A_22_.BLIF a_c_22__n -1 1 -0 0 -.names A_23_.BLIF a_c_23__n -1 1 -0 0 -.names A_24_.BLIF a_c_24__n -1 1 -0 0 -.names A_25_.BLIF a_c_25__n -1 1 -0 0 -.names A_26_.BLIF a_c_26__n -1 1 -0 0 -.names A_27_.BLIF a_c_27__n -1 1 -0 0 -.names A_28_.BLIF a_c_28__n -1 1 -0 0 -.names A_29_.BLIF a_c_29__n -1 1 -0 0 -.names A_30_.BLIF a_c_30__n -1 1 -0 0 -.names A_31_.BLIF a_c_31__n -1 1 -0 0 -.names A0.PIN.BLIF A0_c -1 1 -0 0 -.names A1.BLIF A1_c -1 1 -0 0 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -0 0 -.names BERR.PIN.BLIF BERR_c -1 1 -0 0 -.names BG_030.BLIF BG_030_c -1 1 -0 0 -.names BGACK_000.BLIF BGACK_000_c -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -0 0 -.names CLK_030.BLIF CLK_EXP_c -1 1 -0 0 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -0 0 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -0 0 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -0 0 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -0 0 -.names DTACK.BLIF DTACK_c -1 1 -0 0 -.names VPA.BLIF VPA_c -1 1 -0 0 -.names RST.BLIF RST_c -1 1 -0 0 -.names RW.PIN.BLIF RW_c -1 1 -0 0 -.names FC_0_.BLIF fc_c_0__n -1 1 -0 0 -.names FC_1_.BLIF fc_c_1__n -1 1 -0 0 -.names un3_as_030_i.BLIF AS_030.OE -1 1 -0 0 -.names un1_as_000_i.BLIF AS_000.OE -1 1 -0 0 -.names un1_as_000_i.BLIF RW_000.OE -1 1 -0 0 -.names un3_as_030_i.BLIF DS_030.OE -1 1 -0 0 -.names un1_as_000_i.BLIF UDS_000.OE -1 1 -0 0 -.names un1_as_000_i.BLIF LDS_000.OE -1 1 -0 0 -.names un1_as_030_i.BLIF SIZE_0_.OE -1 1 -0 0 -.names un1_as_030_i.BLIF SIZE_1_.OE -1 1 -0 0 -.names un3_as_030_i.BLIF A0.OE -1 1 -0 0 -.names un22_berr.BLIF BERR.OE -1 1 -0 0 -.names N_100.BLIF RW.OE -1 1 -0 0 -.names gnd_n_n.BLIF CLK_DIV_OUT.OE -1 1 -0 0 -.names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE -1 1 -0 0 -.names un8_ciin_i.BLIF CIIN.OE -1 1 -0 0 -.end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 deleted file mode 100644 index f2f4e82..0000000 --- a/Logic/68030_tk.bl3 +++ /dev/null @@ -1,1383 +0,0 @@ -#$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Sep 24 16:20:59 2015 -#$ MODULE 68030_tk -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ -# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 DS_030 UDS_000 LDS_000 A0 A1 \ -# nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI IPL_030_1_ \ -# CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E \ -# VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ -#$ NODES 84 inst_BGACK_030_INTreg cpu_est_3_reg inst_VMA_INTreg inst_RESET_OUTreg \ -# cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW \ -# inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC \ -# inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ \ -# SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT \ -# inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 \ -# inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE \ -# CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ \ -# inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_DSACK1_INTreg \ -# SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ \ -# RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ \ -# CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ \ -# CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ \ -# CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ \ -# pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ \ -# CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ \ -# inst_RW_000_INT inst_RW_000_DMA inst_A0_DMA inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ \ -# SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ BG_000DFFreg IPL_030DFF_0_reg \ -# IPL_030DFF_1_reg IPL_030DFF_2_reg -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ -BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ -DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ -A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ -inst_BGACK_030_INTreg.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF \ -inst_RESET_OUTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INT.BLIF \ -SM_AMIGA_5_.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF inst_DS_030_D0.BLIF inst_AS_030_000_SYNC.BLIF \ -inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF inst_DS_000_DMA.BLIF \ -CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF \ -inst_VPA_D.BLIF inst_UDS_000_INT.BLIF inst_LDS_000_INT.BLIF \ -inst_CLK_OUT_PRE_D.BLIF inst_DTACK_D0.BLIF inst_CLK_OUT_PRE_50.BLIF \ -inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF \ -CLK_000_P_SYNC_9_.BLIF inst_CLK_000_NE.BLIF CLK_000_N_SYNC_11_.BLIF \ -cpu_est_2_.BLIF IPL_D0_0_.BLIF IPL_D0_1_.BLIF IPL_D0_2_.BLIF SM_AMIGA_3_.BLIF \ -inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -inst_DSACK1_INTreg.BLIF SM_AMIGA_6_.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.BLIF \ -CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.BLIF \ -CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.BLIF \ -CLK_000_P_SYNC_8_.BLIF CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.BLIF \ -CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.BLIF \ -pos_clk_un29_clk_000_ne_d0_i_n.BLIF CLK_000_N_SYNC_5_.BLIF \ -CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.BLIF \ -CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF inst_RW_000_INT.BLIF \ -inst_RW_000_DMA.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF inst_DS_000_ENABLE.BLIF SM_AMIGA_i_7_.BLIF \ -BG_000DFFreg.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_1_reg.BLIF \ -IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ -SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ -VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.D \ -SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ -cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C \ -cpu_est_3_reg.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D \ -IPL_030DFF_1_reg.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D \ -CLK_000_N_SYNC_11_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C \ -RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C \ -RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ -CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ -CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ -CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ -CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.C inst_RESET_OUTreg.D \ -inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ -inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ -inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ -inst_A0_DMA.D inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C \ -inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D \ -inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ -inst_UDS_000_INT.D inst_UDS_000_INT.C inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D \ -inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C \ -inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C \ -SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ \ -pos_clk_un29_clk_000_ne_d0_i_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE \ -UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE \ -DSACK1.OE CIIN.OE cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 SM_AMIGA_3_.D.X1 \ -SM_AMIGA_3_.D.X2 RST_DLY_4_.D.X1 RST_DLY_4_.D.X2 RST_DLY_5_.D.X1 \ -RST_DLY_5_.D.X2 RST_DLY_6_.D.X1 RST_DLY_6_.D.X2 inst_CLK_030_H.D.X1 \ -inst_CLK_030_H.D.X2 SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 -.names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ -IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_2_reg.BLIF IPL_030DFF_2_reg.D -1-00001- 1 -1-01101- 1 -1-10011- 1 -1-11111- 1 -1------1 1 -------11 1 ---1--0-1 1 ---0--1-1 1 ----10--1 1 ----01--1 1 --0------ 1 -0100000- 0 -0101100- 0 -0110010- 0 -0111110- 0 --11--0-0 0 --10--1-0 0 --1-10--0 0 --1-01--0 0 --1----00 0 -01-----0 0 -.names RST.BLIF IPL_0_.BLIF IPL_D0_0_.D -0- 1 --1 1 -10 0 -.names RST.BLIF IPL_1_.BLIF IPL_D0_1_.D -0- 1 --1 1 -10 0 -.names IPL_2_.BLIF RST.BLIF IPL_D0_2_.D -1- 1 --0 1 -01 0 -.names RST.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ -inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF SM_AMIGA_6_.D -11010--0- 1 -1----01-1 1 -1-----10- 1 ------1-1- 0 -----1-0-- 0 ----0--0-- 0 ---1---0-- 0 --0----0-- 0 -------01- 0 -0-------- 0 --------10 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF \ -SM_AMIGA_6_.BLIF BERR.PIN.BLIF SM_AMIGA_5_.D -101-1- 1 -11-0-1 1 -11--11 1 ----10- 0 --00--- 0 --0--0- 0 --1---0 0 -0----- 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF \ -SM_AMIGA_4_.BLIF BERR.PIN.BLIF SM_AMIGA_4_.D -1-0-11 1 -11-1-- 1 -11--1- 1 ----00- 0 --01--- 0 --0--0- 0 -0----- 0 --0---0 0 -.names RST.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF inst_CLK_000_PE.BLIF \ -cpu_est_2_.BLIF SM_AMIGA_3_.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_2_.BLIF \ -BERR.PIN.BLIF SM_AMIGA_2_.D -110000--111-- 1 -1----10--11-- 1 -1------0---11 1 -1--------1-1- 1 ------11----0- 0 --------1-0--- 0 ------0--0--0- 0 -----10-----0- 0 ----1-0-----0- 0 ---1--0-----0- 0 --0---0-----0- 0 -----------00- 0 ----------0-0- 0 -0------------ 0 ----------0--0 0 -.names RST.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_2_.BLIF BERR.PIN.BLIF SM_AMIGA_1_.D -11-01- 1 -1-01-1 1 -1--111 1 ---1-0- 0 --0-0-- 0 ----00- 0 ----1-0 0 -0----- 0 -.names RST.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_0_.BLIF \ -SM_AMIGA_1_.BLIF BERR.PIN.BLIF SM_AMIGA_0_.D -1-101- 1 -10-1-1 1 --1-1-- 0 ----00- 0 ---00-- 0 ----1-0 0 -0----- 0 -.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -inst_CLK_000_NE_D0.BLIF cpu_est_1_.D -0--01 1 -010-1 1 -1-11- 1 -10--1 1 ---1-0 1 --01-- 1 -0001- 0 -01111 0 -11-01 0 -110-- 0 ---0-0 0 -.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -inst_CLK_000_NE_D0.BLIF cpu_est_2_.D --00-1 1 -11--1 1 ---11- 1 ----10 1 -0-10- 0 --010- 0 -010-1 0 ----00 0 -.names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ -IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_0_reg.D -0-01100- 1 -0-11110- 1 -1-01101- 1 -1-11111- 1 -1-----01 1 -0-----11 1 ---1--0-1 1 ---0--1-1 1 ----1---1 1 -----1--1 1 --0------ 1 -0100000- 0 -0110010- 0 -1100001- 0 -1110011- 0 -11----00 0 -01----10 0 --11--0-0 0 --10--1-0 0 --1--0--0 0 --1-0---0 0 -.names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ -IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_1_reg.BLIF IPL_030DFF_1_reg.D -0-10010- 1 -0-11110- 1 -1-10011- 1 -1-11111- 1 -1-----01 1 -0-----11 1 ---1----1 1 ------1-1 1 ----10--1 1 ----01--1 1 --0------ 1 -0100000- 0 -0101100- 0 -1100001- 0 -1101101- 0 -11----00 0 -01----10 0 --1-10--0 0 --1-01--0 0 --1---0-0 0 --10----0 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_0_.D -1-11111111 1 -110------- 1 -101------- 1 --00------- 0 --11-----0- 0 --11----0-- 0 --11---0--- 0 --11--0---- 0 --11-0----- 0 --110------ 0 -0--------- 0 --11------0 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_1_.D -1--1111111 1 -1110------ 1 -1-01------ 1 -10-1------ 1 --111----0- 0 --111---0-- 0 --111--0--- 0 --111-0---- 0 --1110----- 0 ---00------ 0 --0-0------ 0 --111-----0 0 -0--------- 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_2_.D -1---111111 1 -11110----- 1 -1--01----- 1 -1-0-1----- 1 -10--1----- 1 --1111---0- 0 --1111--0-- 0 --1111-0--- 0 --11110---- 0 --1111----0 0 ----00----- 0 ---0-0----- 0 --0--0----- 0 -0--------- 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_3_.D -1----11111 1 -111110---- 1 -1---01---- 1 -1--0-1---- 1 -1-0--1---- 1 -10---1---- 1 --11111--0- 0 --11111-0-- 0 --111110--- 0 --11111---0 0 -----00---- 0 ----0-0---- 0 ---0--0---- 0 --0---0---- 0 -0--------- 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_7_.D -111111111- 1 -1--------1 1 -0--------- 0 ---------00 0 --------0-0 0 -------0--0 0 ------0---0 0 -----0----0 0 ----0-----0 0 ---0------0 0 --0-------0 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF CYCLE_DMA_0_.BLIF \ -inst_CLK_000_PE.BLIF AS_000.PIN.BLIF CYCLE_DMA_0_.D -10100 1 -10010 1 ---00- 0 ---11- 0 --1--- 0 -0---- 0 -----1 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ -inst_CLK_000_PE.BLIF AS_000.PIN.BLIF CYCLE_DMA_1_.D -101010 1 -10-100 1 -1001-0 1 ---111- 0 ----00- 0 ---00-- 0 --1---- 0 -0----- 0 ------1 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -SIZE_DMA_0_.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_DMA_0_.D --111-- 1 --0--1- 1 -0----- 1 --0---1 1 -10--00 0 -11-0-- 0 -110--- 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -SIZE_DMA_1_.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_DMA_1_.D --111-- 1 --0--00 1 -0----- 1 -10--1- 0 -11-0-- 0 -110--- 0 -10---1 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF CLK_000_P_SYNC_0_.D -01 1 -1- 0 --0 0 -.names RST.BLIF inst_RESET_OUTreg.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF \ -RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF \ -RST_DLY_5_.BLIF RST_DLY_6_.BLIF RST_DLY_7_.BLIF inst_RESET_OUTreg.D -1-111111111 1 -11--------- 1 -0---------- 0 --0-------0- 0 --0------0-- 0 --0-----0--- 0 --0----0---- 0 --0---0----- 0 --0--0------ 0 --0-0------- 0 --00-------- 0 --0--------0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF SM_AMIGA_3_.BLIF \ -inst_DS_000_ENABLE.BLIF BERR.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D -1-0-11- 1 -10-1--- 1 -11----1 1 --0-0-0- 0 --0-00-- 0 --010--- 0 --1---00 0 --1--0-0 0 --11---0 0 -0------ 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D --1-1- 1 --10-- 1 -0---- 1 --0--1 1 -1110- 0 -10--0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF \ -inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D --0--1-- 1 --011--- 1 --0---0- 1 -0------ 1 --1----1 1 -10-001- 0 -100-01- 0 -11----0 0 -.names RST.BLIF inst_DS_030_D0.BLIF inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF \ -SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF inst_LDS_000_INT.D --0-1100 1 ---10--- 1 --11---- 1 -0------ 1 -10-1-1- 0 -10-10-- 0 -10-1--1 0 -1-00--- 0 -110---- 0 -.names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_PE.BLIF \ -AS_000.PIN.BLIF inst_BGACK_030_INTreg.D -1-1-- 1 -1--11 1 --0--- 1 --100- 0 --10-0 0 -01--- 0 -.names FC_1_.BLIF RST.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF \ -FC_0_.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_030_D0.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF \ -SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF inst_AS_030_000_SYNC.D -1-00101---1--- 1 -----------1-1- 1 -----------10-- 1 ----------01--- 1 --------0--1--- 1 ---------1----- 1 --0------------ 1 --------------0 1 --1----0101-101 0 --1---1-101-101 0 --1--0--101-101 0 --1-1---101-101 0 --11----101-101 0 -01-----101-101 0 --1------0-0--1 0 -.names RST.BLIF inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF \ -BERR.PIN.BLIF inst_AS_000_INT.D --10-- 1 ---01- 1 -0---- 1 ---0-0 1 -10-01 0 -1-1-- 0 -.names CLK_030.BLIF RST.BLIF inst_AS_030_D0.BLIF inst_CLK_OUT_PRE_D.BLIF \ -inst_DSACK1_INTreg.BLIF CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF \ -SM_AMIGA_1_.BLIF BERR.PIN.BLIF inst_DSACK1_INTreg.D -1--01-0-- 1 -----100-- 1 -1-10--0-- 1 -1--0--0-0 1 -----1--0- 1 ---1--00-- 1 ------00-0 1 ---1----0- 1 --0------- 1 --------00 1 --10-0---1 0 --1-1-1-1- 0 -01---1-1- 0 --1----11- 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -inst_A0_DMA.BLIF UDS_000.PIN.BLIF inst_A0_DMA.D --111- 1 -0---- 1 --0--1 1 -11-0- 0 -110-- 0 -10--0 0 -.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF inst_AS_000_DMA.D -----00--- 1 -----11--- 1 -0--1----- 1 -------1-- 1 ---1------ 1 --0------- 1 --------11 1 --1001000- 0 -110-1000- 0 --1000100- 0 -110-0100- 0 --100100-0 0 -110-100-0 0 --100010-0 0 -110-010-0 0 -.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -inst_DS_000_DMA.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF inst_CLK_030_H.BLIF \ -AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -inst_DS_000_DMA.D -1--1---1-0-- 1 -----1--0-0-- 1 -0---1----0-- 1 ------00----- 1 ------11----- 1 ---------1--- 1 ---1--------- 1 --0---------- 1 -----------11 1 -1100-1010-0- 0 -1100-0110-0- 0 -1100-1010--0 0 -1100-0110--0 0 --10-01000-0- 0 --10-00100-0- 0 -010-010-0-0- 0 -010-001-0-0- 0 --10-01000--0 0 --10-00100--0 0 -010-010-0--0 0 -010-001-0--0 0 --10--10-010- 0 --10--01-010- 0 --10--10-01-0 0 --10--01-01-0 0 -.names RST.BLIF DS_030.PIN.BLIF inst_DS_030_D0.D -0- 1 --1 1 -10 0 -.names RST.BLIF AS_030.PIN.BLIF inst_AS_030_D0.D -0- 1 --1 1 -10 0 -.names nEXP_SPACE.BLIF RST.BLIF inst_nEXP_SPACE_D0reg.D -1- 1 --0 1 -01 0 -.names VPA.BLIF RST.BLIF inst_VPA_D.D -1- 1 --0 1 -01 0 -.names DTACK.BLIF RST.BLIF inst_DTACK_D0.D -1- 1 --0 1 -01 0 -.names BG_030.BLIF RST.BLIF inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF \ -inst_CLK_000_D0.BLIF BG_000DFFreg.BLIF BG_000DFFreg.D -----01 1 ----0-1 1 ---0--1 1 --0---- 1 -1----- 1 -01111- 0 -01---0 0 -.names A1.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D ---1-1 1 -1-0-- 1 ---10- 1 --0--- 1 --1110 0 -010-- 0 -.names A1.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_BGACK_030_INT_D.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.D ---11- 1 -0-0-- 1 ---1-0 1 --0--- 1 --1101 0 -110-- 0 -.names RST.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF inst_VPA_D.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF \ -cpu_est_2_.BLIF inst_VMA_INTreg.D --0-01-1-1 1 ---1----0- 1 ---1--1--- 1 ---10----- 1 ---1-1---- 1 -0-------- 1 --11------ 1 ---1-----0 1 -10-100-11 0 -1-0---0-- 0 -110------ 0 -1-0-----0 0 -1-0-0---- 0 -1-01----- 0 -.names RST.BLIF inst_DS_030_D0.BLIF inst_UDS_000_INT.BLIF SM_AMIGA_6_.BLIF \ -A0.PIN.BLIF inst_UDS_000_INT.D --0-11 1 ---10- 1 --11-- 1 -0---- 1 -10-10 0 -1-00- 0 -110-- 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D -0- 1 --1 1 -10 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -1 0 -.names cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -inst_VPA_D.BLIF inst_DTACK_D0.BLIF cpu_est_2_.BLIF inst_CLK_000_NE_D0.BLIF \ -pos_clk_un29_clk_000_ne_d0_i_n -----0-0- 1 -----11-- 1 ----10--- 1 ---1-0--- 1 --1--0--- 1 -0---0--- 1 --------0 1 -10000-11 0 -----10-1 0 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ -1 1 -0 0 -.names BG_000DFFreg.BLIF BG_000 -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -0 0 -.names CLK_DIV_OUT - 0 -.names CLK_030.BLIF CLK_EXP -1 1 -0 0 -.names FC_1_.BLIF BGACK_000.BLIF FPU_SENSE.BLIF A_19_.BLIF A_18_.BLIF \ -A_17_.BLIF A_16_.BLIF FC_0_.BLIF AS_030.PIN.BLIF FPU_CS --------0- 1 -------1-- 1 ------0--- 1 -----1---- 1 ----1----- 1 ---1------ 1 --0------- 1 -0-------- 1 ---------1 1 -110001010 0 -.names inst_DSACK1_INTreg.BLIF DSACK1 -1 1 -0 0 -.names AVEC - 1 -.names cpu_est_3_reg.BLIF E -1 1 -0 0 -.names inst_VMA_INTreg.BLIF VMA -1 1 -0 0 -.names inst_RESET_OUTreg.BLIF RESET -1 1 -0 0 -.names AMIGA_ADDR_ENABLE - 0 -.names inst_BGACK_030_INTreg.BLIF inst_nEXP_SPACE_D0reg.BLIF AS_000.PIN.BLIF \ -RW_000.PIN.BLIF AMIGA_BUS_DATA_DIR -0001 1 -1--0 1 --1-1 0 ---11 0 -0--0 0 -1--1 0 -.names inst_BGACK_030_INTreg.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ -AMIGA_BUS_ENABLE_LOW -1- 1 --1 1 -00 0 -.names inst_BGACK_030_INTreg.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH -01- 1 -1-0 1 -00- 0 -1-1 0 -.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ -A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -inst_AS_030_D0.BLIF CIIN -0000000011110 1 ------------0- 0 -----------0-- 0 ----------0--- 0 ---------0---- 0 --------1----- 0 -------1------ 0 ------1------- 0 -----1-------- 0 ----1--------- 0 ---1---------- 0 --1----------- 0 -1------------ 0 -------------1 0 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -0 0 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_030DFF_2_reg.C -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_D0_0_.C -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_D0_1_.C -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_D0_2_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_i_7_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_3_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_2_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_1_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SM_AMIGA_0_.C -1 1 -0 0 -.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_.D -10 1 -01 1 -00 0 -11 0 -.names CLK_OSZI.BLIF cpu_est_0_.C -1 1 -0 0 -.names CLK_OSZI.BLIF cpu_est_1_.C -1 1 -0 0 -.names CLK_OSZI.BLIF cpu_est_2_.C -1 1 -0 0 -.names CLK_OSZI.BLIF cpu_est_3_reg.C -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_030DFF_0_reg.C -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_030DFF_1_reg.C -1 1 -0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_0_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_1_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_2_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_3_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_4_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_5_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_6_.C -1 1 -0 0 -.names CLK_OSZI.BLIF RST_DLY_7_.C -1 1 -0 0 -.names CLK_OSZI.BLIF CYCLE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI.BLIF CYCLE_DMA_1_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SIZE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI.BLIF SIZE_DMA_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_8_.C -1 1 -0 0 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_9_.C -1 1 -0 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF CLK_000_N_SYNC_0_.D -10 1 -0- 0 --1 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_0_.C -1 1 -0 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_1_.C -1 1 -0 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_2_.C -1 1 -0 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_3_.C -1 1 -0 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_0_.C -1 1 -0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_4_.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_RESET_OUTreg.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_AS_000_INT.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_DSACK1_INTreg.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_A0_DMA.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_AS_000_DMA.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_DS_000_DMA.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_DS_030_D0.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_AS_030_D0.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_nEXP_SPACE_D0reg.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_VPA_D.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_DTACK_D0.C -1 1 -0 0 -.names CLK_OSZI.BLIF BG_000DFFreg.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_UDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INT_D.C -1 1 -0 0 -.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_PE.C -1 1 -0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE_50.C -1 1 -0 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_D1.C -1 1 -0 0 -.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_NE_D0.C -1 1 -0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_OUT_PRE_D.C -1 1 -0 0 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_D0.C -1 1 -0 0 -.names SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF SIZE_1_ -01 1 -1- 0 --0 0 -.names inst_AS_000_DMA.BLIF AS_000.PIN.BLIF AS_030 -1- 1 --1 1 -00 0 -.names inst_AS_000_INT.BLIF AS_030.PIN.BLIF AS_000 -1- 1 --1 1 -00 0 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -0 0 -.names inst_DS_000_DMA.BLIF AS_000.PIN.BLIF DS_030 -1- 1 --1 1 -00 0 -.names inst_UDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF DS_030.PIN.BLIF UDS_000 --0- 1 -1-- 1 ---1 1 -010 0 -.names inst_LDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF DS_030.PIN.BLIF LDS_000 --0- 1 -1-- 1 ---1 1 -010 0 -.names inst_A0_DMA.BLIF A0 -1 1 -0 0 -.names BERR - 0 -.names inst_RW_000_DMA.BLIF RW -1 1 -0 0 -.names SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF SIZE_0_ -10 1 -0- 0 --1 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF AS_030.OE -010 1 --0- 0 -1-- 0 ---1 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF AS_000.OE -11 1 -0- 0 --0 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF RW_000.OE -11 1 -0- 0 --0 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF DS_030.OE -010 1 --0- 0 -1-- 0 ---1 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF UDS_000.OE -11 1 -0- 0 --0 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF LDS_000.OE -11 1 -0- 0 --0 0 -.names inst_BGACK_030_INTreg.BLIF inst_nEXP_SPACE_D0reg.BLIF SIZE_0_.OE -00 1 -1- 0 --1 0 -.names inst_BGACK_030_INTreg.BLIF inst_nEXP_SPACE_D0reg.BLIF SIZE_1_.OE -00 1 -1- 0 --1 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF A0.OE -010 1 --0- 0 -1-- 0 ---1 0 -.names FC_1_.BLIF BGACK_000.BLIF FPU_SENSE.BLIF A_19_.BLIF A_18_.BLIF \ -A_17_.BLIF A_16_.BLIF FC_0_.BLIF AS_030.PIN.BLIF BERR.OE -111001010 1 --------0- 0 -------1-- 0 ------0--- 0 -----1---- 0 ----1----- 0 ---0------ 0 --0------- 0 -0-------- 0 ---------1 0 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF RW.OE -01 1 -1- 0 --0 0 -.names CLK_DIV_OUT.OE - 0 -.names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE -1 1 -0 0 -.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ -A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF CIIN.OE -0000000011110- 1 --------------1 1 -------------10 0 ------------0-0 0 -----------0--0 0 ----------0---0 0 ---------0----0 0 --------1-----0 0 -------1------0 0 ------1-------0 0 -----1--------0 0 ----1---------0 0 ---1----------0 0 --1-----------0 0 -1------------0 0 -.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -inst_CLK_000_NE_D0.BLIF cpu_est_3_reg.D.X1 -11111 1 -0---- 0 --0--- 0 ---0-- 0 ----0- 0 -----0 0 -.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -inst_CLK_000_NE_D0.BLIF cpu_est_3_reg.D.X2 -1---- 1 --0001 1 --1101 1 -0--1- 0 -0---0 0 -001-- 0 -010-- 0 -.names RST.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_3_.D.X1 -11 1 -0- 0 --0 0 -.names RST.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF inst_CLK_000_PE.BLIF \ -cpu_est_2_.BLIF SM_AMIGA_3_.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_4_.BLIF \ -BERR.PIN.BLIF SM_AMIGA_3_.D.X2 -1------1-0-1- 1 -1--------1--0 1 -110000--1110- 1 -1----10--110- 1 -0------------ 0 --------0-0--- 0 ----------0-0- 0 ----------10-1 0 ----------1-11 0 --0---0---1--1 0 ---1--0---1--1 0 ----1-0---1--1 0 -----10---1--1 0 ------11--1--1 0 ------0--01--1 0 -.names RST.BLIF RST_DLY_4_.BLIF RST_DLY_4_.D.X1 -11 1 -0- 0 --0 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_4_.D.X2 -1111110--- 1 -111111-0-- 1 -111111--0- 1 -111111---0 1 -0--------- 0 --0-------- 0 ---0------- 0 ----0------ 0 -----0----- 0 ------0---- 0 -------1111 0 -.names RST_DLY_5_.BLIF RST_DLY_5_.D.X1 -1 1 -0 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_5_.D.X2 -11111110-- 1 -0------1-- 1 --11111110- 1 --1111111-0 1 -10-------- 0 -1-0------- 0 -1--0------ 0 -1---0----- 0 -1----0---- 0 -1-----0--- 0 -0------0-- 0 --0-----0-- 0 ---0----0-- 0 ----0---0-- 0 -----0--0-- 0 ------0-0-- 0 -------00-- 0 -1------111 0 -.names RST_DLY_6_.BLIF RST_DLY_6_.D.X1 -1 1 -0 0 -.names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ -RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_6_.D.X2 -111111110- 1 -0-------1- 1 --111111110 1 -10-------- 0 -1-0------- 0 -1--0------ 0 -1---0----- 0 -1----0---- 0 -1-----0--- 0 -1------0-- 0 -0-------0- 0 --0------0- 0 ---0-----0- 0 ----0----0- 0 -----0---0- 0 ------0--0- 0 -------0-0- 0 --------00- 0 -1-------11 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_030_H.D.X1 -10 1 -0- 0 --1 0 -.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF inst_CLK_030_H.BLIF AS_000.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_CLK_030_H.D.X2 --10-00---- 1 --10----1-- 1 -110---0--- 1 --101--0--- 1 --10-----11 1 --10-11---- 1 --0-------- 0 ---1------- 0 -----01100- 0 -----10100- 0 -----0110-0 0 -----1010-0 0 -0--001-00- 0 -0--010-00- 0 -0--001-0-0 0 -0--010-0-0 0 -.names RST.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_i_7_.D.X1 -10 1 -0- 0 --1 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_nEXP_SPACE_D0reg.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF \ -inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_0_.BLIF \ -SM_AMIGA_6_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF BERR.PIN.BLIF SM_AMIGA_i_7_.D.X2 -100-----000-000- 1 -10-1----000-000- 1 -10--0---000-000- 1 -10---1--000-000- 1 -1-----0--0----10 1 -1-----0--0---1-0 1 -1------0-0--1--0 1 -1-----0--01----0 1 -1-------10-1---0 1 -11-----0-0-----0 1 -1-----0--1-----1 1 -0--------------- 0 -------1--1------ 0 ----------1-----0 0 --1-------0-----1 0 ---------10-----1 0 ----------01----1 0 ----------0--1--1 0 ----------0---1-1 0 ----------0----11 0 --1----110------- 0 -------110-1----- 0 --1----11---0---- 0 -------111--0---- 0 -------110---1--- 0 --0----1-0-1-0--- 0 --0----1-1--00--- 0 --0----1-0---01-- 0 --0----1-0---0-1- 0 --1-----1000--00- 0 --1-----1-000-00- 0 --------11000-00- 0 --------1000-100- 0 --0------1000000- 0 --01010--000-000- 0 -.end diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index c77b7bd..243eaa6 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 1.8.00.04.29.14 -// Design '68030_tk' created Thu Sep 24 16:20:59 2015 +// Design '68030_tk' created Sat Oct 10 21:59:48 2015 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.d0 b/Logic/68030_tk.d0 deleted file mode 100644 index 0541d3b..0000000 --- a/Logic/68030_tk.d0 +++ /dev/null @@ -1 +0,0 @@ - -dev mach4a_DT_NCE -clust 5 diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 deleted file mode 100644 index 4d428b6..0000000 --- a/Logic/68030_tk.eq3 +++ /dev/null @@ -1,771 +0,0 @@ - ispLEVER Classic 1.8.00.04.29.14 Linked Equations File -Copyright(C), 1992-2014, Lattice Semiconductor Corp. -All Rights Reserved. - -Design bus68030 created Thu Sep 24 16:20:59 2015 - - - P-Terms Fan-in Fan-out Type Name (attributes) ---------- ------ ------- ---- ----------------- - 1 2 1 Pin SIZE_1_ - 1 2 1 Pin SIZE_1_.OE - 1 2 1 Pin AS_030- - 1 3 1 Pin AS_030.OE - 1 2 1 Pin AS_000- - 1 2 1 Pin AS_000.OE - 1 2 1 Pin DS_030- - 1 3 1 Pin DS_030.OE - 1 3 1 Pin UDS_000- - 1 2 1 Pin UDS_000.OE - 1 3 1 Pin LDS_000- - 1 2 1 Pin LDS_000.OE - 0 0 1 Pin BERR - 1 9 1 Pin BERR.OE - 0 0 1 Pin CLK_DIV_OUT - 0 0 1 Pin CLK_DIV_OUT.OE - 1 1 1 Pin CLK_EXP - 1 9 1 Pin FPU_CS- - 1 0 1 Pin AVEC - 0 0 1 Pin AMIGA_ADDR_ENABLE - 2 4 1 Pin AMIGA_BUS_DATA_DIR - 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- - 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH - 1 13 1 Pin CIIN - 1 1 1 Pin CIIN.OE - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE - 10 8 1 Pin IPL_030_2_.D- - 1 1 1 Pin IPL_030_2_.C - 1 2 1 Pin RW_000.OE - 3 7 1 Pin RW_000.D- - 1 1 1 Pin RW_000.C - 1 3 1 Pin A0.OE - 3 5 1 Pin A0.D - 1 1 1 Pin A0.C - 2 6 1 Pin BG_000.D- - 1 1 1 Pin BG_000.C - 3 5 1 Pin BGACK_030.D - 1 1 1 Pin BGACK_030.C - 10 8 1 Pin IPL_030_1_.D- - 1 1 1 Pin IPL_030_1_.C - 10 8 1 Pin IPL_030_0_.D- - 1 1 1 Pin IPL_030_0_.C - 1 1 1 Pin DSACK1.OE - 4 9 1 Pin DSACK1.D- - 1 1 1 Pin DSACK1.C - 5 5 1 Pin E.D - 1 1 1 Pin E.C - 3 9 1 Pin VMA.T - 1 1 1 Pin VMA.C - 2 11 1 Pin RESET.D - 1 1 1 Pin RESET.C - 1 2 1 Pin RW.OE - 2 5 1 Pin RW.D- - 1 1 1 Pin RW.C - 2 2 1 Node cpu_est_0_.D - 1 1 1 Node cpu_est_0_.C - 5 5 1 Node cpu_est_1_.D- - 1 1 1 Node cpu_est_1_.C - 2 5 1 Node inst_AS_000_INT.D- - 1 1 1 Node inst_AS_000_INT.C - 3 6 1 Node SM_AMIGA_5_.D - 1 1 1 Node SM_AMIGA_5_.C - 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.D- - 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.C - 1 2 1 Node inst_AS_030_D0.D- - 1 1 1 Node inst_AS_030_D0.C - 1 2 1 Node inst_nEXP_SPACE_D0reg.D- - 1 1 1 Node inst_nEXP_SPACE_D0reg.C - 1 2 1 Node inst_DS_030_D0.D- - 1 1 1 Node inst_DS_030_D0.C - 7 14 1 Node inst_AS_030_000_SYNC.D- - 1 1 1 Node inst_AS_030_000_SYNC.C - 1 2 1 Node inst_BGACK_030_INT_D.D- - 1 1 1 Node inst_BGACK_030_INT_D.C - 7 9 1 Node inst_AS_000_DMA.D - 1 1 1 Node inst_AS_000_DMA.C - 9 12 1 Node inst_DS_000_DMA.D - 1 1 1 Node inst_DS_000_DMA.C - 2 5 1 Node CYCLE_DMA_0_.D - 1 1 1 Node CYCLE_DMA_0_.C - 3 6 1 Node CYCLE_DMA_1_.D - 1 1 1 Node CYCLE_DMA_1_.C - 3 6 1 Node SIZE_DMA_0_.D- - 1 1 1 Node SIZE_DMA_0_.C - 3 6 1 Node SIZE_DMA_1_.D - 1 1 1 Node SIZE_DMA_1_.C - 1 2 1 Node inst_VPA_D.D- - 1 1 1 Node inst_VPA_D.C - 3 5 1 Node inst_UDS_000_INT.D- - 1 1 1 Node inst_UDS_000_INT.C - 4 7 1 Node inst_LDS_000_INT.D - 1 1 1 Node inst_LDS_000_INT.C - 1 1 1 Node inst_CLK_OUT_PRE_D.D - 1 1 1 Node inst_CLK_OUT_PRE_D.C - 1 2 1 Node inst_DTACK_D0.D- - 1 1 1 Node inst_DTACK_D0.C - 1 1 1 Node inst_CLK_OUT_PRE_50.D - 1 1 1 Node inst_CLK_OUT_PRE_50.C - 1 1 1 Node inst_CLK_000_D1.D - 1 1 1 Node inst_CLK_000_D1.C - 1 1 1 Node inst_CLK_000_D0.D - 1 1 1 Node inst_CLK_000_D0.C - 1 1 1 Node inst_CLK_000_PE.D - 1 1 1 Node inst_CLK_000_PE.C - 1 1 1 Node CLK_000_P_SYNC_9_.D - 1 1 1 Node CLK_000_P_SYNC_9_.C - 1 1 1 Node inst_CLK_000_NE.D - 1 1 1 Node inst_CLK_000_NE.C - 1 1 1 Node CLK_000_N_SYNC_11_.D - 1 1 1 Node CLK_000_N_SYNC_11_.C - 4 5 1 Node cpu_est_2_.D - 1 1 1 Node cpu_est_2_.C - 1 2 1 Node IPL_D0_0_.D- - 1 1 1 Node IPL_D0_0_.C - 1 2 1 Node IPL_D0_1_.D- - 1 1 1 Node IPL_D0_1_.C - 1 2 1 Node IPL_D0_2_.D- - 1 1 1 Node IPL_D0_2_.C - 5 13 1 Node SM_AMIGA_3_.T - 1 1 1 Node SM_AMIGA_3_.C - 1 1 1 Node inst_CLK_000_NE_D0.D - 1 1 1 Node inst_CLK_000_NE_D0.C - 2 6 1 Node SM_AMIGA_0_.D - 1 1 1 Node SM_AMIGA_0_.C - 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- - 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.C - 3 9 1 Node SM_AMIGA_6_.D - 1 1 1 Node SM_AMIGA_6_.C - 3 10 1 Node RST_DLY_0_.D - 1 1 1 Node RST_DLY_0_.C - 4 10 1 Node RST_DLY_1_.D - 1 1 1 Node RST_DLY_1_.C - 5 10 1 Node RST_DLY_2_.D - 1 1 1 Node RST_DLY_2_.C - 6 10 1 Node RST_DLY_3_.D - 1 1 1 Node RST_DLY_3_.C - 2 7 1 NodeX1 RST_DLY_4_.T.X1 - 1 10 1 NodeX2 RST_DLY_4_.T.X2 - 1 1 1 Node RST_DLY_4_.C - 4 10 1 Node RST_DLY_5_.T - 1 1 1 Node RST_DLY_5_.C - 3 10 1 Node RST_DLY_6_.T - 1 1 1 Node RST_DLY_6_.C - 2 10 1 Node RST_DLY_7_.D - 1 1 1 Node RST_DLY_7_.C - 1 2 1 Node CLK_000_P_SYNC_0_.D - 1 1 1 Node CLK_000_P_SYNC_0_.C - 1 1 1 Node CLK_000_P_SYNC_1_.D - 1 1 1 Node CLK_000_P_SYNC_1_.C - 1 1 1 Node CLK_000_P_SYNC_2_.D - 1 1 1 Node CLK_000_P_SYNC_2_.C - 1 1 1 Node CLK_000_P_SYNC_3_.D - 1 1 1 Node CLK_000_P_SYNC_3_.C - 1 1 1 Node CLK_000_P_SYNC_4_.D - 1 1 1 Node CLK_000_P_SYNC_4_.C - 1 1 1 Node CLK_000_P_SYNC_5_.D - 1 1 1 Node CLK_000_P_SYNC_5_.C - 1 1 1 Node CLK_000_P_SYNC_6_.D - 1 1 1 Node CLK_000_P_SYNC_6_.C - 1 1 1 Node CLK_000_P_SYNC_7_.D - 1 1 1 Node CLK_000_P_SYNC_7_.C - 1 1 1 Node CLK_000_P_SYNC_8_.D - 1 1 1 Node CLK_000_P_SYNC_8_.C - 1 2 1 Node CLK_000_N_SYNC_0_.D - 1 1 1 Node CLK_000_N_SYNC_0_.C - 1 1 1 Node CLK_000_N_SYNC_1_.D - 1 1 1 Node CLK_000_N_SYNC_1_.C - 1 1 1 Node CLK_000_N_SYNC_2_.D - 1 1 1 Node CLK_000_N_SYNC_2_.C - 1 1 1 Node CLK_000_N_SYNC_3_.D - 1 1 1 Node CLK_000_N_SYNC_3_.C - 1 1 1 Node CLK_000_N_SYNC_4_.D - 1 1 1 Node CLK_000_N_SYNC_4_.C - 2 8 1 Node pos_clk_un29_clk_000_ne_d0_i_n- - 1 1 1 Node CLK_000_N_SYNC_5_.D - 1 1 1 Node CLK_000_N_SYNC_5_.C - 1 1 1 Node CLK_000_N_SYNC_6_.D - 1 1 1 Node CLK_000_N_SYNC_6_.C - 1 1 1 Node CLK_000_N_SYNC_7_.D - 1 1 1 Node CLK_000_N_SYNC_7_.C - 1 1 1 Node CLK_000_N_SYNC_8_.D - 1 1 1 Node CLK_000_N_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_9_.D - 1 1 1 Node CLK_000_N_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_10_.D - 1 1 1 Node CLK_000_N_SYNC_10_.C - 8 10 1 Node inst_CLK_030_H.D - 1 1 1 Node inst_CLK_030_H.C - 3 6 1 Node SM_AMIGA_1_.D - 1 1 1 Node SM_AMIGA_1_.C - 3 6 1 Node SM_AMIGA_4_.D - 1 1 1 Node SM_AMIGA_4_.C - 4 13 1 Node SM_AMIGA_2_.D - 1 1 1 Node SM_AMIGA_2_.C - 3 7 1 Node inst_DS_000_ENABLE.D - 1 1 1 Node inst_DS_000_ENABLE.C - 13 16 1 Node SM_AMIGA_i_7_.D- - 1 1 1 Node SM_AMIGA_i_7_.C - 2 14 1 Node CIIN_0 -========= - 346 P-Term Total: 346 - Total Pins: 61 - Total Nodes: 73 - Average P-Term/Output: 2 - - -Equations: - -SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); - -SIZE_1_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); - -!AS_030 = (!inst_AS_000_DMA.Q & !AS_000.PIN); - -AS_030.OE = (!BGACK_030.Q & RESET.Q & !inst_nEXP_SPACE_D0reg.Q); - -!AS_000 = (!inst_AS_000_INT.Q & !AS_030.PIN); - -AS_000.OE = (BGACK_030.Q & RESET.Q); - -!DS_030 = (!inst_DS_000_DMA.Q & !AS_000.PIN); - -DS_030.OE = (!BGACK_030.Q & RESET.Q & !inst_nEXP_SPACE_D0reg.Q); - -!UDS_000 = (!inst_UDS_000_INT.Q & inst_DS_000_ENABLE.Q & !DS_030.PIN); - -UDS_000.OE = (BGACK_030.Q & RESET.Q); - -!LDS_000 = (!inst_LDS_000_INT.Q & inst_DS_000_ENABLE.Q & !DS_030.PIN); - -LDS_000.OE = (BGACK_030.Q & RESET.Q); - -BERR = (0); - -BERR.OE = (FC_1_ & BGACK_000 & FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); - -CLK_DIV_OUT = (0); - -CLK_DIV_OUT.OE = (0); - -CLK_EXP = (CLK_030); - -!FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); - -AVEC = (1); - -AMIGA_ADDR_ENABLE = (0); - -AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN - # !BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q & !AS_000.PIN & RW_000.PIN); - -!AMIGA_BUS_ENABLE_LOW = (!BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q); - -AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q - # BGACK_030.Q & !SM_AMIGA_i_7_.Q); - -CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); - -CIIN.OE = (CIIN_0); - -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); - -!IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q - # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q - # RST & !IPL_0_ & IPL_D0_0_.Q & !IPL_030_2_.Q - # RST & IPL_0_ & !IPL_D0_0_.Q & !IPL_030_2_.Q - # RST & !IPL_1_ & IPL_D0_1_.Q & !IPL_030_2_.Q - # RST & IPL_1_ & !IPL_D0_1_.Q & !IPL_030_2_.Q - # !IPL_2_ & RST & IPL_1_ & IPL_0_ & IPL_D0_0_.Q & IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & IPL_0_ & IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q); - -IPL_030_2_.C = (CLK_OSZI); - -RW_000.OE = (BGACK_030.Q & RESET.Q); - -!RW_000.D = (RST & SM_AMIGA_5_.Q & !RW.PIN - # RST & !SM_AMIGA_5_.Q & !inst_CLK_000_PE.Q & !RW_000.Q & SM_AMIGA_i_7_.Q - # RST & !SM_AMIGA_5_.Q & !SM_AMIGA_0_.Q & !RW_000.Q & SM_AMIGA_i_7_.Q); - -RW_000.C = (CLK_OSZI); - -A0.OE = (!BGACK_030.Q & RESET.Q & !inst_nEXP_SPACE_D0reg.Q); - -A0.D = (!RST - # !BGACK_030.Q & UDS_000.PIN - # BGACK_030.Q & inst_BGACK_030_INT_D.Q & A0.Q); - -A0.C = (CLK_OSZI); - -!BG_000.D = (!BG_030 & RST & !BG_000.Q - # !BG_030 & RST & inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_CLK_000_D0.Q); - -BG_000.C = (CLK_OSZI); - -BGACK_030.D = (!RST - # BGACK_000 & BGACK_030.Q - # BGACK_000 & inst_CLK_000_PE.Q & AS_000.PIN); - -BGACK_030.C = (CLK_OSZI); - -!IPL_030_1_.D = (RST & !IPL_1_ & !IPL_030_1_.Q - # RST & !IPL_D0_1_.Q & !IPL_030_1_.Q - # RST & !IPL_0_ & IPL_D0_0_.Q & !IPL_030_1_.Q - # RST & IPL_0_ & !IPL_D0_0_.Q & !IPL_030_1_.Q - # !IPL_2_ & RST & IPL_D0_2_.Q & !IPL_030_1_.Q - # IPL_2_ & RST & !IPL_D0_2_.Q & !IPL_030_1_.Q - # IPL_2_ & RST & !IPL_1_ & IPL_0_ & IPL_D0_0_.Q & !IPL_D0_1_.Q & IPL_D0_2_.Q - # IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & IPL_0_ & IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q); - -IPL_030_1_.C = (CLK_OSZI); - -!IPL_030_0_.D = (RST & !IPL_0_ & !IPL_030_0_.Q - # RST & !IPL_D0_0_.Q & !IPL_030_0_.Q - # RST & !IPL_1_ & IPL_D0_1_.Q & !IPL_030_0_.Q - # RST & IPL_1_ & !IPL_D0_1_.Q & !IPL_030_0_.Q - # !IPL_2_ & RST & IPL_D0_2_.Q & !IPL_030_0_.Q - # IPL_2_ & RST & !IPL_D0_2_.Q & !IPL_030_0_.Q - # IPL_2_ & RST & IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & IPL_D0_1_.Q & IPL_D0_2_.Q - # IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & IPL_D0_2_.Q - # !IPL_2_ & RST & IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q); - -IPL_030_0_.C = (CLK_OSZI); - -DSACK1.OE = (inst_nEXP_SPACE_D0reg.Q); - -!DSACK1.D = (RST & CLK_000_N_SYNC_10_.Q & SM_AMIGA_1_.Q - # !CLK_030 & RST & CLK_000_N_SYNC_9_.Q & SM_AMIGA_1_.Q - # RST & inst_CLK_OUT_PRE_D.Q & CLK_000_N_SYNC_9_.Q & SM_AMIGA_1_.Q - # RST & !inst_AS_030_D0.Q & !DSACK1.Q & BERR.PIN); - -DSACK1.C = (CLK_OSZI); - -E.D = (E.Q & !cpu_est_0_.Q - # E.Q & !cpu_est_1_.Q - # E.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q - # !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -E.C = (CLK_OSZI); - -VMA.T = (!RST & !VMA.Q - # !E.Q & !VMA.Q & !cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_PE.Q & cpu_est_2_.Q - # RST & !E.Q & VMA.Q & cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q); - -VMA.C = (CLK_OSZI); - -RESET.D = (RST & RESET.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RESET.C = (CLK_OSZI); - -RW.OE = (!BGACK_030.Q & RESET.Q); - -!RW.D = (RST & !BGACK_030.Q & !RW_000.PIN - # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !RW.Q); - -RW.C = (CLK_OSZI); - -cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); - -cpu_est_0_.C = (CLK_OSZI); - -!cpu_est_1_.D = (!cpu_est_1_.Q & !inst_CLK_000_NE_D0.Q - # E.Q & cpu_est_0_.Q & !cpu_est_1_.Q - # !E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & cpu_est_2_.Q - # E.Q & cpu_est_0_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q - # !E.Q & cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_1_.C = (CLK_OSZI); - -!inst_AS_000_INT.D = (RST & SM_AMIGA_5_.Q - # RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q & BERR.PIN); - -inst_AS_000_INT.C = (CLK_OSZI); - -SM_AMIGA_5_.D = (RST & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & SM_AMIGA_6_.Q - # RST & SM_AMIGA_5_.Q & !inst_CLK_000_NE.Q & BERR.PIN - # RST & SM_AMIGA_5_.Q & SM_AMIGA_6_.Q & BERR.PIN); - -SM_AMIGA_5_.C = (CLK_OSZI); - -!inst_AMIGA_BUS_ENABLE_DMA_LOW.D = (A1 & RST & !BGACK_030.Q - # RST & BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q & inst_BGACK_030_INT_D.Q); - -inst_AMIGA_BUS_ENABLE_DMA_LOW.C = (CLK_OSZI); - -!inst_AS_030_D0.D = (RST & !AS_030.PIN); - -inst_AS_030_D0.C = (CLK_OSZI); - -!inst_nEXP_SPACE_D0reg.D = (!nEXP_SPACE & RST); - -inst_nEXP_SPACE_D0reg.C = (CLK_OSZI); - -!inst_DS_030_D0.D = (RST & !DS_030.PIN); - -inst_DS_030_D0.C = (CLK_OSZI); - -!inst_AS_030_000_SYNC.D = (RST & !inst_AS_030_D0.Q & !inst_AS_030_000_SYNC.Q & BERR.PIN - # !FC_1_ & RST & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & A_19_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & A_18_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & !A_17_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & A_16_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & !FC_0_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN); - -inst_AS_030_000_SYNC.C = (CLK_OSZI); - -!inst_BGACK_030_INT_D.D = (RST & !BGACK_030.Q); - -inst_BGACK_030_INT_D.C = (CLK_OSZI); - -inst_AS_000_DMA.D = (!RST - # BGACK_030.Q - # AS_000.PIN - # !CLK_030 & inst_AS_000_DMA.Q - # CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q - # !CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q - # UDS_000.PIN & LDS_000.PIN); - -inst_AS_000_DMA.C = (CLK_OSZI); - -inst_DS_000_DMA.D = (!RST - # BGACK_030.Q - # AS_000.PIN - # CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q - # !CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q - # UDS_000.PIN & LDS_000.PIN - # !CLK_030 & inst_DS_000_DMA.Q & !RW_000.PIN - # inst_DS_000_DMA.Q & !inst_CLK_030_H.Q & !RW_000.PIN - # CLK_030 & inst_AS_000_DMA.Q & inst_CLK_030_H.Q & !RW_000.PIN); - -inst_DS_000_DMA.C = (CLK_OSZI); - -CYCLE_DMA_0_.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & inst_CLK_000_PE.Q & !AS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !inst_CLK_000_PE.Q & !AS_000.PIN); - -CYCLE_DMA_0_.C = (CLK_OSZI); - -CYCLE_DMA_1_.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & !AS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_1_.Q & !inst_CLK_000_PE.Q & !AS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & inst_CLK_000_PE.Q & !AS_000.PIN); - -CYCLE_DMA_1_.C = (CLK_OSZI); - -!SIZE_DMA_0_.D = (RST & BGACK_030.Q & !inst_BGACK_030_INT_D.Q - # RST & BGACK_030.Q & !SIZE_DMA_0_.Q - # RST & !BGACK_030.Q & !UDS_000.PIN & !LDS_000.PIN); - -SIZE_DMA_0_.C = (CLK_OSZI); - -SIZE_DMA_1_.D = (!RST - # BGACK_030.Q & inst_BGACK_030_INT_D.Q & SIZE_DMA_1_.Q - # !BGACK_030.Q & !UDS_000.PIN & !LDS_000.PIN); - -SIZE_DMA_1_.C = (CLK_OSZI); - -!inst_VPA_D.D = (!VPA & RST); - -inst_VPA_D.C = (CLK_OSZI); - -!inst_UDS_000_INT.D = (RST & inst_DS_030_D0.Q & !inst_UDS_000_INT.Q - # RST & !inst_UDS_000_INT.Q & !SM_AMIGA_6_.Q - # RST & !inst_DS_030_D0.Q & SM_AMIGA_6_.Q & !A0.PIN); - -inst_UDS_000_INT.C = (CLK_OSZI); - -inst_LDS_000_INT.D = (!RST - # inst_DS_030_D0.Q & inst_LDS_000_INT.Q - # inst_LDS_000_INT.Q & !SM_AMIGA_6_.Q - # !inst_DS_030_D0.Q & SM_AMIGA_6_.Q & SIZE_0_.PIN & !SIZE_1_.PIN & !A0.PIN); - -inst_LDS_000_INT.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_D.C = (CLK_OSZI); - -!inst_DTACK_D0.D = (!DTACK & RST); - -inst_DTACK_D0.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_50.C = (CLK_OSZI); - -inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); - -inst_CLK_000_D1.C = (CLK_OSZI); - -inst_CLK_000_D0.D = (CLK_000); - -inst_CLK_000_D0.C = (CLK_OSZI); - -inst_CLK_000_PE.D = (CLK_000_P_SYNC_9_.Q); - -inst_CLK_000_PE.C = (CLK_OSZI); - -CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); - -CLK_000_P_SYNC_9_.C = (CLK_OSZI); - -inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); - -inst_CLK_000_NE.C = (CLK_OSZI); - -CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); - -CLK_000_N_SYNC_11_.C = (CLK_OSZI); - -cpu_est_2_.D = (cpu_est_1_.Q & cpu_est_2_.Q - # cpu_est_2_.Q & !inst_CLK_000_NE_D0.Q - # E.Q & cpu_est_0_.Q & inst_CLK_000_NE_D0.Q - # !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_2_.C = (CLK_OSZI); - -!IPL_D0_0_.D = (RST & !IPL_0_); - -IPL_D0_0_.C = (CLK_OSZI); - -!IPL_D0_1_.D = (RST & !IPL_1_); - -IPL_D0_1_.C = (CLK_OSZI); - -!IPL_D0_2_.D = (!IPL_2_ & RST); - -IPL_D0_2_.C = (CLK_OSZI); - -SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q - # SM_AMIGA_3_.Q & !BERR.PIN - # RST & inst_CLK_000_PE.Q & !SM_AMIGA_3_.Q & SM_AMIGA_4_.Q - # inst_VPA_D.Q & !inst_DTACK_D0.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q - # E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q); - -SM_AMIGA_3_.C = (CLK_OSZI); - -inst_CLK_000_NE_D0.D = (inst_CLK_000_NE.Q); - -inst_CLK_000_NE_D0.C = (CLK_OSZI); - -SM_AMIGA_0_.D = (RST & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & SM_AMIGA_1_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_0_.Q & BERR.PIN); - -SM_AMIGA_0_.C = (CLK_OSZI); - -!inst_AMIGA_BUS_ENABLE_DMA_HIGH.D = (!A1 & RST & !BGACK_030.Q - # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q); - -inst_AMIGA_BUS_ENABLE_DMA_HIGH.C = (CLK_OSZI); - -SM_AMIGA_6_.D = (RST & SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & BERR.PIN - # RST & inst_nEXP_SPACE_D0reg.Q & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & !SM_AMIGA_i_7_.Q); - -SM_AMIGA_6_.C = (CLK_OSZI); - -RST_DLY_0_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_0_.Q - # RST & inst_CLK_000_NE.Q & !RST_DLY_0_.Q - # RST & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_0_.C = (CLK_OSZI); - -RST_DLY_1_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_1_.Q - # RST & !RST_DLY_0_.Q & RST_DLY_1_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & !RST_DLY_1_.Q - # RST & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_1_.C = (CLK_OSZI); - -RST_DLY_2_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_2_.Q - # RST & !RST_DLY_0_.Q & RST_DLY_2_.Q - # RST & !RST_DLY_1_.Q & RST_DLY_2_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & !RST_DLY_2_.Q - # RST & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_2_.C = (CLK_OSZI); - -RST_DLY_3_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_3_.Q - # RST & !RST_DLY_0_.Q & RST_DLY_3_.Q - # RST & !RST_DLY_1_.Q & RST_DLY_3_.Q - # RST & !RST_DLY_2_.Q & RST_DLY_3_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & !RST_DLY_3_.Q - # RST & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_3_.C = (CLK_OSZI); - -RST_DLY_4_.T.X1 = (!RST & RST_DLY_4_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q); - -RST_DLY_4_.T.X2 = (RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_4_.C = (CLK_OSZI); - -RST_DLY_5_.T = (!RST & RST_DLY_5_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & !RST_DLY_5_.Q - # inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & !RST_DLY_6_.Q - # inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & !RST_DLY_7_.Q); - -RST_DLY_5_.C = (CLK_OSZI); - -RST_DLY_6_.T = (!RST & RST_DLY_6_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & !RST_DLY_6_.Q - # inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & !RST_DLY_7_.Q); - -RST_DLY_6_.C = (CLK_OSZI); - -RST_DLY_7_.D = (RST & RST_DLY_7_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q); - -RST_DLY_7_.C = (CLK_OSZI); - -CLK_000_P_SYNC_0_.D = (!inst_CLK_000_D1.Q & inst_CLK_000_D0.Q); - -CLK_000_P_SYNC_0_.C = (CLK_OSZI); - -CLK_000_P_SYNC_1_.D = (CLK_000_P_SYNC_0_.Q); - -CLK_000_P_SYNC_1_.C = (CLK_OSZI); - -CLK_000_P_SYNC_2_.D = (CLK_000_P_SYNC_1_.Q); - -CLK_000_P_SYNC_2_.C = (CLK_OSZI); - -CLK_000_P_SYNC_3_.D = (CLK_000_P_SYNC_2_.Q); - -CLK_000_P_SYNC_3_.C = (CLK_OSZI); - -CLK_000_P_SYNC_4_.D = (CLK_000_P_SYNC_3_.Q); - -CLK_000_P_SYNC_4_.C = (CLK_OSZI); - -CLK_000_P_SYNC_5_.D = (CLK_000_P_SYNC_4_.Q); - -CLK_000_P_SYNC_5_.C = (CLK_OSZI); - -CLK_000_P_SYNC_6_.D = (CLK_000_P_SYNC_5_.Q); - -CLK_000_P_SYNC_6_.C = (CLK_OSZI); - -CLK_000_P_SYNC_7_.D = (CLK_000_P_SYNC_6_.Q); - -CLK_000_P_SYNC_7_.C = (CLK_OSZI); - -CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q); - -CLK_000_P_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_0_.D = (inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q); - -CLK_000_N_SYNC_0_.C = (CLK_OSZI); - -CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q); - -CLK_000_N_SYNC_1_.C = (CLK_OSZI); - -CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q); - -CLK_000_N_SYNC_2_.C = (CLK_OSZI); - -CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q); - -CLK_000_N_SYNC_3_.C = (CLK_OSZI); - -CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); - -CLK_000_N_SYNC_4_.C = (CLK_OSZI); - -!pos_clk_un29_clk_000_ne_d0_i_n = (inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q - # E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); - -CLK_000_N_SYNC_5_.C = (CLK_OSZI); - -CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q); - -CLK_000_N_SYNC_6_.C = (CLK_OSZI); - -CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q); - -CLK_000_N_SYNC_7_.C = (CLK_OSZI); - -CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q); - -CLK_000_N_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q); - -CLK_000_N_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q); - -CLK_000_N_SYNC_10_.C = (CLK_OSZI); - -inst_CLK_030_H.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & !AS_000.PIN & !LDS_000.PIN); - -inst_CLK_030_H.C = (CLK_OSZI); - -SM_AMIGA_1_.D = (RST & inst_CLK_000_PE.Q & !SM_AMIGA_1_.Q & SM_AMIGA_2_.Q - # RST & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & BERR.PIN - # RST & SM_AMIGA_1_.Q & SM_AMIGA_2_.Q & BERR.PIN); - -SM_AMIGA_1_.C = (CLK_OSZI); - -SM_AMIGA_4_.D = (RST & SM_AMIGA_5_.Q & inst_CLK_000_NE.Q - # RST & SM_AMIGA_5_.Q & SM_AMIGA_4_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & BERR.PIN); - -SM_AMIGA_4_.C = (CLK_OSZI); - -SM_AMIGA_2_.D = (RST & SM_AMIGA_3_.Q & SM_AMIGA_2_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q); - -SM_AMIGA_2_.C = (CLK_OSZI); - -inst_DS_000_ENABLE.D = (RST & !SM_AMIGA_5_.Q & SM_AMIGA_3_.Q - # RST & SM_AMIGA_5_.Q & RW.PIN - # RST & !inst_AS_030_D0.Q & inst_DS_000_ENABLE.Q & BERR.PIN); - -inst_DS_000_ENABLE.C = (CLK_OSZI); - -!SM_AMIGA_i_7_.D = (!RST - # inst_CLK_000_PE.Q & SM_AMIGA_0_.Q - # SM_AMIGA_0_.Q & !BERR.PIN - # SM_AMIGA_5_.Q & !inst_CLK_000_NE.Q & !BERR.PIN - # !inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & !BERR.PIN - # SM_AMIGA_3_.Q & pos_clk_un29_clk_000_ne_d0_i_n & !BERR.PIN - # !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !BERR.PIN - # !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !BERR.PIN - # !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & !BERR.PIN - # !SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); - -SM_AMIGA_i_7_.C = (CLK_OSZI); - -CIIN_0 = (inst_nEXP_SPACE_D0reg.Q - # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); - - -Reverse-Polarity Equations: - diff --git a/Logic/68030_tk.err b/Logic/68030_tk.err deleted file mode 100644 index e69de29..0000000 diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti deleted file mode 100644 index 924571b..0000000 --- a/Logic/68030_tk.fti +++ /dev/null @@ -1,488 +0,0 @@ -#PLAFILE 68030_tk.tt4 -#DATE 03/16/2015 -#DESIGN -#DEVICE mach447a - -DATA LOCATION A0:G_8_69 // IO {RN_A0} -DATA LOCATION A1:F_*_60 // INP -DATA LOCATION AMIGA_ADDR_ENABLE:D_5_33 // OUT -DATA LOCATION AMIGA_BUS_DATA_DIR:E_1_48 // OUT -DATA LOCATION AMIGA_BUS_ENABLE_HIGH:D_4_34 // OUT -DATA LOCATION AMIGA_BUS_ENABLE_LOW:C_0_20 // OUT -DATA LOCATION AS_000:E_4_42 // IO -DATA LOCATION AS_030:H_8_82 // IO -DATA LOCATION AVEC:A_4_92 // OUT -DATA LOCATION A_16_:A_*_96 // INP -DATA LOCATION A_17_:F_*_59 // INP -DATA LOCATION A_18_:A_*_95 // INP -DATA LOCATION A_19_:A_*_97 // INP -DATA LOCATION A_20_:A_*_93 // INP -DATA LOCATION A_21_:A_*_94 // INP -DATA LOCATION A_22_:H_*_84 // INP -DATA LOCATION A_23_:H_*_85 // INP -DATA LOCATION A_24_:C_*_19 // INP -DATA LOCATION A_25_:C_*_18 // INP -DATA LOCATION A_26_:C_*_17 // INP -DATA LOCATION A_27_:C_*_16 // INP -DATA LOCATION A_28_:C_*_15 // INP -DATA LOCATION A_29_:B_*_6 // INP -DATA LOCATION A_30_:B_*_5 // INP -DATA LOCATION A_31_:B_*_4 // INP -DATA LOCATION BERR:E_0_41 // IO -DATA LOCATION BGACK_000:D_*_28 // INP -DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} -DATA LOCATION BG_000:D_1_29 // IO {RN_BG_000} -DATA LOCATION BG_030:C_*_21 // INP -DATA LOCATION CIIN:E_12_47 // OUT -DATA LOCATION CIIN_0:E_5 // NOD -DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_N_SYNC_0_:F_6 // NOD -DATA LOCATION CLK_000_N_SYNC_10_:H_2 // NOD -DATA LOCATION CLK_000_N_SYNC_11_:H_6 // NOD -DATA LOCATION CLK_000_N_SYNC_1_:G_7 // NOD -DATA LOCATION CLK_000_N_SYNC_2_:G_3 // NOD -DATA LOCATION CLK_000_N_SYNC_3_:B_7 // NOD -DATA LOCATION CLK_000_N_SYNC_4_:B_3 // NOD -DATA LOCATION CLK_000_N_SYNC_5_:B_14 // NOD -DATA LOCATION CLK_000_N_SYNC_6_:A_6 // NOD -DATA LOCATION CLK_000_N_SYNC_7_:B_10 // NOD -DATA LOCATION CLK_000_N_SYNC_8_:G_14 // NOD -DATA LOCATION CLK_000_N_SYNC_9_:F_2 // NOD -DATA LOCATION CLK_000_P_SYNC_0_:D_10 // NOD -DATA LOCATION CLK_000_P_SYNC_1_:C_11 // NOD -DATA LOCATION CLK_000_P_SYNC_2_:B_11 // NOD -DATA LOCATION CLK_000_P_SYNC_3_:C_7 // NOD -DATA LOCATION CLK_000_P_SYNC_4_:D_6 // NOD -DATA LOCATION CLK_000_P_SYNC_5_:F_10 // NOD -DATA LOCATION CLK_000_P_SYNC_6_:A_3 // NOD -DATA LOCATION CLK_000_P_SYNC_7_:A_14 // NOD -DATA LOCATION CLK_000_P_SYNC_8_:A_10 // NOD -DATA LOCATION CLK_000_P_SYNC_9_:A_7 // NOD -DATA LOCATION CLK_030:*_*_64 // INP -DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT -DATA LOCATION CLK_EXP:B_1_10 // OUT -DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CYCLE_DMA_0_:A_2 // NOD -DATA LOCATION CYCLE_DMA_1_:A_13 // NOD -DATA LOCATION DSACK1:H_9_81 // IO {RN_DSACK1} -DATA LOCATION DS_030:A_0_98 // IO -DATA LOCATION DTACK:D_*_30 // INP -DATA LOCATION E:G_4_66 // IO {RN_E} -DATA LOCATION FC_0_:F_*_57 // INP -DATA LOCATION FC_1_:F_*_58 // INP -DATA LOCATION FPU_CS:H_1_78 // OUT -DATA LOCATION FPU_SENSE:A_*_91 // INP -DATA LOCATION IPL_030_0_:B_8_8 // IO {RN_IPL_030_0_} -DATA LOCATION IPL_030_1_:B_12_7 // IO {RN_IPL_030_1_} -DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} -DATA LOCATION IPL_0_:G_*_67 // INP -DATA LOCATION IPL_1_:F_*_56 // INP -DATA LOCATION IPL_2_:G_*_68 // INP -DATA LOCATION IPL_D0_0_:D_3 // NOD -DATA LOCATION IPL_D0_1_:D_14 // NOD -DATA LOCATION IPL_D0_2_:G_11 // NOD -DATA LOCATION LDS_000:D_12_31 // IO -DATA LOCATION RESET:B_0_3 // IO {RN_RESET} -DATA LOCATION RN_A0:G_8 // NOD {A0} -DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} -DATA LOCATION RN_BG_000:D_1 // NOD {BG_000} -DATA LOCATION RN_DSACK1:H_9 // NOD {DSACK1} -DATA LOCATION RN_E:G_4 // NOD {E} -DATA LOCATION RN_IPL_030_0_:B_8 // NOD {IPL_030_0_} -DATA LOCATION RN_IPL_030_1_:B_12 // NOD {IPL_030_1_} -DATA LOCATION RN_IPL_030_2_:B_4 // NOD {IPL_030_2_} -DATA LOCATION RN_RESET:B_0 // NOD {RESET} -DATA LOCATION RN_RW:G_0 // NOD {RW} -DATA LOCATION RN_RW_000:H_0 // NOD {RW_000} -DATA LOCATION RN_VMA:D_0 // NOD {VMA} -DATA LOCATION RST:*_*_86 // INP -DATA LOCATION RST_DLY_0_:C_6 // NOD -DATA LOCATION RST_DLY_1_:B_13 // NOD -DATA LOCATION RST_DLY_2_:B_5 // NOD -DATA LOCATION RST_DLY_3_:C_9 // NOD -DATA LOCATION RST_DLY_4_:C_14 // NOD -DATA LOCATION RST_DLY_5_:B_9 // NOD -DATA LOCATION RST_DLY_6_:C_2 // NOD -DATA LOCATION RST_DLY_7_:C_10 // NOD -DATA LOCATION RW:G_0_71 // IO {RN_RW} -DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} -DATA LOCATION SIZE_0_:G_12_70 // IO -DATA LOCATION SIZE_1_:H_12_79 // IO -DATA LOCATION SIZE_DMA_0_:G_6 // NOD -DATA LOCATION SIZE_DMA_1_:G_2 // NOD -DATA LOCATION SM_AMIGA_0_:F_9 // NOD -DATA LOCATION SM_AMIGA_1_:C_1 // NOD -DATA LOCATION SM_AMIGA_2_:C_13 // NOD -DATA LOCATION SM_AMIGA_3_:C_8 // NOD -DATA LOCATION SM_AMIGA_4_:F_1 // NOD -DATA LOCATION SM_AMIGA_5_:F_12 // NOD -DATA LOCATION SM_AMIGA_6_:F_5 // NOD -DATA LOCATION SM_AMIGA_i_7_:F_8 // NOD -DATA LOCATION UDS_000:D_8_32 // IO -DATA LOCATION VMA:D_0_35 // IO {RN_VMA} -DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:C_5 // NOD -DATA LOCATION cpu_est_1_:G_5 // NOD -DATA LOCATION cpu_est_2_:C_12 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:G_10 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:C_3 // NOD -DATA LOCATION inst_AS_000_DMA:A_8 // NOD -DATA LOCATION inst_AS_000_INT:A_1 // NOD -DATA LOCATION inst_AS_030_000_SYNC:F_13 // NOD -DATA LOCATION inst_AS_030_D0:H_5 // NOD -DATA LOCATION inst_BGACK_030_INT_D:H_13 // NOD -DATA LOCATION inst_CLK_000_D0:B_2 // NOD -DATA LOCATION inst_CLK_000_D1:D_9 // NOD -DATA LOCATION inst_CLK_000_NE:F_4 // NOD -DATA LOCATION inst_CLK_000_NE_D0:E_8 // NOD -DATA LOCATION inst_CLK_000_PE:C_4 // NOD -DATA LOCATION inst_CLK_030_H:A_9 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50:E_9 // NOD -DATA LOCATION inst_CLK_OUT_PRE_D:E_13 // NOD -DATA LOCATION inst_DS_000_DMA:A_5 // NOD -DATA LOCATION inst_DS_000_ENABLE:A_12 // NOD -DATA LOCATION inst_DS_030_D0:D_7 // NOD -DATA LOCATION inst_DTACK_D0:B_6 // NOD -DATA LOCATION inst_LDS_000_INT:G_9 // NOD -DATA LOCATION inst_UDS_000_INT:G_13 // NOD -DATA LOCATION inst_VPA_D:D_13 // NOD -DATA LOCATION inst_nEXP_SPACE_D0reg:F_0 // NOD -DATA LOCATION nEXP_SPACE:*_*_14 // INP -DATA LOCATION pos_clk_un29_clk_000_ne_d0_i_n:D_2 // NOD -DATA IO_DIR A0:BI -DATA IO_DIR A1:IN -DATA IO_DIR AMIGA_ADDR_ENABLE:OUT -DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT -DATA IO_DIR AMIGA_BUS_ENABLE_HIGH:OUT -DATA IO_DIR AMIGA_BUS_ENABLE_LOW:OUT -DATA IO_DIR AS_000:BI -DATA IO_DIR AS_030:BI -DATA IO_DIR AVEC:OUT -DATA IO_DIR A_16_:IN -DATA IO_DIR A_17_:IN -DATA IO_DIR A_18_:IN -DATA IO_DIR A_19_:IN -DATA IO_DIR A_20_:IN -DATA IO_DIR A_21_:IN -DATA IO_DIR A_22_:IN -DATA IO_DIR A_23_:IN -DATA IO_DIR A_24_:IN -DATA IO_DIR A_25_:IN -DATA IO_DIR A_26_:IN -DATA IO_DIR A_27_:IN -DATA IO_DIR A_28_:IN -DATA IO_DIR A_29_:IN -DATA IO_DIR A_30_:IN -DATA IO_DIR A_31_:IN -DATA IO_DIR BERR:BI -DATA IO_DIR BGACK_000:IN -DATA IO_DIR BGACK_030:OUT -DATA IO_DIR BG_000:OUT -DATA IO_DIR BG_030:IN -DATA IO_DIR CIIN:OUT -DATA IO_DIR CLK_000:IN -DATA IO_DIR CLK_030:IN -DATA IO_DIR CLK_DIV_OUT:OUT -DATA IO_DIR CLK_EXP:OUT -DATA IO_DIR CLK_OSZI:IN -DATA IO_DIR DSACK1:OUT -DATA IO_DIR DS_030:BI -DATA IO_DIR DTACK:IN -DATA IO_DIR E:OUT -DATA IO_DIR FC_0_:IN -DATA IO_DIR FC_1_:IN -DATA IO_DIR FPU_CS:OUT -DATA IO_DIR FPU_SENSE:IN -DATA IO_DIR IPL_030_0_:OUT -DATA IO_DIR IPL_030_1_:OUT -DATA IO_DIR IPL_030_2_:OUT -DATA IO_DIR IPL_0_:IN -DATA IO_DIR IPL_1_:IN -DATA IO_DIR IPL_2_:IN -DATA IO_DIR LDS_000:BI -DATA IO_DIR RESET:OUT -DATA IO_DIR RST:IN -DATA IO_DIR RW:BI -DATA IO_DIR RW_000:BI -DATA IO_DIR SIZE_0_:BI -DATA IO_DIR SIZE_1_:BI -DATA IO_DIR UDS_000:BI -DATA IO_DIR VMA:OUT -DATA IO_DIR VPA:IN -DATA IO_DIR nEXP_SPACE:IN -DATA GLB_CLOCK CLK_OSZI -DATA PW_LEVEL A_28_:1 -DATA SLEW A_28_:1 -DATA PW_LEVEL A_27_:1 -DATA SLEW A_27_:1 -DATA PW_LEVEL SIZE_1_:1 -DATA SLEW SIZE_1_:1 -DATA PW_LEVEL A_26_:1 -DATA SLEW A_26_:1 -DATA PW_LEVEL A_25_:1 -DATA SLEW A_25_:1 -DATA PW_LEVEL A_31_:1 -DATA SLEW A_31_:1 -DATA PW_LEVEL A_24_:1 -DATA SLEW A_24_:1 -DATA PW_LEVEL A_23_:1 -DATA SLEW A_23_:1 -DATA PW_LEVEL A_22_:1 -DATA SLEW A_22_:1 -DATA PW_LEVEL A_21_:1 -DATA SLEW A_21_:1 -DATA PW_LEVEL IPL_2_:1 -DATA SLEW IPL_2_:1 -DATA PW_LEVEL A_20_:1 -DATA SLEW A_20_:1 -DATA PW_LEVEL A_19_:1 -DATA SLEW A_19_:1 -DATA PW_LEVEL FC_1_:1 -DATA SLEW FC_1_:1 -DATA PW_LEVEL A_18_:1 -DATA SLEW A_18_:1 -DATA PW_LEVEL AS_030:1 -DATA SLEW AS_030:1 -DATA PW_LEVEL A_17_:1 -DATA SLEW A_17_:1 -DATA PW_LEVEL AS_000:1 -DATA SLEW AS_000:1 -DATA PW_LEVEL A_16_:1 -DATA SLEW A_16_:1 -DATA PW_LEVEL DS_030:1 -DATA SLEW DS_030:1 -DATA PW_LEVEL UDS_000:1 -DATA SLEW UDS_000:1 -DATA PW_LEVEL LDS_000:1 -DATA SLEW LDS_000:1 -DATA PW_LEVEL A1:1 -DATA SLEW A1:1 -DATA SLEW nEXP_SPACE:1 -DATA PW_LEVEL BERR:1 -DATA SLEW BERR:1 -DATA PW_LEVEL BG_030:1 -DATA SLEW BG_030:1 -DATA PW_LEVEL BGACK_000:1 -DATA SLEW BGACK_000:1 -DATA SLEW CLK_030:1 -DATA SLEW CLK_000:1 -DATA SLEW CLK_OSZI:1 -DATA PW_LEVEL CLK_DIV_OUT:1 -DATA SLEW CLK_DIV_OUT:0 -DATA PW_LEVEL CLK_EXP:1 -DATA SLEW CLK_EXP:0 -DATA PW_LEVEL IPL_1_:1 -DATA SLEW IPL_1_:1 -DATA PW_LEVEL FPU_CS:1 -DATA SLEW FPU_CS:0 -DATA PW_LEVEL IPL_0_:1 -DATA SLEW IPL_0_:1 -DATA PW_LEVEL FPU_SENSE:1 -DATA SLEW FPU_SENSE:1 -DATA PW_LEVEL FC_0_:1 -DATA SLEW FC_0_:1 -DATA PW_LEVEL DTACK:1 -DATA SLEW DTACK:1 -DATA PW_LEVEL AVEC:1 -DATA SLEW AVEC:1 -DATA SLEW VPA:1 -DATA SLEW RST:1 -DATA PW_LEVEL AMIGA_ADDR_ENABLE:1 -DATA SLEW AMIGA_ADDR_ENABLE:0 -DATA PW_LEVEL AMIGA_BUS_DATA_DIR:1 -DATA SLEW AMIGA_BUS_DATA_DIR:0 -DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:1 -DATA SLEW AMIGA_BUS_ENABLE_LOW:0 -DATA PW_LEVEL AMIGA_BUS_ENABLE_HIGH:1 -DATA SLEW AMIGA_BUS_ENABLE_HIGH:0 -DATA PW_LEVEL CIIN:1 -DATA SLEW CIIN:1 -DATA PW_LEVEL SIZE_0_:1 -DATA SLEW SIZE_0_:1 -DATA PW_LEVEL A_30_:1 -DATA SLEW A_30_:1 -DATA PW_LEVEL A_29_:1 -DATA SLEW A_29_:1 -DATA PW_LEVEL IPL_030_2_:1 -DATA SLEW IPL_030_2_:1 -DATA PW_LEVEL RW_000:1 -DATA SLEW RW_000:1 -DATA PW_LEVEL A0:1 -DATA SLEW A0:1 -DATA PW_LEVEL BG_000:1 -DATA SLEW BG_000:1 -DATA PW_LEVEL BGACK_030:1 -DATA SLEW BGACK_030:1 -DATA PW_LEVEL IPL_030_1_:1 -DATA SLEW IPL_030_1_:1 -DATA PW_LEVEL IPL_030_0_:1 -DATA SLEW IPL_030_0_:1 -DATA PW_LEVEL DSACK1:1 -DATA SLEW DSACK1:1 -DATA PW_LEVEL E:1 -DATA SLEW E:1 -DATA PW_LEVEL VMA:1 -DATA SLEW VMA:1 -DATA PW_LEVEL RESET:1 -DATA SLEW RESET:1 -DATA PW_LEVEL RW:1 -DATA SLEW RW:1 -DATA PW_LEVEL cpu_est_0_:1 -DATA SLEW cpu_est_0_:1 -DATA PW_LEVEL cpu_est_1_:1 -DATA SLEW cpu_est_1_:1 -DATA PW_LEVEL inst_AS_000_INT:1 -DATA SLEW inst_AS_000_INT:1 -DATA PW_LEVEL SM_AMIGA_5_:1 -DATA SLEW SM_AMIGA_5_:1 -DATA PW_LEVEL inst_AMIGA_BUS_ENABLE_DMA_LOW:1 -DATA SLEW inst_AMIGA_BUS_ENABLE_DMA_LOW:1 -DATA PW_LEVEL inst_AS_030_D0:1 -DATA SLEW inst_AS_030_D0:1 -DATA PW_LEVEL inst_nEXP_SPACE_D0reg:1 -DATA SLEW inst_nEXP_SPACE_D0reg:1 -DATA PW_LEVEL inst_DS_030_D0:1 -DATA SLEW inst_DS_030_D0:1 -DATA PW_LEVEL inst_AS_030_000_SYNC:1 -DATA SLEW inst_AS_030_000_SYNC:1 -DATA PW_LEVEL inst_BGACK_030_INT_D:1 -DATA SLEW inst_BGACK_030_INT_D:1 -DATA PW_LEVEL inst_AS_000_DMA:1 -DATA SLEW inst_AS_000_DMA:1 -DATA PW_LEVEL inst_DS_000_DMA:1 -DATA SLEW inst_DS_000_DMA:1 -DATA PW_LEVEL CYCLE_DMA_0_:1 -DATA SLEW CYCLE_DMA_0_:1 -DATA PW_LEVEL CYCLE_DMA_1_:1 -DATA SLEW CYCLE_DMA_1_:1 -DATA PW_LEVEL SIZE_DMA_0_:1 -DATA SLEW SIZE_DMA_0_:1 -DATA PW_LEVEL SIZE_DMA_1_:1 -DATA SLEW SIZE_DMA_1_:1 -DATA PW_LEVEL inst_VPA_D:1 -DATA SLEW inst_VPA_D:1 -DATA PW_LEVEL inst_UDS_000_INT:1 -DATA SLEW inst_UDS_000_INT:1 -DATA PW_LEVEL inst_LDS_000_INT:1 -DATA SLEW inst_LDS_000_INT:1 -DATA PW_LEVEL inst_CLK_OUT_PRE_D:1 -DATA SLEW inst_CLK_OUT_PRE_D:1 -DATA PW_LEVEL inst_DTACK_D0:1 -DATA SLEW inst_DTACK_D0:1 -DATA PW_LEVEL inst_CLK_OUT_PRE_50:1 -DATA SLEW inst_CLK_OUT_PRE_50:1 -DATA PW_LEVEL inst_CLK_000_D1:1 -DATA SLEW inst_CLK_000_D1:1 -DATA PW_LEVEL inst_CLK_000_D0:1 -DATA SLEW inst_CLK_000_D0:1 -DATA PW_LEVEL inst_CLK_000_PE:1 -DATA SLEW inst_CLK_000_PE:1 -DATA PW_LEVEL CLK_000_P_SYNC_9_:1 -DATA SLEW CLK_000_P_SYNC_9_:1 -DATA PW_LEVEL inst_CLK_000_NE:1 -DATA SLEW inst_CLK_000_NE:1 -DATA PW_LEVEL CLK_000_N_SYNC_11_:1 -DATA SLEW CLK_000_N_SYNC_11_:1 -DATA PW_LEVEL cpu_est_2_:1 -DATA SLEW cpu_est_2_:1 -DATA PW_LEVEL IPL_D0_0_:1 -DATA SLEW IPL_D0_0_:1 -DATA PW_LEVEL IPL_D0_1_:1 -DATA SLEW IPL_D0_1_:1 -DATA PW_LEVEL IPL_D0_2_:1 -DATA SLEW IPL_D0_2_:1 -DATA PW_LEVEL SM_AMIGA_3_:1 -DATA SLEW SM_AMIGA_3_:1 -DATA PW_LEVEL inst_CLK_000_NE_D0:1 -DATA SLEW inst_CLK_000_NE_D0:1 -DATA PW_LEVEL SM_AMIGA_0_:1 -DATA SLEW SM_AMIGA_0_:1 -DATA PW_LEVEL inst_AMIGA_BUS_ENABLE_DMA_HIGH:1 -DATA SLEW inst_AMIGA_BUS_ENABLE_DMA_HIGH:1 -DATA PW_LEVEL SM_AMIGA_6_:1 -DATA SLEW SM_AMIGA_6_:1 -DATA PW_LEVEL RST_DLY_0_:1 -DATA SLEW RST_DLY_0_:1 -DATA PW_LEVEL RST_DLY_1_:1 -DATA SLEW RST_DLY_1_:1 -DATA PW_LEVEL RST_DLY_2_:1 -DATA SLEW RST_DLY_2_:1 -DATA PW_LEVEL RST_DLY_3_:1 -DATA SLEW RST_DLY_3_:1 -DATA PW_LEVEL RST_DLY_4_:1 -DATA SLEW RST_DLY_4_:1 -DATA PW_LEVEL RST_DLY_5_:1 -DATA SLEW RST_DLY_5_:1 -DATA PW_LEVEL RST_DLY_6_:1 -DATA SLEW RST_DLY_6_:1 -DATA PW_LEVEL RST_DLY_7_:1 -DATA SLEW RST_DLY_7_:1 -DATA PW_LEVEL CLK_000_P_SYNC_0_:1 -DATA SLEW CLK_000_P_SYNC_0_:1 -DATA PW_LEVEL CLK_000_P_SYNC_1_:1 -DATA SLEW CLK_000_P_SYNC_1_:1 -DATA PW_LEVEL CLK_000_P_SYNC_2_:1 -DATA SLEW CLK_000_P_SYNC_2_:1 -DATA PW_LEVEL CLK_000_P_SYNC_3_:1 -DATA SLEW CLK_000_P_SYNC_3_:1 -DATA PW_LEVEL CLK_000_P_SYNC_4_:1 -DATA SLEW CLK_000_P_SYNC_4_:1 -DATA PW_LEVEL CLK_000_P_SYNC_5_:1 -DATA SLEW CLK_000_P_SYNC_5_:1 -DATA PW_LEVEL CLK_000_P_SYNC_6_:1 -DATA SLEW CLK_000_P_SYNC_6_:1 -DATA PW_LEVEL CLK_000_P_SYNC_7_:1 -DATA SLEW CLK_000_P_SYNC_7_:1 -DATA PW_LEVEL CLK_000_P_SYNC_8_:1 -DATA SLEW CLK_000_P_SYNC_8_:1 -DATA PW_LEVEL CLK_000_N_SYNC_0_:1 -DATA SLEW CLK_000_N_SYNC_0_:1 -DATA PW_LEVEL CLK_000_N_SYNC_1_:1 -DATA SLEW CLK_000_N_SYNC_1_:1 -DATA PW_LEVEL CLK_000_N_SYNC_2_:1 -DATA SLEW CLK_000_N_SYNC_2_:1 -DATA PW_LEVEL CLK_000_N_SYNC_3_:1 -DATA SLEW CLK_000_N_SYNC_3_:1 -DATA PW_LEVEL CLK_000_N_SYNC_4_:1 -DATA SLEW CLK_000_N_SYNC_4_:1 -DATA PW_LEVEL pos_clk_un29_clk_000_ne_d0_i_n:1 -DATA SLEW pos_clk_un29_clk_000_ne_d0_i_n:1 -DATA PW_LEVEL CLK_000_N_SYNC_5_:1 -DATA SLEW CLK_000_N_SYNC_5_:1 -DATA PW_LEVEL CLK_000_N_SYNC_6_:1 -DATA SLEW CLK_000_N_SYNC_6_:1 -DATA PW_LEVEL CLK_000_N_SYNC_7_:1 -DATA SLEW CLK_000_N_SYNC_7_:1 -DATA PW_LEVEL CLK_000_N_SYNC_8_:1 -DATA SLEW CLK_000_N_SYNC_8_:1 -DATA PW_LEVEL CLK_000_N_SYNC_9_:1 -DATA SLEW CLK_000_N_SYNC_9_:1 -DATA PW_LEVEL CLK_000_N_SYNC_10_:1 -DATA SLEW CLK_000_N_SYNC_10_:1 -DATA PW_LEVEL inst_CLK_030_H:1 -DATA SLEW inst_CLK_030_H:1 -DATA PW_LEVEL SM_AMIGA_1_:1 -DATA SLEW SM_AMIGA_1_:1 -DATA PW_LEVEL SM_AMIGA_4_:1 -DATA SLEW SM_AMIGA_4_:1 -DATA PW_LEVEL SM_AMIGA_2_:1 -DATA SLEW SM_AMIGA_2_:1 -DATA PW_LEVEL inst_DS_000_ENABLE:1 -DATA SLEW inst_DS_000_ENABLE:1 -DATA PW_LEVEL SM_AMIGA_i_7_:1 -DATA SLEW SM_AMIGA_i_7_:1 -DATA PW_LEVEL CIIN_0:1 -DATA SLEW CIIN_0:1 -DATA PW_LEVEL RN_IPL_030_2_:1 -DATA PW_LEVEL RN_RW_000:1 -DATA PW_LEVEL RN_A0:1 -DATA PW_LEVEL RN_BG_000:1 -DATA PW_LEVEL RN_BGACK_030:1 -DATA PW_LEVEL RN_IPL_030_1_:1 -DATA PW_LEVEL RN_IPL_030_0_:1 -DATA PW_LEVEL RN_DSACK1:1 -DATA PW_LEVEL RN_E:1 -DATA PW_LEVEL RN_VMA:1 -DATA PW_LEVEL RN_RESET:1 -DATA PW_LEVEL RN_RW:1 -END diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp deleted file mode 100644 index 51efa5a..0000000 --- a/Logic/68030_tk.grp +++ /dev/null @@ -1,29 +0,0 @@ - -GROUP MACH_SEG_A inst_DS_000_DMA inst_CLK_030_H inst_AS_000_DMA inst_DS_000_ENABLE - CYCLE_DMA_1_ inst_AS_000_INT CYCLE_DMA_0_ CLK_000_P_SYNC_9_ CLK_000_P_SYNC_6_ - CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_6_ DS_030 AVEC - -GROUP MACH_SEG_B RESET RN_RESET IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ - IPL_030_2_ RN_IPL_030_2_ RST_DLY_1_ RST_DLY_2_ RST_DLY_5_ inst_DTACK_D0 - inst_CLK_000_D0 CLK_000_P_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ - CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_EXP -GROUP MACH_SEG_C SM_AMIGA_3_ SM_AMIGA_2_ RST_DLY_3_ RST_DLY_0_ RST_DLY_4_ - RST_DLY_6_ RST_DLY_7_ SM_AMIGA_1_ cpu_est_2_ inst_AMIGA_BUS_ENABLE_DMA_LOW - cpu_est_0_ inst_CLK_000_PE CLK_000_P_SYNC_1_ CLK_000_P_SYNC_3_ AMIGA_BUS_ENABLE_LOW - -GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 CLK_000_P_SYNC_0_ inst_VPA_D - inst_DS_030_D0 IPL_D0_0_ IPL_D0_1_ CLK_000_P_SYNC_4_ inst_CLK_000_D1 - LDS_000 UDS_000 AMIGA_BUS_ENABLE_HIGH AMIGA_ADDR_ENABLE pos_clk_un29_clk_000_ne_d0_i_n - -GROUP MACH_SEG_E inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE_50 inst_CLK_000_NE_D0 - CIIN BERR AMIGA_BUS_DATA_DIR AS_000 CIIN_0 -GROUP MACH_SEG_F SM_AMIGA_i_7_ inst_AS_030_000_SYNC SM_AMIGA_6_ SM_AMIGA_0_ - SM_AMIGA_4_ SM_AMIGA_5_ CLK_000_N_SYNC_0_ inst_nEXP_SPACE_D0reg inst_CLK_000_NE - CLK_000_P_SYNC_5_ CLK_000_N_SYNC_9_ -GROUP MACH_SEG_G A0 RN_A0 RW RN_RW E RN_E inst_LDS_000_INT SIZE_DMA_0_ - SIZE_DMA_1_ cpu_est_1_ inst_UDS_000_INT inst_AMIGA_BUS_ENABLE_DMA_HIGH - IPL_D0_2_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_8_ SIZE_0_ - CLK_DIV_OUT -GROUP MACH_SEG_H DSACK1 RN_DSACK1 RW_000 RN_RW_000 BGACK_030 RN_BGACK_030 - inst_AS_030_D0 inst_BGACK_030_INT_D CLK_000_N_SYNC_11_ CLK_000_N_SYNC_10_ - FPU_CS AS_030 SIZE_1_ \ No newline at end of file diff --git a/Logic/68030_tk.imp b/Logic/68030_tk.imp deleted file mode 100644 index 18a49d6..0000000 --- a/Logic/68030_tk.imp +++ /dev/null @@ -1,2 +0,0 @@ -No pin assignment or valid property. -No source constraints were imported. \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index bc30fde..c427f8d 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1 +1 @@ -9467445Fv,kS- \ No newline at end of file +9934402)2BPold/ \ No newline at end of file diff --git a/Logic/68030_tk.l0 b/Logic/68030_tk.l0 deleted file mode 100644 index 0bb3cee..0000000 --- a/Logic/68030_tk.l0 +++ /dev/null @@ -1 +0,0 @@ - -ck Min -ce On -ar On -ap On -oe On -split 16 -clust 5 -xor on -speed -ifb yes -sr no -device M4A5 diff --git a/Logic/68030_tk.l2v b/Logic/68030_tk.l2v deleted file mode 100644 index e69de29..0000000 diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco deleted file mode 100644 index 2bfa1de..0000000 --- a/Logic/68030_tk.lco +++ /dev/null @@ -1,259 +0,0 @@ -[DEVICE] -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = No; -Pin_MC_1to1 = No; -EN_PinReserve_IO = Yes; -EN_PinReserve_BIDIR = Yes; -Voltage = 5.0; - -[REVISION] -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_File = m4a5.sds; -Design = 68030_tk.tt4; -DATE = 9/24/15; -TIME = 16:21:08; -Source_Format = Pure_VHDL; -Type = TT2; -Pre_Fit_Time = 1; - -[IGNORE ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[CLEAR ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[BACKANNOTATE ASSIGNMENTS] -Pin_Block = No; -Pin_Macrocell_Block = No; -Routing = No; - -[GLOBAL CONSTRAINTS] -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_GLB_Input_Percent = 100; -Max_Seg_In_Percent = 100; -Logic_Reduction = Yes; -XOR_Synthesis = Yes; -DT_Synthesis = Yes; -Node_Collapse = Yes; -Run_Time = 0; -Set_Reset_Dont_Care = No; -Clock_Optimize = No; -In_Reg_Optimize = Yes; -Balanced_Partitioning = Yes; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode = 0; -Usercode_Format = Hex; - -[LOCATION ASSIGNMENTS] -Layer = OFF; -A_28_ = pin,15,-,C,-; -A_27_ = pin,16,-,C,-; -SIZE_1_ = pin,79,-,H,-; -A_26_ = pin,17,-,C,-; -A_25_ = pin,18,-,C,-; -A_31_ = pin,4,-,B,-; -A_24_ = pin,19,-,C,-; -A_23_ = pin,85,-,H,-; -A_22_ = pin,84,-,H,-; -A_21_ = pin,94,-,A,-; -IPL_2_ = pin,68,-,G,-; -A_20_ = pin,93,-,A,-; -A_19_ = pin,97,-,A,-; -FC_1_ = pin,58,-,F,-; -A_18_ = pin,95,-,A,-; -AS_030 = pin,82,-,H,-; -A_17_ = pin,59,-,F,-; -AS_000 = pin,42,-,E,-; -A_16_ = pin,96,-,A,-; -DS_030 = pin,98,-,A,-; -UDS_000 = pin,32,-,D,-; -LDS_000 = pin,31,-,D,-; -A1 = pin,60,-,F,-; -nEXP_SPACE = pin,14,-,-,-; -BERR = pin,41,-,E,-; -BG_030 = pin,21,-,C,-; -BGACK_000 = pin,28,-,D,-; -CLK_030 = pin,64,-,-,-; -CLK_000 = pin,11,-,-,-; -CLK_OSZI = pin,61,-,-,-; -CLK_DIV_OUT = pin,65,-,G,-; -CLK_EXP = pin,10,-,B,-; -IPL_1_ = pin,56,-,F,-; -FPU_CS = pin,78,-,H,-; -IPL_0_ = pin,67,-,G,-; -FPU_SENSE = pin,91,-,A,-; -FC_0_ = pin,57,-,F,-; -DTACK = pin,30,-,D,-; -AVEC = pin,92,-,A,-; -VPA = pin,36,-,-,-; -RST = pin,86,-,-,-; -AMIGA_ADDR_ENABLE = pin,33,-,D,-; -AMIGA_BUS_DATA_DIR = pin,48,-,E,-; -AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; -AMIGA_BUS_ENABLE_HIGH = pin,34,-,D,-; -CIIN = pin,47,-,E,-; -SIZE_0_ = pin,70,-,G,-; -A_30_ = pin,5,-,B,-; -A_29_ = pin,6,-,B,-; -IPL_030_2_ = pin,9,-,B,-; -RW_000 = pin,80,-,H,-; -A0 = pin,69,-,G,-; -BG_000 = pin,29,-,D,-; -BGACK_030 = pin,83,-,H,-; -IPL_030_1_ = pin,7,-,B,-; -IPL_030_0_ = pin,8,-,B,-; -DSACK1 = pin,81,-,H,-; -E = pin,66,-,G,-; -VMA = pin,35,-,D,-; -RESET = pin,3,-,B,-; -RW = pin,71,-,G,-; -cpu_est_0_ = node,-,-,C,5; -cpu_est_1_ = node,-,-,G,5; -inst_AS_000_INT = node,-,-,A,1; -SM_AMIGA_5_ = node,-,-,F,12; -inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,C,3; -inst_AS_030_D0 = node,-,-,H,5; -inst_nEXP_SPACE_D0reg = node,-,-,F,0; -inst_DS_030_D0 = node,-,-,D,7; -inst_AS_030_000_SYNC = node,-,-,F,13; -inst_BGACK_030_INT_D = node,-,-,H,13; -inst_AS_000_DMA = node,-,-,A,8; -inst_DS_000_DMA = node,-,-,A,5; -CYCLE_DMA_0_ = node,-,-,A,2; -CYCLE_DMA_1_ = node,-,-,A,13; -SIZE_DMA_0_ = node,-,-,G,6; -SIZE_DMA_1_ = node,-,-,G,2; -inst_VPA_D = node,-,-,D,13; -inst_UDS_000_INT = node,-,-,G,13; -inst_LDS_000_INT = node,-,-,G,9; -inst_CLK_OUT_PRE_D = node,-,-,E,13; -inst_DTACK_D0 = node,-,-,B,6; -inst_CLK_OUT_PRE_50 = node,-,-,E,9; -inst_CLK_000_D1 = node,-,-,D,9; -inst_CLK_000_D0 = node,-,-,B,2; -inst_CLK_000_PE = node,-,-,C,4; -CLK_000_P_SYNC_9_ = node,-,-,A,7; -inst_CLK_000_NE = node,-,-,F,4; -CLK_000_N_SYNC_11_ = node,-,-,H,6; -cpu_est_2_ = node,-,-,C,12; -IPL_D0_0_ = node,-,-,D,3; -IPL_D0_1_ = node,-,-,D,14; -IPL_D0_2_ = node,-,-,G,11; -SM_AMIGA_3_ = node,-,-,C,8; -inst_CLK_000_NE_D0 = node,-,-,E,8; -SM_AMIGA_0_ = node,-,-,F,9; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,G,10; -SM_AMIGA_6_ = node,-,-,F,5; -RST_DLY_0_ = node,-,-,C,6; -RST_DLY_1_ = node,-,-,B,13; -RST_DLY_2_ = node,-,-,B,5; -RST_DLY_3_ = node,-,-,C,9; -RST_DLY_4_ = node,-,-,C,14; -RST_DLY_5_ = node,-,-,B,9; -RST_DLY_6_ = node,-,-,C,2; -RST_DLY_7_ = node,-,-,C,10; -CLK_000_P_SYNC_0_ = node,-,-,D,10; -CLK_000_P_SYNC_1_ = node,-,-,C,11; -CLK_000_P_SYNC_2_ = node,-,-,B,11; -CLK_000_P_SYNC_3_ = node,-,-,C,7; -CLK_000_P_SYNC_4_ = node,-,-,D,6; -CLK_000_P_SYNC_5_ = node,-,-,F,10; -CLK_000_P_SYNC_6_ = node,-,-,A,3; -CLK_000_P_SYNC_7_ = node,-,-,A,14; -CLK_000_P_SYNC_8_ = node,-,-,A,10; -CLK_000_N_SYNC_0_ = node,-,-,F,6; -CLK_000_N_SYNC_1_ = node,-,-,G,7; -CLK_000_N_SYNC_2_ = node,-,-,G,3; -CLK_000_N_SYNC_3_ = node,-,-,B,7; -CLK_000_N_SYNC_4_ = node,-,-,B,3; -pos_clk_un29_clk_000_ne_d0_i_n = node,-,-,D,2; -CLK_000_N_SYNC_5_ = node,-,-,B,14; -CLK_000_N_SYNC_6_ = node,-,-,A,6; -CLK_000_N_SYNC_7_ = node,-,-,B,10; -CLK_000_N_SYNC_8_ = node,-,-,G,14; -CLK_000_N_SYNC_9_ = node,-,-,F,2; -CLK_000_N_SYNC_10_ = node,-,-,H,2; -inst_CLK_030_H = node,-,-,A,9; -SM_AMIGA_1_ = node,-,-,C,1; -SM_AMIGA_4_ = node,-,-,F,1; -SM_AMIGA_2_ = node,-,-,C,13; -inst_DS_000_ENABLE = node,-,-,A,12; -SM_AMIGA_i_7_ = node,-,-,F,8; -CIIN_0 = node,-,-,E,5; - -[GROUP ASSIGNMENTS] -Layer = OFF; - -[RESOURCE RESERVATIONS] -Layer = OFF; - -[SLEWRATE] -Default = SLOW; -FAST = CLK_DIV_OUT,CLK_EXP,FPU_CS,AMIGA_BUS_DATA_DIR,AMIGA_BUS_ENABLE_LOW,AMIGA_ADDR_ENABLE,AMIGA_BUS_ENABLE_HIGH; - -[PULLUP] -Default = Up; - -[NETLIST/DELAY FORMAT] -Delay_File = SDF; -Netlist = VHDL; - -[OSM BYPASS] - -[FITTER REPORT FORMAT] -Fitter_Options = Yes; -Pinout_Diagram = No; -Pinout_Listing = Yes; -Detailed_Block_Segment_Summary = Yes; -Input_Signal_List = Yes; -Output_Signal_List = Yes; -Bidir_Signal_List = Yes; -Node_Signal_List = Yes; -Signal_Fanout_List = Yes; -Block_Segment_Fanin_List = Yes; -Postfit_Eqn = Yes; -Prefit_Eqn = Yes; -Page_Break = Yes; - -[POWER] -Powerlevel = Low,High; -Default = High; -Low = H,G,F,E,D,C,B,A; -Type = GLB; - -[SOURCE CONSTRAINT OPTION] - -[TIMING ANALYZER] -Last_source=; -Last_source_type=Fmax; - diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out deleted file mode 100644 index 4aee406..0000000 --- a/Logic/68030_tk.out +++ /dev/null @@ -1,2991 +0,0 @@ - -135 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 358 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 366 6 2 2 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 359 6 1 0 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 65 E 5 363 6 0 65 -1 5 0 21 - 80 DSACK1 5 362 7 0 80 -1 4 0 21 - 34 VMA 5 364 3 0 34 -1 3 0 21 - 82 BGACK_030 5 361 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 360 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 355 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 357 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 356 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 5 365 1 0 2 -1 1 0 21 - 361 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 1 7 0 1 3 4 5 6 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 6 1 2 3 4 5 7 -1 -1 1 0 21 - 365 RN_RESET 3 2 1 5 0 3 4 6 7 2 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 1 5 1 2 3 5 7 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 0 5 0 2 3 5 7 -1 -1 1 0 21 - 352 SM_AMIGA_i_7_ 3 -1 5 4 1 3 5 7 -1 -1 15 0 21 - 323 inst_CLK_000_NE_D0 3 -1 7 4 2 3 5 6 -1 -1 1 0 21 - 363 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 348 SM_AMIGA_1_ 3 -1 2 3 2 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 3 1 6 7 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 6 3 2 3 5 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 1 2 1 5 -1 -1 7 0 21 - 322 SM_AMIGA_3_ 3 -1 2 2 2 5 -1 -1 5 0 21 - 350 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 - 364 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 351 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 349 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 326 SM_AMIGA_6_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 325 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 - 324 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 - 345 CLK_000_N_SYNC_9_ 3 -1 6 2 1 7 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 1 2 3 5 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 5 2 3 5 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 2 2 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 1 6 -1 -1 1 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 347 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 362 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 359 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 358 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 366 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 360 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 357 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 356 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 355 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 354 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 353 N_302 3 -1 2 1 5 -1 -1 1 0 21 - 346 CLK_000_N_SYNC_10_ 3 -1 1 1 7 -1 -1 1 0 21 - 344 CLK_000_N_SYNC_8_ 3 -1 1 1 6 -1 -1 1 0 21 - 343 CLK_000_N_SYNC_7_ 3 -1 5 1 1 -1 -1 1 0 21 - 342 CLK_000_N_SYNC_6_ 3 -1 2 1 5 -1 -1 1 0 21 - 341 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 340 CLK_000_N_SYNC_4_ 3 -1 5 1 2 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_3_ 3 -1 5 1 5 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_2_ 3 -1 1 1 5 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_1_ 3 -1 5 1 1 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_0_ 3 -1 3 1 5 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_8_ 3 -1 3 1 3 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_7_ 3 -1 4 1 3 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_6_ 3 -1 1 1 4 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_5_ 3 -1 2 1 1 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 1 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 3 1 0 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 1 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 1 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 1 4 7 94 -1 - 58 A_17_ 1 -1 -1 3 1 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 1 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 1 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 6 59 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 1 13 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -135 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 358 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 366 6 2 2 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 359 6 1 0 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 65 E 5 363 6 0 65 -1 5 0 21 - 80 DSACK1 5 362 7 0 80 -1 4 0 21 - 34 VMA 5 364 3 0 34 -1 3 0 21 - 82 BGACK_030 5 361 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 360 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 355 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 357 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 356 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 5 365 1 0 2 -1 1 0 21 - 361 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 1 7 0 1 3 4 5 6 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 6 1 2 3 4 5 7 -1 -1 1 0 21 - 365 RN_RESET 3 2 1 5 0 3 4 6 7 2 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 1 5 1 2 3 5 7 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 0 5 0 2 3 5 7 -1 -1 1 0 21 - 352 SM_AMIGA_i_7_ 3 -1 5 4 1 3 5 7 -1 -1 15 0 21 - 323 inst_CLK_000_NE_D0 3 -1 7 4 2 3 5 6 -1 -1 1 0 21 - 363 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 348 SM_AMIGA_1_ 3 -1 2 3 2 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 3 1 6 7 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 6 3 2 3 5 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 1 2 1 5 -1 -1 7 0 21 - 322 SM_AMIGA_3_ 3 -1 2 2 2 5 -1 -1 5 0 21 - 350 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 - 364 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 351 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 349 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 326 SM_AMIGA_6_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 325 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 - 324 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 - 345 CLK_000_N_SYNC_9_ 3 -1 6 2 1 7 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 1 2 3 5 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 5 2 3 5 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 2 2 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 1 6 -1 -1 1 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 347 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 362 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 359 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 358 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 366 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 360 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 357 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 356 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 355 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 354 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 353 N_302 3 -1 2 1 5 -1 -1 1 0 21 - 346 CLK_000_N_SYNC_10_ 3 -1 1 1 7 -1 -1 1 0 21 - 344 CLK_000_N_SYNC_8_ 3 -1 1 1 6 -1 -1 1 0 21 - 343 CLK_000_N_SYNC_7_ 3 -1 5 1 1 -1 -1 1 0 21 - 342 CLK_000_N_SYNC_6_ 3 -1 2 1 5 -1 -1 1 0 21 - 341 CLK_000_N_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 - 340 CLK_000_N_SYNC_4_ 3 -1 5 1 2 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_3_ 3 -1 5 1 5 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_2_ 3 -1 1 1 5 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_1_ 3 -1 5 1 1 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_0_ 3 -1 3 1 5 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_8_ 3 -1 3 1 3 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_7_ 3 -1 4 1 3 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_6_ 3 -1 1 1 4 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_5_ 3 -1 2 1 1 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_1_ 3 -1 6 1 0 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 1 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 3 1 0 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 1 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 1 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 1 4 7 94 -1 - 58 A_17_ 1 -1 -1 3 1 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 1 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 1 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 6 59 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 1 13 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -135 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 5 0 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 358 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 68 A0 5 359 6 2 2 6 68 -1 3 0 21 - 70 RW 5 366 6 2 2 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 65 E 5 363 6 0 65 -1 5 0 21 - 80 DSACK1 5 362 7 0 80 -1 4 0 21 - 34 VMA 5 364 3 0 34 -1 3 0 21 - 82 BGACK_030 5 361 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 360 3 0 28 -1 2 0 21 - 8 IPL_030_2_ 5 357 1 0 8 -1 2 0 21 - 7 IPL_030_0_ 5 356 1 0 7 -1 2 0 21 - 6 IPL_030_1_ 5 355 1 0 6 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 2 RESET 5 365 1 0 2 -1 1 0 21 - 361 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 3 7 0 1 3 4 5 6 7 -1 -1 1 0 21 - 365 RN_RESET 3 2 1 5 0 3 4 6 7 2 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 3 5 0 1 2 3 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 353 SM_AMIGA_i_7_ 3 -1 2 4 1 3 5 7 -1 -1 8 0 21 - 296 SM_AMIGA_5_ 3 -1 1 4 1 2 5 7 -1 -1 3 0 21 - 319 inst_CLK_000_NE 3 -1 3 4 0 1 3 5 -1 -1 1 0 21 - 363 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 351 SM_AMIGA_2_ 3 -1 2 3 0 1 2 -1 -1 4 0 21 - 321 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 348 SM_AMIGA_1_ 3 -1 0 3 0 1 7 -1 -1 3 0 21 - 326 SM_AMIGA_6_ 3 -1 1 3 1 2 6 -1 -1 3 0 21 - 324 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 2 0 21 - 323 inst_CLK_000_NE_D0 3 -1 5 3 2 3 6 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 3 1 6 7 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 2 1 5 -1 -1 7 0 21 - 322 SM_AMIGA_3_ 3 -1 2 2 1 2 -1 -1 5 0 21 - 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 4 0 21 - 364 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 352 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 349 SM_AMIGA_4_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 325 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 - 316 inst_CLK_000_D0 3 -1 5 2 1 3 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 5 6 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 2 2 6 -1 -1 1 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 347 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 350 N_125_i_2 3 -1 1 1 2 -1 -1 6 0 21 - 362 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 359 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 358 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 366 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 360 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 357 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 - 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 - 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 - 354 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 346 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 345 CLK_000_N_SYNC_9_ 3 -1 0 1 7 -1 -1 1 0 21 - 344 CLK_000_N_SYNC_8_ 3 -1 1 1 0 -1 -1 1 0 21 - 343 CLK_000_N_SYNC_7_ 3 -1 0 1 1 -1 -1 1 0 21 - 342 CLK_000_N_SYNC_6_ 3 -1 5 1 0 -1 -1 1 0 21 - 341 CLK_000_N_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 - 340 CLK_000_N_SYNC_4_ 3 -1 0 1 5 -1 -1 1 0 21 - 339 CLK_000_N_SYNC_3_ 3 -1 5 1 0 -1 -1 1 0 21 - 338 CLK_000_N_SYNC_2_ 3 -1 1 1 5 -1 -1 1 0 21 - 337 CLK_000_N_SYNC_1_ 3 -1 1 1 1 -1 -1 1 0 21 - 336 CLK_000_N_SYNC_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 335 CLK_000_P_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 - 334 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 - 333 CLK_000_P_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 - 332 CLK_000_P_SYNC_5_ 3 -1 3 1 5 -1 -1 1 0 21 - 331 CLK_000_P_SYNC_4_ 3 -1 3 1 3 -1 -1 1 0 21 - 330 CLK_000_P_SYNC_3_ 3 -1 2 1 3 -1 -1 1 0 21 - 329 CLK_000_P_SYNC_2_ 3 -1 6 1 2 -1 -1 1 0 21 - 328 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 327 CLK_000_P_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 3 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 3 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 3 1 1 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 6 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 5 59 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 0 35 -1 - 29 DTACK 1 -1 -1 1 6 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 3 13 -1 - 10 CLK_000 1 -1 -1 1 5 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 6 1 2 3 5 6 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 3 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 0 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 82 BGACK_030 5 371 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 371 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 2 6 0 1 2 3 5 7 -1 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 1 6 0 3 4 5 6 7 -1 -1 1 0 21 - 296 SM_AMIGA_5_ 3 -1 1 5 1 3 5 6 7 -1 -1 3 0 21 - 298 inst_AS_030_D0 3 -1 7 5 3 4 5 6 7 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 0 4 1 2 3 5 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 374 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 325 SM_AMIGA_3_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 361 SM_AMIGA_4_ 3 -1 3 3 2 3 5 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 5 3 0 1 5 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 326 inst_CLK_000_NE_D0 3 -1 5 3 2 3 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 4 3 3 4 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 1 3 1 6 7 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 1 2 1 2 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 - 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 336 RST_DLY_5_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 1 2 1 2 -1 -1 2 1 21 - 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 - 327 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 - 309 inst_VPA_D 3 -1 6 2 2 3 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 3 1 3 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 330 N_180_i 3 -1 2 1 5 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 4 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 3 1 4 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 6 1 3 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 0 1 6 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 2 1 6 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 3 1 5 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 5 1 3 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 5 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 - 324 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 - 323 IPL_D0_1_ 3 -1 5 1 1 -1 -1 1 0 21 - 322 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 4 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 2 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 55 IPL_1_ 1 -1 -1 2 1 5 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 0 59 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 1 13 -1 - 10 CLK_000 1 -1 -1 1 4 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 5 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 2 68 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 - 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 - 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 - 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 - 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 - 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 - 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 - 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 2 59 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -148 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 41 AS_000 5 -1 4 4 0 4 6 7 41 -1 1 0 21 - 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 - 81 AS_030 5 -1 7 3 4 6 7 81 -1 1 0 21 - 79 RW_000 5 371 7 2 4 6 79 -1 3 0 21 - 70 RW 5 379 6 2 0 7 70 -1 2 0 21 - 68 A0 5 372 6 1 0 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 31 UDS_000 5 -1 3 1 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 1 6 30 -1 1 0 21 - 8 IPL_030_2_ 5 368 1 0 8 -1 9 0 21 - 7 IPL_030_0_ 5 370 1 0 7 -1 9 0 21 - 6 IPL_030_1_ 5 369 1 0 6 -1 9 0 21 - 65 E 5 376 6 0 65 -1 5 0 21 - 80 DSACK1 5 375 7 0 80 -1 4 0 21 - 34 VMA 5 377 3 0 34 -1 3 0 21 - 82 BGACK_030 5 374 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 373 3 0 28 -1 2 0 21 - 2 RESET 5 378 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 378 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 374 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 318 inst_CLK_000_PE 3 -1 2 6 0 2 3 5 6 7 -1 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 3 6 0 3 4 5 6 7 -1 -1 1 0 21 - 376 RN_E 3 65 6 5 1 2 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 1 5 1 2 3 5 6 -1 -1 5 0 21 - 323 cpu_est_2_ 3 -1 5 5 1 2 3 5 6 -1 -1 4 0 21 - 293 cpu_est_0_ 3 -1 1 5 1 2 3 5 6 -1 -1 2 0 21 - 325 inst_CLK_000_NE_D0 3 -1 4 4 1 2 5 6 -1 -1 1 0 21 - 317 inst_CLK_000_D0 3 -1 3 4 0 1 3 5 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 6 4 0 3 4 7 -1 -1 1 0 21 - 366 SM_AMIGA_i_7_ 3 -1 5 3 0 3 7 -1 -1 15 0 21 - 336 RST_DLY_4_ 3 -1 2 3 1 2 5 -1 -1 15 0 21 - 335 RST_DLY_3_ 3 -1 5 3 1 2 5 -1 -1 14 0 21 - 334 RST_DLY_2_ 3 -1 5 3 1 2 5 -1 -1 13 0 21 - 333 RST_DLY_1_ 3 -1 2 3 1 2 5 -1 -1 12 0 21 - 339 RST_DLY_7_ 3 -1 1 3 1 2 5 -1 -1 10 0 21 - 337 RST_DLY_5_ 3 -1 2 3 1 2 5 -1 -1 10 1 21 - 332 RST_DLY_0_ 3 -1 2 3 1 2 5 -1 -1 10 0 21 - 301 inst_AS_030_000_SYNC 3 -1 7 3 0 5 7 -1 -1 7 0 21 - 324 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 - 338 RST_DLY_6_ 3 -1 2 3 1 2 5 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 - 322 inst_RST_D0 3 -1 7 3 1 2 5 -1 -1 1 0 21 - 320 inst_CLK_000_NE 3 -1 0 3 3 4 5 -1 -1 1 0 21 - 316 inst_CLK_000_D1 3 -1 5 3 0 1 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 6 3 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 - 304 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 - 303 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 - 364 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 - 377 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 365 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 363 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 362 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 331 SM_AMIGA_6_ 3 -1 0 2 0 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 326 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 3 2 2 3 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 - 315 inst_CLK_OUT_PRE_50 3 -1 3 2 0 3 -1 -1 1 0 21 - 314 inst_DTACK_D0 3 -1 1 2 2 5 -1 -1 1 0 21 - 370 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 - 369 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 - 368 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 - 361 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 - 375 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 372 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 371 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 330 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 329 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 - 328 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 312 RESET_OUT_1_sqmuxa 3 -1 2 1 2 -1 -1 3 1 21 - 306 CYCLE_DMA_1_ 3 -1 6 1 6 -1 -1 3 0 21 - 379 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 373 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 367 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 327 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 3 1 3 -1 -1 2 0 21 - 360 pos_clk_un27_clk_000_ne_d0_i_n 3 -1 2 1 5 -1 -1 1 0 21 - 359 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 358 CLK_000_N_SYNC_9_ 3 -1 3 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_8_ 3 -1 3 1 3 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_7_ 3 -1 2 1 3 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_6_ 3 -1 4 1 2 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_3_ 3 -1 1 1 0 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 - 348 CLK_000_P_SYNC_8_ 3 -1 6 1 1 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_6_ 3 -1 2 1 4 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_5_ 3 -1 5 1 2 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_4_ 3 -1 2 1 5 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_2_ 3 -1 3 1 0 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_1_ 3 -1 2 1 3 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 0 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 - 313 inst_CLK_OUT_PRE_D 3 -1 0 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 63 CLK_030 1 -1 -1 3 1 6 7 63 -1 - 96 A_19_ 1 -1 -1 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 2 4 7 94 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 58 A_17_ 1 -1 -1 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 2 4 7 56 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 3 59 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 29 DTACK 1 -1 -1 1 1 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 3 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -147 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 2 5 6 7 40 -1 1 0 21 - 79 RW_000 5 368 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 376 6 2 2 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 369 6 1 0 68 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 8 IPL_030_2_ 5 367 1 0 8 -1 9 0 21 - 7 IPL_030_0_ 5 378 1 0 7 -1 9 0 21 - 6 IPL_030_1_ 5 377 1 0 6 -1 9 0 21 - 65 E 5 373 6 0 65 -1 5 0 21 - 80 DSACK1 5 372 7 0 80 -1 4 0 21 - 34 VMA 5 374 3 0 34 -1 3 0 21 - 82 BGACK_030 5 371 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 370 3 0 28 -1 2 0 21 - 2 RESET 5 375 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 371 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 0 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 298 inst_AS_030_D0 3 -1 7 6 2 3 4 5 6 7 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 6 5 0 2 3 5 7 -1 -1 1 0 21 - 365 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 - 296 SM_AMIGA_5_ 3 -1 5 4 2 5 6 7 -1 -1 3 0 21 - 324 inst_CLK_000_NE_D0 3 -1 5 4 1 2 5 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 3 4 1 2 3 6 -1 -1 1 0 21 - 373 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 330 SM_AMIGA_6_ 3 -1 2 3 0 2 5 -1 -1 3 0 21 - 325 SM_AMIGA_0_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 315 inst_CLK_000_D1 3 -1 6 3 1 2 3 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 3 3 0 5 6 -1 -1 1 0 21 - 335 RST_DLY_4_ 3 -1 1 2 1 2 -1 -1 9 0 21 - 334 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 8 0 21 - 336 RST_DLY_5_ 3 -1 2 2 1 2 -1 -1 7 1 21 - 333 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 7 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 - 332 RST_DLY_1_ 3 -1 1 2 1 2 -1 -1 6 0 21 - 338 RST_DLY_7_ 3 -1 1 2 1 2 -1 -1 5 0 21 - 331 RST_DLY_0_ 3 -1 1 2 1 2 -1 -1 5 0 21 - 323 SM_AMIGA_3_ 3 -1 5 2 2 5 -1 -1 5 0 21 - 362 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 4 0 21 - 337 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 4 1 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 - 374 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 326 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 - 321 inst_RST_D0 3 -1 7 2 1 2 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 3 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 3 2 3 5 -1 -1 1 0 21 - 378 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 - 377 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 - 367 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 364 N_292_i 3 -1 2 1 5 -1 -1 4 0 21 - 369 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 368 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 329 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 328 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 - 327 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 370 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 366 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 6 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 0 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 6 1 0 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 3 1 4 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 3 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 1 1 3 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 0 1 1 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 6 1 1 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 1 1 6 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 0 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 3 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 3 1 6 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 0 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 59 A1 1 -1 -1 2 0 6 59 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 0 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 40 BERR 5 -1 4 3 2 5 7 40 -1 1 0 21 - 68 A0 5 368 6 2 3 5 68 -1 3 0 21 - 70 RW 5 377 6 2 2 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 - 30 LDS_000 5 -1 3 1 0 30 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 9 0 21 - 7 IPL_030_0_ 5 375 1 0 7 -1 9 0 21 - 6 IPL_030_1_ 5 373 1 0 6 -1 9 0 21 - 65 E 5 372 6 0 65 -1 5 0 21 - 80 DSACK1 5 371 7 0 80 -1 4 0 21 - 34 VMA 5 374 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 0 6 0 2 3 4 6 7 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 5 5 0 2 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 323 inst_CLK_000_NE_D0 3 -1 3 4 1 3 5 6 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 2 3 7 -1 -1 14 0 21 - 372 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 324 SM_AMIGA_0_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 2 0 21 - 319 inst_CLK_000_NE 3 -1 4 3 2 3 5 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 1 3 1 2 3 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 3 3 1 2 3 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 3 0 2 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 6 2 1 6 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 6 2 1 6 -1 -1 5 0 21 - 322 SM_AMIGA_3_ 3 -1 5 2 2 5 -1 -1 5 0 21 - 362 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 4 0 21 - 336 RST_DLY_5_ 3 -1 6 2 1 6 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 4 0 21 - 374 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 6 2 1 6 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 6 2 1 6 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 6 2 1 6 -1 -1 2 1 21 - 325 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 1 2 0 1 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 6 2 3 5 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 2 3 5 -1 -1 1 0 21 - 375 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 - 373 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 2 1 2 -1 -1 7 0 21 - 371 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 330 N_168_i 3 -1 2 1 5 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 328 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 327 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 - 326 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 2 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 3 1 2 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 1 1 3 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 6 1 4 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 1 1 6 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 4 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 5 1 6 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 3 1 0 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 3 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 0 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 2 1 5 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 5 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 0 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 0 59 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 29 DTACK 1 -1 -1 1 5 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 0 13 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 2 5 6 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 6 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 68 A0 5 368 6 1 3 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 3 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 - 30 LDS_000 5 -1 3 1 0 30 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 9 0 21 - 7 IPL_030_0_ 5 375 1 0 7 -1 9 0 21 - 6 IPL_030_1_ 5 373 1 0 6 -1 9 0 21 - 65 E 5 372 6 0 65 -1 5 0 21 - 80 DSACK1 5 371 7 0 80 -1 4 0 21 - 34 VMA 5 374 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 370 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 2 6 0 3 4 5 6 7 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 6 5 0 1 2 3 5 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 3 4 5 6 7 -1 -1 1 0 21 - 296 SM_AMIGA_5_ 3 -1 5 4 2 5 6 7 -1 -1 3 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 333 RST_DLY_3_ 3 -1 2 3 0 1 2 -1 -1 6 0 21 - 372 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 332 RST_DLY_2_ 3 -1 0 3 0 1 2 -1 -1 5 0 21 - 322 SM_AMIGA_3_ 3 -1 2 3 2 5 6 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 5 0 21 - 335 RST_DLY_5_ 3 -1 2 3 0 1 2 -1 -1 4 0 21 - 331 RST_DLY_1_ 3 -1 0 3 0 1 2 -1 -1 4 0 21 - 321 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 - 336 RST_DLY_6_ 3 -1 2 3 0 1 2 -1 -1 3 0 21 - 330 RST_DLY_0_ 3 -1 0 3 0 1 2 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 - 337 RST_DLY_7_ 3 -1 0 3 0 1 2 -1 -1 2 0 21 - 334 RST_DLY_4_ 3 -1 0 3 0 1 2 -1 -1 2 1 21 - 293 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 316 inst_CLK_000_D0 3 -1 5 3 1 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 6 2 3 6 -1 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 3 0 21 - 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 5 2 3 5 -1 -1 3 0 21 - 325 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 - 324 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 - 323 inst_CLK_000_NE_D0 3 -1 5 2 2 6 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 3 2 1 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 375 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 - 373 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 371 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 3 1 3 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 328 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 - 327 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 - 326 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 341 N_125_i 3 -1 2 1 5 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 2 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 1 1 2 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 4 1 1 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 6 1 1 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 6 1 6 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 1 1 3 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 6 1 1 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 2 1 6 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 1 1 2 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 3 1 2 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_1_ 3 -1 6 1 3 -1 -1 1 0 21 - 338 CLK_000_P_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 6 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 3 1 3 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 1 1 2 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 3 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 5 59 -1 - 55 IPL_1_ 1 -1 -1 1 1 55 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 1 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 2 13 -1 - 10 CLK_000 1 -1 -1 1 5 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 5 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 2 68 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 - 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 - 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 - 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 - 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 - 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 - 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 - 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 2 59 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 5 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 2 68 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 - 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 - 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 - 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 - 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 - 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 - 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 - 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 2 59 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 5 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 2 68 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 - 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 - 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 - 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 - 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 - 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 - 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 - 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 2 59 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 5 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 2 68 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 - 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 - 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 - 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 - 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 - 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 - 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 - 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 2 59 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 5 7 70 -1 2 0 21 - 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 2 68 -1 3 0 21 - 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 82 BGACK_030 5 370 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 - 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 - 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 - 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 - 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 - 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 - 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 - 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 - 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 2 59 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -141 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 - 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 376 6 2 0 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 367 6 1 6 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 - 65 E 5 373 6 0 65 -1 5 0 21 - 80 DSACK1 5 372 7 0 80 -1 4 0 21 - 34 VMA 5 374 3 0 34 -1 3 0 21 - 82 BGACK_030 5 369 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 368 3 0 28 -1 2 0 21 - 2 RESET 5 375 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 369 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 - 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 2 5 0 1 2 3 5 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 0 3 4 5 7 -1 -1 1 0 21 - 326 inst_CLK_000_NE_D0 3 -1 1 4 2 3 5 6 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 14 0 21 - 373 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 325 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 359 SM_AMIGA_1_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 - 327 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 333 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 - 332 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 - 361 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 335 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 - 331 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 4 0 21 - 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 362 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 360 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 5 2 5 6 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 337 RST_DLY_7_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 334 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 - 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 - 316 inst_CLK_000_D0 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 358 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 363 N_271_0 3 -1 2 1 5 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 357 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_9_ 3 -1 5 1 7 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_6_ 3 -1 1 1 4 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_5_ 3 -1 4 1 1 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_4_ 3 -1 3 1 4 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_3_ 3 -1 1 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_2_ 3 -1 3 1 1 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_1_ 3 -1 4 1 3 -1 -1 1 0 21 - 347 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_6_ 3 -1 3 1 1 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_5_ 3 -1 1 1 3 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 338 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 324 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 323 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 - 322 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 6 1 3 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 5 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 6 1 6 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 3 1 2 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 6 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 59 A1 1 -1 -1 2 0 6 59 -1 - 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 3 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -141 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 40 BERR 5 -1 4 3 2 5 7 40 -1 1 0 21 - 68 A0 5 367 6 2 1 5 68 -1 3 0 21 - 70 RW 5 376 6 2 2 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 1 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 - 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 - 65 E 5 373 6 0 65 -1 5 0 21 - 80 DSACK1 5 372 7 0 80 -1 4 0 21 - 34 VMA 5 374 3 0 34 -1 3 0 21 - 82 BGACK_030 5 369 7 0 82 -1 2 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 368 3 0 28 -1 2 0 21 - 2 RESET 5 375 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 300 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 - 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 369 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 - 318 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 - 320 inst_CLK_000_NE 3 -1 6 4 0 1 3 5 -1 -1 1 0 21 - 299 inst_AS_030_D0 3 -1 7 4 2 3 4 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 2 3 7 -1 -1 14 0 21 - 373 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 - 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 - 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 - 330 SM_AMIGA_6_ 3 -1 2 3 1 2 5 -1 -1 3 0 21 - 297 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 - 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 2 0 21 - 327 inst_CLK_000_NE_D0 3 -1 1 3 3 5 6 -1 -1 1 0 21 - 317 inst_CLK_000_D0 3 -1 6 3 2 3 5 -1 -1 1 0 21 - 316 inst_CLK_000_D1 3 -1 5 3 2 3 5 -1 -1 1 0 21 - 304 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 302 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 1 2 0 1 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 - 326 SM_AMIGA_3_ 3 -1 5 2 2 5 -1 -1 5 0 21 - 336 RST_DLY_5_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 - 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 4 0 21 - 374 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 - 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 - 311 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 - 309 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 308 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 0 2 0 1 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 1 2 0 1 -1 -1 2 1 21 - 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 - 328 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 - 296 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 - 315 inst_CLK_OUT_PRE_50 3 -1 0 2 0 6 -1 -1 1 0 21 - 310 inst_VPA_D 3 -1 5 2 3 5 -1 -1 1 0 21 - 303 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 - 301 inst_DS_030_D0 3 -1 3 2 1 5 -1 -1 1 0 21 - 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 305 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 - 293 N_165 3 -1 5 1 5 -1 -1 4 0 21 - 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 - 307 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 306 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 3 1 4 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 0 1 3 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 3 1 0 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 0 1 3 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 3 1 0 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 6 1 2 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 4 1 4 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 4 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 2 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 1 1 2 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 1 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 - 325 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 324 IPL_D0_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 321 CLK_000_N_SYNC_11_ 3 -1 7 1 6 -1 -1 1 0 21 - 319 CLK_000_P_SYNC_9_ 3 -1 2 1 3 -1 -1 1 0 21 - 314 inst_DTACK_D0 3 -1 3 1 5 -1 -1 1 0 21 - 313 inst_CLK_OUT_PRE_D 3 -1 6 1 7 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 - 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 - 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 - 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 - 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 55 IPL_1_ 1 -1 -1 2 1 2 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 6 59 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 29 DTACK 1 -1 -1 1 3 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -141 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 - 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 376 6 2 0 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 367 6 1 6 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 - 65 E 5 373 6 0 65 -1 5 0 21 - 80 DSACK1 5 372 7 0 80 -1 4 0 21 - 82 BGACK_030 5 369 7 0 82 -1 3 0 21 - 34 VMA 5 374 3 0 34 -1 3 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 368 3 0 28 -1 2 0 21 - 2 RESET 5 375 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 369 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 - 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 2 5 0 1 2 3 5 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 0 3 4 5 7 -1 -1 1 0 21 - 326 inst_CLK_000_NE_D0 3 -1 1 4 2 3 5 6 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 14 0 21 - 373 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 325 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 359 SM_AMIGA_1_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 - 327 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 2 0 21 - 293 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 333 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 - 332 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 - 361 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 335 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 - 331 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 4 0 21 - 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 362 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 360 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 5 2 5 6 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 337 RST_DLY_7_ 3 -1 1 2 1 2 -1 -1 2 0 21 - 334 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 - 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 - 316 inst_CLK_000_D0 3 -1 6 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 - 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 358 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 363 pos_clk_un23_clk_000_ne_d0_0_n 3 -1 2 1 5 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 357 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_9_ 3 -1 5 1 7 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_6_ 3 -1 1 1 4 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_5_ 3 -1 4 1 1 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_4_ 3 -1 3 1 4 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_3_ 3 -1 1 1 3 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_2_ 3 -1 3 1 1 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_1_ 3 -1 4 1 3 -1 -1 1 0 21 - 347 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_6_ 3 -1 3 1 1 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_5_ 3 -1 1 1 3 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 - 338 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 - 324 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 323 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 - 322 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 2 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 6 1 3 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 5 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 6 1 6 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 3 1 2 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 6 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 59 A1 1 -1 -1 2 0 6 59 -1 - 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 67 IPL_2_ 1 -1 -1 1 1 67 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 35 VPA 1 -1 -1 1 1 35 -1 - 29 DTACK 1 -1 -1 1 3 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 - 10 CLK_000 1 -1 -1 1 6 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -141 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 2 5 6 7 40 -1 1 0 21 - 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 376 6 2 6 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 367 6 1 0 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 - 65 E 5 373 6 0 65 -1 5 0 21 - 80 DSACK1 5 372 7 0 80 -1 4 0 21 - 82 BGACK_030 5 369 7 0 82 -1 3 0 21 - 34 VMA 5 374 3 0 34 -1 3 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 368 3 0 28 -1 2 0 21 - 2 RESET 5 375 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 369 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 - 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 0 5 0 2 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 3 4 5 6 7 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 1 4 1 2 3 5 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 4 0 3 5 6 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 373 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 325 SM_AMIGA_3_ 3 -1 2 3 2 5 6 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 5 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 2 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 6 3 1 6 7 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 334 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 - 333 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 - 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 336 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 - 332 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 - 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 6 2 3 6 -1 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 337 RST_DLY_6_ 3 -1 1 2 1 2 -1 -1 3 0 21 - 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 5 2 0 5 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 338 RST_DLY_7_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 335 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 - 327 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 - 326 inst_CLK_000_NE_D0 3 -1 2 2 2 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 1 2 3 5 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 - 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 331 N_264_i 3 -1 2 1 5 -1 -1 2 0 21 - 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 3 1 3 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 1 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 0 1 1 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 4 1 3 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 4 1 1 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 1 1 4 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 6 1 4 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 1 1 6 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 2 1 1 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 3 1 2 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 4 1 3 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 3 1 4 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 3 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 1 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 - 324 IPL_D0_2_ 3 -1 0 1 1 -1 -1 1 0 21 - 323 IPL_D0_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 322 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 1 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 4 1 0 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 3 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 6 1 6 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 0 1 67 -1 - 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 59 A1 1 -1 -1 2 0 3 59 -1 - 55 IPL_1_ 1 -1 -1 2 1 2 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 35 VPA 1 -1 -1 1 5 35 -1 - 29 DTACK 1 -1 -1 1 0 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 6 1 2 3 5 6 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 3 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 0 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 82 BGACK_030 5 370 7 0 82 -1 3 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 370 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 317 inst_CLK_000_PE 3 -1 2 6 0 1 2 3 5 7 -1 -1 1 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 1 6 0 3 4 5 6 7 -1 -1 1 0 21 - 296 SM_AMIGA_5_ 3 -1 1 5 1 3 5 6 7 -1 -1 3 0 21 - 298 inst_AS_030_D0 3 -1 7 5 3 4 5 6 7 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 0 4 1 2 3 5 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 374 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 325 SM_AMIGA_3_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 - 361 SM_AMIGA_4_ 3 -1 3 3 2 3 5 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 5 3 0 1 5 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 326 inst_CLK_000_NE_D0 3 -1 5 3 2 3 6 -1 -1 1 0 21 - 316 inst_CLK_000_D0 3 -1 4 3 3 4 5 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 1 3 1 6 7 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 333 RST_DLY_3_ 3 -1 1 2 1 2 -1 -1 6 0 21 - 332 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 - 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 335 RST_DLY_5_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 331 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 - 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 337 RST_DLY_7_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 334 RST_DLY_4_ 3 -1 1 2 1 2 -1 -1 2 1 21 - 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 - 327 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 - 309 inst_VPA_D 3 -1 6 2 2 3 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 3 1 3 -1 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 338 N_203_i 3 -1 2 1 5 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 4 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 3 1 4 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 6 1 3 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 0 1 6 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 - 352 CLK_000_N_SYNC_4_ 3 -1 2 1 6 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 - 347 CLK_000_P_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_7_ 3 -1 3 1 5 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_6_ 3 -1 5 1 3 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_5_ 3 -1 6 1 5 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_2_ 3 -1 6 1 6 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 - 324 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 - 323 IPL_D0_1_ 3 -1 5 1 1 -1 -1 1 0 21 - 322 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 0 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 4 1 5 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 2 1 2 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 - 63 CLK_030 1 -1 -1 2 0 7 63 -1 - 55 IPL_1_ 1 -1 -1 2 1 5 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 66 IPL_0_ 1 -1 -1 1 1 66 -1 - 59 A1 1 -1 -1 1 0 59 -1 - 35 VPA 1 -1 -1 1 6 35 -1 - 29 DTACK 1 -1 -1 1 2 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 1 13 -1 - 10 CLK_000 1 -1 -1 1 4 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 -146 "number of signals after reading design file" - -"sig sig sig pair blk fan PT xor sync" -"num name type sig num out pin node cnt PT type" -"--- ---- ---- ---- --- --- --- ---- --- --- ----" - - 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 - 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 - 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 - 70 RW 5 377 6 2 0 7 70 -1 2 0 21 - 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 - 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 - 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 - 68 A0 5 368 6 1 6 68 -1 3 0 21 - 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 - 78 SIZE_1_ 5 -1 7 1 6 78 -1 1 0 21 - 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 - 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 - 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 - 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 - 65 E 5 374 6 0 65 -1 5 0 21 - 80 DSACK1 5 373 7 0 80 -1 4 0 21 - 82 BGACK_030 5 370 7 0 82 -1 3 0 21 - 34 VMA 5 375 3 0 34 -1 3 0 21 - 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 - 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 - 28 BG_000 5 369 3 0 28 -1 2 0 21 - 2 RESET 5 376 1 0 2 -1 2 0 21 - 91 AVEC 0 0 0 91 -1 1 0 21 - 77 FPU_CS 0 7 0 77 -1 1 0 21 - 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 - 46 CIIN 0 4 0 46 -1 1 0 21 - 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 - 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 - 9 CLK_EXP 0 1 0 9 -1 1 0 21 - 370 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 - 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 - 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 - 319 inst_CLK_000_NE 3 -1 5 5 1 2 3 4 5 -1 -1 1 0 21 - 317 inst_CLK_000_PE 3 -1 2 5 0 2 3 5 7 -1 -1 1 0 21 - 298 inst_AS_030_D0 3 -1 7 5 0 3 4 5 7 -1 -1 1 0 21 - 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 - 374 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 - 325 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 - 294 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 5 0 21 - 321 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 - 360 SM_AMIGA_1_ 3 -1 2 3 2 5 7 -1 -1 3 0 21 - 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 - 293 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 - 326 inst_CLK_000_NE_D0 3 -1 4 3 2 3 6 -1 -1 1 0 21 - 302 inst_BGACK_030_INT_D 3 -1 7 3 2 5 6 -1 -1 1 0 21 - 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 - 333 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 - 332 RST_DLY_2_ 3 -1 1 2 1 2 -1 -1 5 0 21 - 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 - 335 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 - 331 RST_DLY_1_ 3 -1 1 2 1 2 -1 -1 4 0 21 - 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 4 0 21 - 375 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 - 363 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 - 361 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 - 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 - 329 SM_AMIGA_6_ 3 -1 5 2 5 6 -1 -1 3 0 21 - 310 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 3 0 21 - 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 - 337 RST_DLY_7_ 3 -1 2 2 1 2 -1 -1 2 0 21 - 334 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 - 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 - 327 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 - 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 - 316 inst_CLK_000_D0 3 -1 1 2 3 5 -1 -1 1 0 21 - 315 inst_CLK_000_D1 3 -1 3 2 3 5 -1 -1 1 0 21 - 313 inst_DTACK_D0 3 -1 1 2 2 3 -1 -1 1 0 21 - 309 inst_VPA_D 3 -1 3 2 2 3 -1 -1 1 0 21 - 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 - 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 - 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 - 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 - 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 - 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 - 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 - 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 - 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 - 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 - 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 - 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 - 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 - 352 pos_clk_un29_clk_000_ne_d0_i_n 3 -1 3 1 5 -1 -1 2 0 21 - 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 - 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 - 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 - 357 CLK_000_N_SYNC_9_ 3 -1 5 1 7 -1 -1 1 0 21 - 356 CLK_000_N_SYNC_8_ 3 -1 6 1 5 -1 -1 1 0 21 - 355 CLK_000_N_SYNC_7_ 3 -1 1 1 6 -1 -1 1 0 21 - 354 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 - 353 CLK_000_N_SYNC_5_ 3 -1 1 1 0 -1 -1 1 0 21 - 351 CLK_000_N_SYNC_4_ 3 -1 1 1 1 -1 -1 1 0 21 - 350 CLK_000_N_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 - 349 CLK_000_N_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 348 CLK_000_N_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 - 347 CLK_000_N_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 - 346 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 - 345 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 - 344 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 - 343 CLK_000_P_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 - 342 CLK_000_P_SYNC_4_ 3 -1 3 1 5 -1 -1 1 0 21 - 341 CLK_000_P_SYNC_3_ 3 -1 2 1 3 -1 -1 1 0 21 - 340 CLK_000_P_SYNC_2_ 3 -1 1 1 2 -1 -1 1 0 21 - 339 CLK_000_P_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 - 338 CLK_000_P_SYNC_0_ 3 -1 3 1 2 -1 -1 1 0 21 - 324 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 - 323 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 - 322 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 - 320 CLK_000_N_SYNC_11_ 3 -1 7 1 5 -1 -1 1 0 21 - 318 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 - 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 - 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 - 300 inst_DS_030_D0 3 -1 3 1 6 -1 -1 1 0 21 - 60 CLK_OSZI 9 -1 0 60 -1 - 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 - 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 - 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 - 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 - 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 - 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 - 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 - 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 - 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 - 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 - 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 - 59 A1 1 -1 -1 2 2 6 59 -1 - 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 - 27 BGACK_000 1 -1 -1 2 4 7 27 -1 - 93 A_21_ 1 -1 -1 1 4 93 -1 - 92 A_20_ 1 -1 -1 1 4 92 -1 - 84 A_23_ 1 -1 -1 1 4 84 -1 - 83 A_22_ 1 -1 -1 1 4 83 -1 - 35 VPA 1 -1 -1 1 3 35 -1 - 29 DTACK 1 -1 -1 1 1 29 -1 - 20 BG_030 1 -1 -1 1 3 20 -1 - 18 A_24_ 1 -1 -1 1 4 18 -1 - 17 A_25_ 1 -1 -1 1 4 17 -1 - 16 A_26_ 1 -1 -1 1 4 16 -1 - 15 A_27_ 1 -1 -1 1 4 15 -1 - 14 A_28_ 1 -1 -1 1 4 14 -1 - 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 - 5 A_29_ 1 -1 -1 1 4 5 -1 - 4 A_30_ 1 -1 -1 1 4 4 -1 - 3 A_31_ 1 -1 -1 1 4 3 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc deleted file mode 100644 index ec03198..0000000 --- a/Logic/68030_tk.plc +++ /dev/null @@ -1,169 +0,0 @@ -|--------------------------------------------| -|- ispLEVER Fitter Report File -| -|- Version 1.8.00.04.29.14 -| -|- (c)Copyright, Lattice Semiconductor 2002 -| -|--------------------------------------------| - - -; Source file 68030_tk.tt4 -; FITTER-generated Placements. -; DEVICE mach447a -; DATE Thu Sep 24 16:21:08 2015 - - -Pin 15 A_28_ -Pin 16 A_27_ -Pin 79 SIZE_1_ Comb ; S6=1 S9=1 Pair 287 -Pin 17 A_26_ -Pin 18 A_25_ -Pin 4 A_31_ -Pin 19 A_24_ -Pin 85 A_23_ -Pin 84 A_22_ -Pin 94 A_21_ -Pin 68 IPL_2_ -Pin 93 A_20_ -Pin 97 A_19_ -Pin 58 FC_1_ -Pin 95 A_18_ -Pin 82 AS_030 Comb ; S6=1 S9=1 Pair 281 -Pin 59 A_17_ -Pin 42 AS_000 Comb ; S6=1 S9=1 Pair 203 -Pin 96 A_16_ -Pin 98 DS_030 Comb ; S6=1 S9=1 Pair 101 -Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 -Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191 -Pin 60 A1 -Pin 14 nEXP_SPACE -Pin 41 BERR Comb ; S6=1 S9=1 Pair 197 -Pin 21 BG_030 -Pin 28 BGACK_000 -Pin 64 CLK_030 -Pin 11 CLK_000 -Pin 61 CLK_OSZI -Pin 65 CLK_DIV_OUT Comb ; S6=1 S9=1 Pair 247 -Pin 10 CLK_EXP Comb ; S6=1 S9=1 Pair 127 -Pin 56 IPL_1_ -Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 -Pin 67 IPL_0_ -Pin 91 FPU_SENSE -Pin 57 FC_0_ -Pin 30 DTACK -Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 -Pin 36 VPA -Pin 86 RST -Pin 33 AMIGA_ADDR_ENABLE Comb ; S6=1 S9=1 Pair 181 -Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 199 -Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 149 -Pin 34 AMIGA_BUS_ENABLE_HIGH Comb ; S6=1 S9=1 Pair 179 -Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 -Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 -Pin 5 A_30_ -Pin 6 A_29_ -Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131 -Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269 -Pin 69 A0 Reg ; S6=1 S9=1 Pair 257 -Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175 -Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 -Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143 -Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137 -Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 283 -Pin 66 E Reg ; S6=1 S9=1 Pair 251 -Pin 35 VMA Reg ; S6=1 S9=1 Pair 173 -Pin 3 RESET Reg ; S6=1 S9=1 Pair 125 -Pin 71 RW Reg ; S6=1 S9=1 Pair 245 -Node 287 RN_SIZE_1_ Comb ; S6=1 S9=1 -Node 281 RN_AS_030 Comb ; S6=1 S9=1 -Node 203 RN_AS_000 Comb ; S6=1 S9=1 -Node 101 RN_DS_030 Comb ; S6=1 S9=1 -Node 185 RN_UDS_000 Comb ; S6=1 S9=1 -Node 191 RN_LDS_000 Comb ; S6=1 S9=1 -Node 197 RN_BERR Comb ; S6=1 S9=1 -Node 263 RN_SIZE_0_ Comb ; S6=1 S9=1 -Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1 -Node 269 RN_RW_000 Reg ; S6=1 S9=1 -Node 257 RN_A0 Reg ; S6=1 S9=1 -Node 175 RN_BG_000 Reg ; S6=1 S9=1 -Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 -Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 -Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 -Node 283 RN_DSACK1 Reg ; S6=1 S9=1 -Node 251 RN_E Reg ; S6=1 S9=1 -Node 173 RN_VMA Reg ; S6=1 S9=1 -Node 125 RN_RESET Reg ; S6=1 S9=1 -Node 245 RN_RW Reg ; S6=1 S9=1 -Node 157 cpu_est_0_ Reg ; S6=1 S9=1 -Node 253 cpu_est_1_ Reg ; S6=1 S9=1 -Node 103 inst_AS_000_INT Reg ; S6=1 S9=1 -Node 239 SM_AMIGA_5_ Reg ; S6=1 S9=1 -Node 154 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 -Node 277 inst_AS_030_D0 Reg ; S6=1 S9=1 -Node 221 inst_nEXP_SPACE_D0reg Reg ; S6=1 S9=1 -Node 184 inst_DS_030_D0 Reg ; S6=1 S9=1 -Node 241 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 -Node 289 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 -Node 113 inst_AS_000_DMA Reg ; S6=1 S9=1 -Node 109 inst_DS_000_DMA Reg ; S6=1 S9=1 -Node 104 CYCLE_DMA_0_ Reg ; S6=1 S9=1 -Node 121 CYCLE_DMA_1_ Reg ; S6=1 S9=1 -Node 254 SIZE_DMA_0_ Reg ; S6=1 S9=1 -Node 248 SIZE_DMA_1_ Reg ; S6=1 S9=1 -Node 193 inst_VPA_D Reg ; S6=1 S9=1 -Node 265 inst_UDS_000_INT Reg ; S6=1 S9=1 -Node 259 inst_LDS_000_INT Reg ; S6=1 S9=1 -Node 217 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 -Node 134 inst_DTACK_D0 Reg ; S6=1 S9=1 -Node 211 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 -Node 187 inst_CLK_000_D1 Reg ; S6=1 S9=1 -Node 128 inst_CLK_000_D0 Reg ; S6=1 S9=1 -Node 155 inst_CLK_000_PE Reg ; S6=1 S9=1 -Node 112 CLK_000_P_SYNC_9_ Reg ; S6=1 S9=1 -Node 227 inst_CLK_000_NE Reg ; S6=1 S9=1 -Node 278 CLK_000_N_SYNC_11_ Reg ; S6=1 S9=1 -Node 167 cpu_est_2_ Reg ; S6=1 S9=1 -Node 178 IPL_D0_0_ Reg ; S6=1 S9=1 -Node 194 IPL_D0_1_ Reg ; S6=1 S9=1 -Node 262 IPL_D0_2_ Reg ; S6=1 S9=1 -Node 161 SM_AMIGA_3_ Reg ; S6=1 S9=1 -Node 209 inst_CLK_000_NE_D0 Reg ; S6=1 S9=1 -Node 235 SM_AMIGA_0_ Reg ; S6=1 S9=1 -Node 260 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 -Node 229 SM_AMIGA_6_ Reg ; S6=1 S9=1 -Node 158 RST_DLY_0_ Reg ; S6=1 S9=1 -Node 145 RST_DLY_1_ Reg ; S6=1 S9=1 -Node 133 RST_DLY_2_ Reg ; S6=1 S9=1 -Node 163 RST_DLY_3_ Reg ; S6=1 S9=1 -Node 170 RST_DLY_4_ Reg ; S6=1 S9=1 -Node 139 RST_DLY_5_ Reg ; S6=1 S9=1 -Node 152 RST_DLY_6_ Reg ; S6=1 S9=1 -Node 164 RST_DLY_7_ Reg ; S6=1 S9=1 -Node 188 CLK_000_P_SYNC_0_ Reg ; S6=1 S9=1 -Node 166 CLK_000_P_SYNC_1_ Reg ; S6=1 S9=1 -Node 142 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 -Node 160 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 -Node 182 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 -Node 236 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 -Node 106 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 -Node 122 CLK_000_P_SYNC_7_ Reg ; S6=1 S9=1 -Node 116 CLK_000_P_SYNC_8_ Reg ; S6=1 S9=1 -Node 230 CLK_000_N_SYNC_0_ Reg ; S6=1 S9=1 -Node 256 CLK_000_N_SYNC_1_ Reg ; S6=1 S9=1 -Node 250 CLK_000_N_SYNC_2_ Reg ; S6=1 S9=1 -Node 136 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 -Node 130 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 -Node 176 pos_clk_un29_clk_000_ne_d0_i_n Comb ; S6=1 S9=1 -Node 146 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 -Node 110 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 -Node 140 CLK_000_N_SYNC_7_ Reg ; S6=1 S9=1 -Node 266 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 -Node 224 CLK_000_N_SYNC_9_ Reg ; S6=1 S9=1 -Node 272 CLK_000_N_SYNC_10_ Reg ; S6=1 S9=1 -Node 115 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 151 SM_AMIGA_1_ Reg ; S6=1 S9=1 -Node 223 SM_AMIGA_4_ Reg ; S6=1 S9=1 -Node 169 SM_AMIGA_2_ Reg ; S6=1 S9=1 -Node 119 inst_DS_000_ENABLE Reg ; S6=1 S9=1 -Node 233 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 -Node 205 CIIN_0 Comb ; S6=1 S9=1 -; Unused Pins & Nodes -; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd deleted file mode 100644 index df6a884..0000000 --- a/Logic/68030_tk.prd +++ /dev/null @@ -1,1982 +0,0 @@ -|--------------------------------------------| -|- ispLEVER Fitter Report File -| -|- Version 1.8.00.04.29.14 -| -|- (c)Copyright, Lattice Semiconductor 2002 -| -|--------------------------------------------| - - -Start: Thu Sep 24 16:21:08 2015 -End : Thu Sep 24 16:21:08 2015 $$$ Elapsed time: 00:00:00 -=========================================================================== -Part [C:/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] - -* Place/Route options (keycode = 540674) - = Spread Placement: ON - = No. Routing Attempts/Placement 2 - -* Placement Completion - - +- Block +------- IO Pins Available - | +- Macrocells Available | +-- IO Pins Used - | | +- Signals to Place | | +----- Logic Array Inputs - | | | +- Placed | | | +- Array Inputs Used -_|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 14 | 14 => 100% | 8 | 8 => 100% | 33 | 27 => 81% - 1 | 16 | 15 | 15 => 100% | 8 | 8 => 100% | 33 | 28 => 84% - 2 | 16 | 15 | 15 => 100% | 8 | 7 => 87% | 33 | 31 => 93% - 3 | 16 | 14 | 14 => 100% | 8 | 8 => 100% | 33 | 29 => 87% - 4 | 16 | 8 | 8 => 100% | 8 | 4 => 50% | 33 | 31 => 93% - 5 | 16 | 11 | 11 => 100% | 8 | 5 => 62% | 33 | 30 => 90% - 6 | 16 | 15 | 15 => 100% | 8 | 7 => 87% | 33 | 30 => 90% - 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 31 => 93% ----|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 29.63 => 89% - -* Input/Clock Signal count: 32 -> placed: 32 = 100% - - Resources Available Used ------------------------------------------------------------------ - Input Pins : 2 2 => 100% - I/O Pins : 64 55 => 85% - Clock Only Pins : 0 0 => 0% - Clock/Input Pins : 4 4 => 100% - Logic Blocks : 8 8 => 100% - Macrocells : 128 102 => 79% - PT Clusters : 128 60 => 46% - - Single PT Clusters : 128 53 => 41% - Input Registers : 0 - -* Routing Completion: 100% -* Attempts: Place [ 146] Route [ 0] -=========================================================================== - Signal Fanout Table -=========================================================================== - +- Signal Number - | +- Block Location ('+' for dedicated inputs) - | | +- Sig Type - | | | +- Signal-to-Pin Assignment - | | | | Fanout to Logic Blocks Signal Name -___|__|__|____|____________________________________________________________ - 1| 6| IO| 69|=> ....|..6.| A0 - |=> Paired w/: RN_A0 - 2| 5|INP| 60|=> ..2.|..6.| A1 - 3| 3|OUT| 33|=> ....|....| AMIGA_ADDR_ENABLE - 4| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR - 5| 3|OUT| 34|=> ....|....| AMIGA_BUS_ENABLE_HIGH - 6| 2|OUT| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW - 7| 4| IO| 42|=> 0...|4..7| AS_000 - 8| 7| IO| 82|=> ....|4..7| AS_030 - 9| 0|OUT| 92|=> ....|....| AVEC - 10| 0|INP| 96|=> ....|45.7| A_16_ - 11| 5|INP| 59|=> ....|45.7| A_17_ - 12| 0|INP| 95|=> ....|45.7| A_18_ - 13| 0|INP| 97|=> ....|45.7| A_19_ - 14| 0|INP| 93|=> ....|4...| A_20_ - 15| 0|INP| 94|=> ....|4...| A_21_ - 16| 7|INP| 84|=> ....|4...| A_22_ - 17| 7|INP| 85|=> ....|4...| A_23_ - 18| 2|INP| 19|=> ....|4...| A_24_ - 19| 2|INP| 18|=> ....|4...| A_25_ - 20| 2|INP| 17|=> ....|4...| A_26_ - 21| 2|INP| 16|=> ....|4...| A_27_ - 22| 2|INP| 15|=> ....|4...| A_28_ - 23| 1|INP| 6|=> ....|4...| A_29_ - 24| 1|INP| 5|=> ....|4...| A_30_ - 25| 1|INP| 4|=> ....|4...| A_31_ - 26| 4| IO| 41|=> 0.2.|.5.7| BERR - 27| 3|INP| 28|=> ....|4..7| BGACK_000 - 28| 7| IO| 83|=> ....|....| BGACK_030 - |=> Paired w/: RN_BGACK_030 - 29| 3| IO| 29|=> ....|....| BG_000 - |=> Paired w/: RN_BG_000 - 30| 2|INP| 21|=> ...3|....| BG_030 - 31| 4|OUT| 47|=> ....|....| CIIN - 32| 4|NOD| . |=> ....|4...| CIIN_0 - 33| +|INP| 11|=> .1..|....| CLK_000 - 34| 5|NOD| . |=> ....|..6.| CLK_000_N_SYNC_0_ - 35| 7|NOD| . |=> ....|...7| CLK_000_N_SYNC_10_ - 36| 7|NOD| . |=> ....|.5..| CLK_000_N_SYNC_11_ - 37| 6|NOD| . |=> ....|..6.| CLK_000_N_SYNC_1_ - 38| 6|NOD| . |=> .1..|....| CLK_000_N_SYNC_2_ - 39| 1|NOD| . |=> .1..|....| CLK_000_N_SYNC_3_ - 40| 1|NOD| . |=> .1..|....| CLK_000_N_SYNC_4_ - 41| 1|NOD| . |=> 0...|....| CLK_000_N_SYNC_5_ - 42| 0|NOD| . |=> .1..|....| CLK_000_N_SYNC_6_ - 43| 1|NOD| . |=> ....|..6.| CLK_000_N_SYNC_7_ - 44| 6|NOD| . |=> ....|.5..| CLK_000_N_SYNC_8_ - 45| 5|NOD| . |=> ....|...7| CLK_000_N_SYNC_9_ - 46| 3|NOD| . |=> ..2.|....| CLK_000_P_SYNC_0_ - 47| 2|NOD| . |=> .1..|....| CLK_000_P_SYNC_1_ - 48| 1|NOD| . |=> ..2.|....| CLK_000_P_SYNC_2_ - 49| 2|NOD| . |=> ...3|....| CLK_000_P_SYNC_3_ - 50| 3|NOD| . |=> ....|.5..| CLK_000_P_SYNC_4_ - 51| 5|NOD| . |=> 0...|....| CLK_000_P_SYNC_5_ - 52| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_6_ - 53| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_7_ - 54| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_8_ - 55| 0|NOD| . |=> ..2.|....| CLK_000_P_SYNC_9_ - 56| +|INP| 64|=> 01..|...7| CLK_030 - 57| 6|OUT| 65|=> ....|....| CLK_DIV_OUT - 58| 1|OUT| 10|=> ....|....| CLK_EXP - 59| +|Cin| 61|=> ....|....| CLK_OSZI - 60| 0|NOD| . |=> 0...|....| CYCLE_DMA_0_ - 61| 0|NOD| . |=> 0...|....| CYCLE_DMA_1_ - 62| 7| IO| 81|=> ....|....| DSACK1 - |=> Paired w/: RN_DSACK1 - 63| 0| IO| 98|=> ...3|....| DS_030 - 64| 3|INP| 30|=> .1..|....| DTACK - 65| 6| IO| 66|=> ....|....| E - |=> Paired w/: RN_E - 66| 5|INP| 57|=> ....|45.7| FC_0_ - 67| 5|INP| 58|=> ....|45.7| FC_1_ - 68| 7|OUT| 78|=> ....|....| FPU_CS - 69| 0|INP| 91|=> ....|4..7| FPU_SENSE - 70| 1| IO| 8|=> ....|....| IPL_030_0_ - |=> Paired w/: RN_IPL_030_0_ - 71| 1| IO| 7|=> ....|....| IPL_030_1_ - |=> Paired w/: RN_IPL_030_1_ - 72| 1| IO| 9|=> ....|....| IPL_030_2_ - |=> Paired w/: RN_IPL_030_2_ - 73| 6|INP| 67|=> .1.3|....| IPL_0_ - 74| 5|INP| 56|=> .1.3|....| IPL_1_ - 75| 6|INP| 68|=> .1..|..6.| IPL_2_ - 76| 3|NOD| . |=> .1..|....| IPL_D0_0_ - 77| 3|NOD| . |=> .1..|....| IPL_D0_1_ - 78| 6|NOD| . |=> .1..|....| IPL_D0_2_ - 79| 3| IO| 31|=> 0...|..6.| LDS_000 - 80| 1| IO| 3|=> ....|....| RESET - |=> Paired w/: RN_RESET - 81| 6|NOD| . |=> ....|..6.| RN_A0 - |=> Paired w/: A0 - 82| 7|NOD| . |=> 0.23|4567| RN_BGACK_030 - |=> Paired w/: BGACK_030 - 83| 3|NOD| . |=> ...3|....| RN_BG_000 - |=> Paired w/: BG_000 - 84| 7|NOD| . |=> ....|...7| RN_DSACK1 - |=> Paired w/: DSACK1 - 85| 6|NOD| . |=> ..23|..6.| RN_E - |=> Paired w/: E - 86| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ - |=> Paired w/: IPL_030_0_ - 87| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ - |=> Paired w/: IPL_030_1_ - 88| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ - |=> Paired w/: IPL_030_2_ - 89| 1|NOD| . |=> 01.3|4.67| RN_RESET - |=> Paired w/: RESET - 90| 6|NOD| . |=> ....|..6.| RN_RW - |=> Paired w/: RW - 91| 7|NOD| . |=> ....|...7| RN_RW_000 - |=> Paired w/: RW_000 - 92| 3|NOD| . |=> ..23|....| RN_VMA - |=> Paired w/: VMA - 93| +|INP| 86|=> 0123|.567| RST - 94| 2|NOD| . |=> .12.|....| RST_DLY_0_ - 95| 1|NOD| . |=> .12.|....| RST_DLY_1_ - 96| 1|NOD| . |=> .12.|....| RST_DLY_2_ - 97| 2|NOD| . |=> .12.|....| RST_DLY_3_ - 98| 2|NOD| . |=> .12.|....| RST_DLY_4_ - 99| 1|NOD| . |=> .12.|....| RST_DLY_5_ - 100| 2|NOD| . |=> .12.|....| RST_DLY_6_ - 101| 2|NOD| . |=> .12.|....| RST_DLY_7_ - 102| 6| IO| 71|=> 0...|...7| RW - |=> Paired w/: RN_RW - 103| 7| IO| 80|=> 0...|4.6.| RW_000 - |=> Paired w/: RN_RW_000 - 104| 6| IO| 70|=> ....|..6.| SIZE_0_ - 105| 7| IO| 79|=> ....|..6.| SIZE_1_ - 106| 6|NOD| . |=> ....|..67| SIZE_DMA_0_ - 107| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ - 108| 5|NOD| . |=> ....|.5.7| SM_AMIGA_0_ - 109| 2|NOD| . |=> ..2.|.5.7| SM_AMIGA_1_ - 110| 2|NOD| . |=> ..2.|.5..| SM_AMIGA_2_ - 111| 2|NOD| . |=> 0.2.|.5..| SM_AMIGA_3_ - 112| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_4_ - 113| 5|NOD| . |=> 0...|.5.7| SM_AMIGA_5_ - 114| 5|NOD| . |=> ....|.56.| SM_AMIGA_6_ - 115| 5|NOD| . |=> ...3|.5.7| SM_AMIGA_i_7_ - 116| 3| IO| 32|=> 0...|..6.| UDS_000 - 117| 3| IO| 35|=> ....|....| VMA - |=> Paired w/: RN_VMA - 118| +|INP| 36|=> ...3|....| VPA - 119| 2|NOD| . |=> ..23|..6.| cpu_est_0_ - 120| 6|NOD| . |=> ..23|..6.| cpu_est_1_ - 121| 2|NOD| . |=> ..23|..6.| cpu_est_2_ - 122| 6|NOD| . |=> ...3|..6.| inst_AMIGA_BUS_ENABLE_DMA_HIGH - 123| 2|NOD| . |=> ..2.|....| inst_AMIGA_BUS_ENABLE_DMA_LOW - 124| 0|NOD| . |=> 0...|...7| inst_AS_000_DMA - 125| 0|NOD| . |=> 0...|4...| inst_AS_000_INT - 126| 5|NOD| . |=> ....|.5..| inst_AS_030_000_SYNC - 127| 7|NOD| . |=> 0..3|45.7| inst_AS_030_D0 - 128| 7|NOD| . |=> ..2.|.56.| inst_BGACK_030_INT_D - 129| 1|NOD| . |=> ...3|.5..| inst_CLK_000_D0 - 130| 3|NOD| . |=> ...3|.5..| inst_CLK_000_D1 - 131| 5|NOD| . |=> .123|45..| inst_CLK_000_NE - 132| 4|NOD| . |=> ..23|..6.| inst_CLK_000_NE_D0 - 133| 2|NOD| . |=> 0.23|.5.7| inst_CLK_000_PE - 134| 0|NOD| . |=> 0...|....| inst_CLK_030_H - 135| 4|NOD| . |=> ....|4...| inst_CLK_OUT_PRE_50 - 136| 4|NOD| . |=> ....|...7| inst_CLK_OUT_PRE_D - 137| 0|NOD| . |=> 0...|....| inst_DS_000_DMA - 138| 0|NOD| . |=> 0..3|....| inst_DS_000_ENABLE - 139| 3|NOD| . |=> ....|..6.| inst_DS_030_D0 - 140| 1|NOD| . |=> ..23|....| inst_DTACK_D0 - 141| 6|NOD| . |=> ...3|..6.| inst_LDS_000_INT - 142| 6|NOD| . |=> ...3|..6.| inst_UDS_000_INT - 143| 3|NOD| . |=> ..23|....| inst_VPA_D - 144| 5|NOD| . |=> 0..3|4567| inst_nEXP_SPACE_D0reg - 145| +|INP| 14|=> ....|.5..| nEXP_SPACE - 146| 3|NOD| . |=> ....|.5..| pos_clk_un29_clk_000_ne_d0_i_n ---------------------------------------------------------------------------- -=========================================================================== - < C:/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > -=========================================================================== - +- Device Pin No - | Pin Type +- Signal Fixed (*) - | | | Signal Name -____|_____|_________|______________________________________________________ - 1 | GND | | | (pwr/test) - 2 | JTAG | | | (pwr/test) - 3 | I_O | 1_07|*| RESET - 4 | I_O | 1_06|*| A_31_ - 5 | I_O | 1_05|*| A_30_ - 6 | I_O | 1_04|*| A_29_ - 7 | I_O | 1_03|*| IPL_030_1_ - 8 | I_O | 1_02|*| IPL_030_0_ - 9 | I_O | 1_01|*| IPL_030_2_ - 10 | I_O | 1_00|*| CLK_EXP - 11 | CkIn | |*| CLK_000 - 12 | Vcc | | | (pwr/test) - 13 | GND | | | (pwr/test) - 14 | CkIn | |*| nEXP_SPACE - 15 | I_O | 2_00|*| A_28_ - 16 | I_O | 2_01|*| A_27_ - 17 | I_O | 2_02|*| A_26_ - 18 | I_O | 2_03|*| A_25_ - 19 | I_O | 2_04|*| A_24_ - 20 | I_O | 2_05|*| AMIGA_BUS_ENABLE_LOW - 21 | I_O | 2_06|*| BG_030 - 22 | I_O | 2_07| | - - 23 | JTAG | | | (pwr/test) - 24 | JTAG | | | (pwr/test) - 25 | GND | | | (pwr/test) - 26 | GND | | | (pwr/test) - 27 | GND | | | (pwr/test) - 28 | I_O | 3_07|*| BGACK_000 - 29 | I_O | 3_06|*| BG_000 - 30 | I_O | 3_05|*| DTACK - 31 | I_O | 3_04|*| LDS_000 - 32 | I_O | 3_03|*| UDS_000 - 33 | I_O | 3_02|*| AMIGA_ADDR_ENABLE - 34 | I_O | 3_01|*| AMIGA_BUS_ENABLE_HIGH - 35 | I_O | 3_00|*| VMA - 36 | Inp | |*| VPA - 37 | Vcc | | | (pwr/test) - 38 | GND | | | (pwr/test) - 39 | GND | | | (pwr/test) - 40 | Vcc | | | (pwr/test) - 41 | I_O | 4_00|*| BERR - 42 | I_O | 4_01|*| AS_000 - 43 | I_O | 4_02| | - - 44 | I_O | 4_03| | - - 45 | I_O | 4_04| | - - 46 | I_O | 4_05| | - - 47 | I_O | 4_06|*| CIIN - 48 | I_O | 4_07|*| AMIGA_BUS_DATA_DIR - 49 | GND | | | (pwr/test) - 50 | GND | | | (pwr/test) - 51 | GND | | | (pwr/test) - 52 | JTAG | | | (pwr/test) - 53 | I_O | 5_07| | - - 54 | I_O | 5_06| | - - 55 | I_O | 5_05| | - - 56 | I_O | 5_04|*| IPL_1_ - 57 | I_O | 5_03|*| FC_0_ - 58 | I_O | 5_02|*| FC_1_ - 59 | I_O | 5_01|*| A_17_ - 60 | I_O | 5_00|*| A1 - 61 | CkIn | |*| CLK_OSZI - 62 | Vcc | | | (pwr/test) - 63 | GND | | | (pwr/test) - 64 | CkIn | |*| CLK_030 - 65 | I_O | 6_00|*| CLK_DIV_OUT - 66 | I_O | 6_01|*| E - 67 | I_O | 6_02|*| IPL_0_ - 68 | I_O | 6_03|*| IPL_2_ - 69 | I_O | 6_04|*| A0 - 70 | I_O | 6_05|*| SIZE_0_ - 71 | I_O | 6_06|*| RW - 72 | I_O | 6_07| | - - 73 | JTAG | | | (pwr/test) - 74 | JTAG | | | (pwr/test) - 75 | GND | | | (pwr/test) - 76 | GND | | | (pwr/test) - 77 | GND | | | (pwr/test) - 78 | I_O | 7_07|*| FPU_CS - 79 | I_O | 7_06|*| SIZE_1_ - 80 | I_O | 7_05|*| RW_000 - 81 | I_O | 7_04|*| DSACK1 - 82 | I_O | 7_03|*| AS_030 - 83 | I_O | 7_02|*| BGACK_030 - 84 | I_O | 7_01|*| A_22_ - 85 | I_O | 7_00|*| A_23_ - 86 | Inp | |*| RST - 87 | Vcc | | | (pwr/test) - 88 | GND | | | (pwr/test) - 89 | GND | | | (pwr/test) - 90 | Vcc | | | (pwr/test) - 91 | I_O | 0_00|*| FPU_SENSE - 92 | I_O | 0_01|*| AVEC - 93 | I_O | 0_02|*| A_20_ - 94 | I_O | 0_03|*| A_21_ - 95 | I_O | 0_04|*| A_18_ - 96 | I_O | 0_05|*| A_16_ - 97 | I_O | 0_06|*| A_19_ - 98 | I_O | 0_07|*| DS_030 - 99 | GND | | | (pwr/test) - 100 | GND | | | (pwr/test) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| DS_030| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free - 2| CYCLE_DMA_0_|NOD| | S | 2 | 4 to [ 2]| 1 XOR free - 3|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig - 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|inst_DS_000_DMA|NOD| | S | 9 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 to [ 5]| 1 XOR to [ 6] for 1 PT sig - 7|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 7] for 1 PT sig - 8|inst_AS_000_DMA|NOD| | S | 7 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9|inst_CLK_030_H|NOD| | S | 8 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [12]| 1 XOR free -13| CYCLE_DMA_1_|NOD| | S | 3 | 4 to [13]| 1 XOR free -14|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| DS_030| IO| | S | 1 |=> can support up to [ 5] logic PT(s) - 1|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) - 2| CYCLE_DMA_0_|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4| AVEC|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 5|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 13] logic PT(s) - 6|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) - 7|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) - 8|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 9] logic PT(s) - 9|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 14] logic PT(s) -10|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) -11| | ? | | S | |=> can support up to [ 5] logic PT(s) -12|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) -13| CYCLE_DMA_1_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) -14|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 0] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| DS_030| IO| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 - 1|inst_AS_000_INT|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2| CYCLE_DMA_0_|NOD| | => | 6 7 0 1 | 97 98 91 92 - 3|CLK_000_P_SYNC_6_|NOD| | => | 6 7 0 1 | 97 98 91 92 - 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5|inst_DS_000_DMA|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6|CLK_000_N_SYNC_6_|NOD| | => | 0 1 2 3 | 91 92 93 94 - 7|CLK_000_P_SYNC_9_|NOD| | => | 0 1 2 3 | 91 92 93 94 - 8|inst_AS_000_DMA|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9|inst_CLK_030_H|NOD| | => | 1 2 3 4 | 92 93 94 95 -10|CLK_000_P_SYNC_8_|NOD| | => | 2 3 4 5 | 93 94 95 96 -11| | | | => | 2 3 4 5 | 93 94 95 96 -12|inst_DS_000_ENABLE|NOD| | => | 3 4 5 6 | 94 95 96 97 -13| CYCLE_DMA_1_|NOD| | => | 3 4 5 6 | 94 95 96 97 -14|CLK_000_P_SYNC_7_|NOD| | => | 4 5 6 7 | 95 96 97 98 -15| | | | => | 4 5 6 7 | 95 96 97 98 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| FPU_SENSE|INP|*| 91| => | 0 1 2 3 4 5 6 7 - 1| AVEC|OUT|*| 92| => | 2 3 ( 4) 5 6 7 8 9 - 2| A_20_|INP|*| 93| => | 4 5 6 7 8 9 10 11 - 3| A_21_|INP|*| 94| => | 6 7 8 9 10 11 12 13 - 4| A_18_|INP|*| 95| => | 8 9 10 11 12 13 14 15 - 5| A_16_|INP|*| 96| => | 10 11 12 13 14 15 0 1 - 6| A_19_|INP|*| 97| => | 12 13 14 15 0 1 2 3 - 7| DS_030| IO|*| 98| => | 14 15 ( 0) 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| FPU_SENSE|INP|*| 91| => | Input macrocell [ -] - 1| AVEC|OUT|*| 92| => | Input macrocell [ -] - 2| A_20_|INP|*| 93| => | Input macrocell [ -] - 3| A_21_|INP|*| 94| => | Input macrocell [ -] - 4| A_18_|INP|*| 95| => | Input macrocell [ -] - 5| A_16_|INP|*| 96| => | Input macrocell [ -] - 6| A_19_|INP|*| 97| => | Input macrocell [ -] - 7| DS_030| IO|*| 98| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 91|INP FPU_SENSE|*|*] - [RegIn 0 |102| -| | ] - [MCell 0 |101| IO DS_030| | ] - [MCell 1 |103|NOD inst_AS_000_INT| |*] - - 1 [IOpin 1 | 92|OUT AVEC|*| ] - [RegIn 1 |105| -| | ] - [MCell 2 |104|NOD CYCLE_DMA_0_| |*] - [MCell 3 |106|NOD CLK_000_P_SYNC_6_| |*] - - 2 [IOpin 2 | 93|INP A_20_|*|*] - [RegIn 2 |108| -| | ] - [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD inst_DS_000_DMA| |*] - - 3 [IOpin 3 | 94|INP A_21_|*|*] - [RegIn 3 |111| -| | ] - [MCell 6 |110|NOD CLK_000_N_SYNC_6_| |*] - [MCell 7 |112|NOD CLK_000_P_SYNC_9_| |*] - - 4 [IOpin 4 | 95|INP A_18_|*|*] - [RegIn 4 |114| -| | ] - [MCell 8 |113|NOD inst_AS_000_DMA| |*] - [MCell 9 |115|NOD inst_CLK_030_H| |*] - - 5 [IOpin 5 | 96|INP A_16_|*|*] - [RegIn 5 |117| -| | ] - [MCell 10 |116|NOD CLK_000_P_SYNC_8_| |*] - [MCell 11 |118| -| | ] - - 6 [IOpin 6 | 97|INP A_19_|*|*] - [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD inst_DS_000_ENABLE| |*] - [MCell 13 |121|NOD CYCLE_DMA_1_| |*] - - 7 [IOpin 7 | 98| IO DS_030|*|*] - [RegIn 7 |123| -| | ] - [MCell 14 |122|NOD CLK_000_P_SYNC_7_| |*] - [MCell 15 |124| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 0] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 0 13 ( 121)| CYCLE_DMA_1_ -Mux01| Mcel 5 12 ( 239)| SM_AMIGA_5_ -Mux02| Mcel 5 10 ( 236)| CLK_000_P_SYNC_5_ -Mux03| Mcel 0 14 ( 122)| CLK_000_P_SYNC_7_ -Mux04| Input Pin ( 64)| CLK_030 -Mux05| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg -Mux06| Mcel 2 4 ( 155)| inst_CLK_000_PE -Mux07| Mcel 2 8 ( 161)| SM_AMIGA_3_ -Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 1 14 ( 146)| CLK_000_N_SYNC_5_ -Mux10| ... | ... -Mux11| IOPin 6 6 ( 71)| RW -Mux12| Mcel 0 1 ( 103)| inst_AS_000_INT -Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux14| Mcel 0 10 ( 116)| CLK_000_P_SYNC_8_ -Mux15| Mcel 0 12 ( 119)| inst_DS_000_ENABLE -Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 4 0 ( 41)| BERR -Mux18| Mcel 0 5 ( 109)| inst_DS_000_DMA -Mux19| Mcel 0 9 ( 115)| inst_CLK_030_H -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 0 2 ( 104)| CYCLE_DMA_0_ -Mux23| ... | ... -Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 0 3 ( 106)| CLK_000_P_SYNC_6_ -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| ... | ... -Mux28| IOPin 7 5 ( 80)| RW_000 -Mux29| ... | ... -Mux30| Mcel 0 8 ( 113)| inst_AS_000_DMA -Mux31| ... | ... -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| RESET| IO| | S | 2 | 4 to [ 0]| 1 XOR free - 1| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 to [ 4]| 1 XOR to [ 3] for 1 PT sig - 4| IPL_030_2_| IO| | S |10 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5| RST_DLY_2_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6| inst_DTACK_D0|NOD| | S | 1 | 4 to [ 4]| 1 XOR to [ 6] for 1 PT sig - 7|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig - 8| IPL_030_0_| IO| | S |10 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9| RST_DLY_5_|NOD| | S | 4 | 4 to [ 8]| 1 XOR to [ 8] as logic PT -10|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [11] for 1 PT sig -12| IPL_030_1_| IO| | S |10 | 4 to [12]| 1 XOR to [12] as logic PT -13| RST_DLY_1_|NOD| | S | 4 | 4 to [12]| 1 XOR to [12] as logic PT -14|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| | ? | | S | | 4 to [13]| 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| RESET| IO| | S | 2 |=> can support up to [ 13] logic PT(s) - 1| CLK_EXP|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 2|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 3|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 4| IPL_030_2_| IO| | S |10 |=> can support up to [ 13] logic PT(s) - 5| RST_DLY_2_|NOD| | S | 5 |=> can support up to [ 9] logic PT(s) - 6| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 7|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 8| IPL_030_0_| IO| | S |10 |=> can support up to [ 18] logic PT(s) - 9| RST_DLY_5_|NOD| | S | 4 |=> can support up to [ 8] logic PT(s) -10|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -11|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -12| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) -13| RST_DLY_1_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) -14|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -15| | ? | | S | |=> can support up to [ 5] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 1] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| RESET| IO| | => | 5 6 ( 7) 0 | 5 4 ( 3) 10 - 1| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) - 2|inst_CLK_000_D0|NOD| | => | 6 7 0 1 | 4 3 10 9 - 3|CLK_000_N_SYNC_4_|NOD| | => | 6 7 0 1 | 4 3 10 9 - 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 - 5| RST_DLY_2_|NOD| | => | 7 0 1 2 | 3 10 9 8 - 6| inst_DTACK_D0|NOD| | => | 0 1 2 3 | 10 9 8 7 - 7|CLK_000_N_SYNC_3_|NOD| | => | 0 1 2 3 | 10 9 8 7 - 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 - 9| RST_DLY_5_|NOD| | => | 1 2 3 4 | 9 8 7 6 -10|CLK_000_N_SYNC_7_|NOD| | => | 2 3 4 5 | 8 7 6 5 -11|CLK_000_P_SYNC_2_|NOD| | => | 2 3 4 5 | 8 7 6 5 -12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 -13| RST_DLY_1_|NOD| | => | 3 4 5 6 | 7 6 5 4 -14|CLK_000_N_SYNC_5_|NOD| | => | 4 5 6 7 | 6 5 4 3 -15| | | | => | 4 5 6 7 | 6 5 4 3 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| CLK_EXP|OUT|*| 10| => | 0 ( 1) 2 3 4 5 6 7 - 1| IPL_030_2_| IO|*| 9| => | 2 3 ( 4) 5 6 7 8 9 - 2| IPL_030_0_| IO|*| 8| => | 4 5 6 7 ( 8) 9 10 11 - 3| IPL_030_1_| IO|*| 7| => | 6 7 8 9 10 11 (12) 13 - 4| A_29_|INP|*| 6| => | 8 9 10 11 12 13 14 15 - 5| A_30_|INP|*| 5| => | 10 11 12 13 14 15 0 1 - 6| A_31_|INP|*| 4| => | 12 13 14 15 0 1 2 3 - 7| RESET| IO|*| 3| => | 14 15 ( 0) 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| CLK_EXP|OUT|*| 10| => | Input macrocell [ -] - 1| IPL_030_2_| IO|*| 9| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_IPL_030_2_] - 2| IPL_030_0_| IO|*| 8| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_IPL_030_0_] - 3| IPL_030_1_| IO|*| 7| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_IPL_030_1_] - 4| A_29_|INP|*| 6| => | Input macrocell [ -] - 5| A_30_|INP|*| 5| => | Input macrocell [ -] - 6| A_31_|INP|*| 4| => | Input macrocell [ -] - 7| RESET| IO|*| 3| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_RESET] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 10|OUT CLK_EXP|*| ] - [RegIn 0 |126| -| | ] - [MCell 0 |125|NOD RN_RESET| |*] paired w/[ RESET] - [MCell 1 |127|OUT CLK_EXP| | ] - - 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] - [RegIn 1 |129| -| | ] - [MCell 2 |128|NOD inst_CLK_000_D0| |*] - [MCell 3 |130|NOD CLK_000_N_SYNC_4_| |*] - - 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] - [RegIn 2 |132| -| | ] - [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] - [MCell 5 |133|NOD RST_DLY_2_| |*] - - 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] - [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD inst_DTACK_D0| |*] - [MCell 7 |136|NOD CLK_000_N_SYNC_3_| |*] - - 4 [IOpin 4 | 6|INP A_29_|*|*] - [RegIn 4 |138| -| | ] - [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] - [MCell 9 |139|NOD RST_DLY_5_| |*] - - 5 [IOpin 5 | 5|INP A_30_|*|*] - [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD CLK_000_N_SYNC_7_| |*] - [MCell 11 |142|NOD CLK_000_P_SYNC_2_| |*] - - 6 [IOpin 6 | 4|INP A_31_|*|*] - [RegIn 6 |144| -| | ] - [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] - [MCell 13 |145|NOD RST_DLY_1_| |*] - - 7 [IOpin 7 | 3| IO RESET|*| ] paired w/[ RN_RESET] - [RegIn 7 |147| -| | ] - [MCell 14 |146|NOD CLK_000_N_SYNC_5_| |*] - [MCell 15 |148| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 1] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 1 0 ( 125)| RN_RESET -Mux01| Mcel 1 7 ( 136)| CLK_000_N_SYNC_3_ -Mux02| Mcel 2 2 ( 152)| RST_DLY_6_ -Mux03| Input Pin ( 11)| CLK_000 -Mux04| IOPin 6 3 ( 68)| IPL_2_ -Mux05| Mcel 6 3 ( 250)| CLK_000_N_SYNC_2_ -Mux06| Mcel 1 9 ( 139)| RST_DLY_5_ -Mux07| Mcel 2 14 ( 170)| RST_DLY_4_ -Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_ -Mux09| Mcel 2 6 ( 158)| RST_DLY_0_ -Mux10| Mcel 5 4 ( 227)| inst_CLK_000_NE -Mux11| ... | ... -Mux12| Mcel 1 12 ( 143)| RN_IPL_030_1_ -Mux13| Mcel 3 3 ( 178)| IPL_D0_0_ -Mux14| IOPin 3 5 ( 30)| DTACK -Mux15| Mcel 0 6 ( 110)| CLK_000_N_SYNC_6_ -Mux16| IOPin 6 2 ( 67)| IPL_0_ -Mux17| Mcel 3 14 ( 194)| IPL_D0_1_ -Mux18| Mcel 2 10 ( 164)| RST_DLY_7_ -Mux19| Mcel 1 3 ( 130)| CLK_000_N_SYNC_4_ -Mux20| Input Pin ( 64)| CLK_030 -Mux21| IOPin 5 4 ( 56)| IPL_1_ -Mux22| ... | ... -Mux23| Mcel 2 9 ( 163)| RST_DLY_3_ -Mux24| Input Pin ( 86)| RST -Mux25| ... | ... -Mux26| Mcel 6 11 ( 262)| IPL_D0_2_ -Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ -Mux28| Mcel 1 13 ( 145)| RST_DLY_1_ -Mux29| Mcel 2 11 ( 166)| CLK_000_P_SYNC_1_ -Mux30| ... | ... -Mux31| Mcel 1 5 ( 133)| RST_DLY_2_ -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free - 2| RST_DLY_6_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [ 3]| 1 XOR free - 4|inst_CLK_000_PE|NOD| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5| cpu_est_0_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| RST_DLY_0_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free - 7|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig - 8| SM_AMIGA_3_|NOD| | S | 5 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9| RST_DLY_3_|NOD| | S | 6 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10| RST_DLY_7_|NOD| | S | 2 | 4 to [10]| 1 XOR free -11|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [11] for 1 PT sig -12| cpu_est_2_|NOD| | S | 4 | 4 to [12]| 1 XOR free -13| SM_AMIGA_2_|NOD| | S | 4 | 4 to [13]| 1 XOR free -14| RST_DLY_4_|NOD| | S | 2 :+: 1| 4 to [14]| 1 XOR to [14] -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) - 1| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) - 2| RST_DLY_6_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) - 3|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) - 4|inst_CLK_000_PE|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 5| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) - 6| RST_DLY_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) - 7|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 8| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 9] logic PT(s) - 9| RST_DLY_3_|NOD| | S | 6 |=> can support up to [ 9] logic PT(s) -10| RST_DLY_7_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) -11|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) -12| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) -13| SM_AMIGA_2_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) -14| RST_DLY_4_|NOD| | S | 2 :+: 1|=> can support up to [ 9] logic PT(s) -15| | ? | | S | |=> can support up to [ 5] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 2] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0|AMIGA_BUS_ENABLE_LOW|OUT| | => |( 5) 6 7 0 |( 20) 21 22 15 - 1| SM_AMIGA_1_|NOD| | => | 5 6 7 0 | 20 21 22 15 - 2| RST_DLY_6_|NOD| | => | 6 7 0 1 | 21 22 15 16 - 3|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 6 7 0 1 | 21 22 15 16 - 4|inst_CLK_000_PE|NOD| | => | 7 0 1 2 | 22 15 16 17 - 5| cpu_est_0_|NOD| | => | 7 0 1 2 | 22 15 16 17 - 6| RST_DLY_0_|NOD| | => | 0 1 2 3 | 15 16 17 18 - 7|CLK_000_P_SYNC_3_|NOD| | => | 0 1 2 3 | 15 16 17 18 - 8| SM_AMIGA_3_|NOD| | => | 1 2 3 4 | 16 17 18 19 - 9| RST_DLY_3_|NOD| | => | 1 2 3 4 | 16 17 18 19 -10| RST_DLY_7_|NOD| | => | 2 3 4 5 | 17 18 19 20 -11|CLK_000_P_SYNC_1_|NOD| | => | 2 3 4 5 | 17 18 19 20 -12| cpu_est_2_|NOD| | => | 3 4 5 6 | 18 19 20 21 -13| SM_AMIGA_2_|NOD| | => | 3 4 5 6 | 18 19 20 21 -14| RST_DLY_4_|NOD| | => | 4 5 6 7 | 19 20 21 22 -15| | | | => | 4 5 6 7 | 19 20 21 22 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| A_28_|INP|*| 15| => | 0 1 2 3 4 5 6 7 - 1| A_27_|INP|*| 16| => | 2 3 4 5 6 7 8 9 - 2| A_26_|INP|*| 17| => | 4 5 6 7 8 9 10 11 - 3| A_25_|INP|*| 18| => | 6 7 8 9 10 11 12 13 - 4| A_24_|INP|*| 19| => | 8 9 10 11 12 13 14 15 - 5|AMIGA_BUS_ENABLE_LOW|OUT|*| 20| => | 10 11 12 13 14 15 ( 0) 1 - 6| BG_030|INP|*| 21| => | 12 13 14 15 0 1 2 3 - 7| | | | 22| => | 14 15 0 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| A_28_|INP|*| 15| => | Input macrocell [ -] - 1| A_27_|INP|*| 16| => | Input macrocell [ -] - 2| A_26_|INP|*| 17| => | Input macrocell [ -] - 3| A_25_|INP|*| 18| => | Input macrocell [ -] - 4| A_24_|INP|*| 19| => | Input macrocell [ -] - 5|AMIGA_BUS_ENABLE_LOW|OUT|*| 20| => | Input macrocell [ -] - 6| BG_030|INP|*| 21| => | Input macrocell [ -] - 7| | | | 22| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 15|INP A_28_|*|*] - [RegIn 0 |150| -| | ] - [MCell 0 |149|OUT AMIGA_BUS_ENABLE_LOW| | ] - [MCell 1 |151|NOD SM_AMIGA_1_| |*] - - 1 [IOpin 1 | 16|INP A_27_|*|*] - [RegIn 1 |153| -| | ] - [MCell 2 |152|NOD RST_DLY_6_| |*] - [MCell 3 |154|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] - - 2 [IOpin 2 | 17|INP A_26_|*|*] - [RegIn 2 |156| -| | ] - [MCell 4 |155|NOD inst_CLK_000_PE| |*] - [MCell 5 |157|NOD cpu_est_0_| |*] - - 3 [IOpin 3 | 18|INP A_25_|*|*] - [RegIn 3 |159| -| | ] - [MCell 6 |158|NOD RST_DLY_0_| |*] - [MCell 7 |160|NOD CLK_000_P_SYNC_3_| |*] - - 4 [IOpin 4 | 19|INP A_24_|*|*] - [RegIn 4 |162| -| | ] - [MCell 8 |161|NOD SM_AMIGA_3_| |*] - [MCell 9 |163|NOD RST_DLY_3_| |*] - - 5 [IOpin 5 | 20|OUT AMIGA_BUS_ENABLE_LOW|*| ] - [RegIn 5 |165| -| | ] - [MCell 10 |164|NOD RST_DLY_7_| |*] - [MCell 11 |166|NOD CLK_000_P_SYNC_1_| |*] - - 6 [IOpin 6 | 21|INP BG_030|*|*] - [RegIn 6 |168| -| | ] - [MCell 12 |167|NOD cpu_est_2_| |*] - [MCell 13 |169|NOD SM_AMIGA_2_| |*] - - 7 [IOpin 7 | 22| -| | ] - [RegIn 7 |171| -| | ] - [MCell 14 |170|NOD RST_DLY_4_| |*] - [MCell 15 |172| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 2] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 1 5 ( 133)| RST_DLY_2_ -Mux01| Mcel 2 1 ( 151)| SM_AMIGA_1_ -Mux02| Mcel 3 10 ( 188)| CLK_000_P_SYNC_0_ -Mux03| IOPin 5 0 ( 60)| A1 -Mux04| Mcel 2 12 ( 167)| cpu_est_2_ -Mux05| ... | ... -Mux06| Mcel 1 9 ( 139)| RST_DLY_5_ -Mux07| Mcel 2 5 ( 157)| cpu_est_0_ -Mux08| Mcel 4 8 ( 209)| inst_CLK_000_NE_D0 -Mux09| Mcel 2 6 ( 158)| RST_DLY_0_ -Mux10| Mcel 5 4 ( 227)| inst_CLK_000_NE -Mux11| Mcel 6 4 ( 251)| RN_E -Mux12| Mcel 2 3 ( 154)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux13| Mcel 2 9 ( 163)| RST_DLY_3_ -Mux14| Mcel 2 4 ( 155)| inst_CLK_000_PE -Mux15| Mcel 5 1 ( 223)| SM_AMIGA_4_ -Mux16| Mcel 2 8 ( 161)| SM_AMIGA_3_ -Mux17| Mcel 1 11 ( 142)| CLK_000_P_SYNC_2_ -Mux18| Mcel 2 10 ( 164)| RST_DLY_7_ -Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 1 13 ( 145)| RST_DLY_1_ -Mux22| Mcel 6 5 ( 253)| cpu_est_1_ -Mux23| Mcel 1 6 ( 134)| inst_DTACK_D0 -Mux24| Input Pin ( 86)| RST -Mux25| IOPin 4 0 ( 41)| BERR -Mux26| Mcel 3 0 ( 173)| RN_VMA -Mux27| ... | ... -Mux28| Mcel 0 7 ( 112)| CLK_000_P_SYNC_9_ -Mux29| Mcel 3 13 ( 193)| inst_VPA_D -Mux30| Mcel 2 13 ( 169)| SM_AMIGA_2_ -Mux31| Mcel 2 14 ( 170)| RST_DLY_4_ -Mux32| Mcel 2 2 ( 152)| RST_DLY_6_ ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| VMA| IO| | S | 3 | 4 to [ 0]| 1 XOR free - 1| BG_000| IO| | S | 2 | 4 to [ 1]| 1 XOR free - 2|pos_clk_un29_clk_000_ne_d0_i_n|NOD| | S | 2 | 4 to [ 2]| 1 XOR free - 3| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig - 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 | 4 to [ 4]| 1 XOR free - 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7|inst_DS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig - 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|inst_CLK_000_D1|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| VMA| IO| | S | 3 |=> can support up to [ 5] logic PT(s) - 1| BG_000| IO| | S | 2 |=> can support up to [ 9] logic PT(s) - 2|pos_clk_un29_clk_000_ne_d0_i_n|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) - 3| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 17] logic PT(s) - 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) - 6|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) - 7|inst_DS_030_D0|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) - 8| UDS_000| IO| | S | 1 |=> can support up to [ 17] logic PT(s) - 9|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -10|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 17] logic PT(s) -12| LDS_000| IO| | S | 1 |=> can support up to [ 18] logic PT(s) -13| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -14| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 3] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| VMA| IO| | => | 5 6 7 ( 0)| 30 29 28 ( 35) - 1| BG_000| IO| | => | 5 ( 6) 7 0 | 30 ( 29) 28 35 - 2|pos_clk_un29_clk_000_ne_d0_i_n|NOD| | => | 6 7 0 1 | 29 28 35 34 - 3| IPL_D0_0_|NOD| | => | 6 7 0 1 | 29 28 35 34 - 4|AMIGA_BUS_ENABLE_HIGH|OUT| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 - 5|AMIGA_ADDR_ENABLE|OUT| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 6|CLK_000_P_SYNC_4_|NOD| | => | 0 1 2 3 | 35 34 33 32 - 7|inst_DS_030_D0|NOD| | => | 0 1 2 3 | 35 34 33 32 - 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 - 9|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 34 33 32 31 -10|CLK_000_P_SYNC_0_|NOD| | => | 2 3 4 5 | 33 32 31 30 -11| | | | => | 2 3 4 5 | 33 32 31 30 -12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 -13| inst_VPA_D|NOD| | => | 3 4 5 6 | 32 31 30 29 -14| IPL_D0_1_|NOD| | => | 4 5 6 7 | 31 30 29 28 -15| | | | => | 4 5 6 7 | 31 30 29 28 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| VMA| IO|*| 35| => | ( 0) 1 2 3 4 5 6 7 - 1|AMIGA_BUS_ENABLE_HIGH|OUT|*| 34| => | 2 3 ( 4) 5 6 7 8 9 - 2|AMIGA_ADDR_ENABLE|OUT|*| 33| => | 4 ( 5) 6 7 8 9 10 11 - 3| UDS_000| IO|*| 32| => | 6 7 ( 8) 9 10 11 12 13 - 4| LDS_000| IO|*| 31| => | 8 9 10 11 (12) 13 14 15 - 5| DTACK|INP|*| 30| => | 10 11 12 13 14 15 0 1 - 6| BG_000| IO|*| 29| => | 12 13 14 15 0 ( 1) 2 3 - 7| BGACK_000|INP|*| 28| => | 14 15 0 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| VMA| IO|*| 35| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_VMA] - 1|AMIGA_BUS_ENABLE_HIGH|OUT|*| 34| => | Input macrocell [ -] - 2|AMIGA_ADDR_ENABLE|OUT|*| 33| => | Input macrocell [ -] - 3| UDS_000| IO|*| 32| => | Input macrocell [ -] - 4| LDS_000| IO|*| 31| => | Input macrocell [ -] - 5| DTACK|INP|*| 30| => | Input macrocell [ -] - 6| BG_000| IO|*| 29| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_BG_000] - 7| BGACK_000|INP|*| 28| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 35| IO VMA|*| ] paired w/[ RN_VMA] - [RegIn 0 |174| -| | ] - [MCell 0 |173|NOD RN_VMA| |*] paired w/[ VMA] - [MCell 1 |175|NOD RN_BG_000| |*] paired w/[ BG_000] - - 1 [IOpin 1 | 34|OUT AMIGA_BUS_ENABLE_HIGH|*| ] - [RegIn 1 |177| -| | ] - [MCell 2 |176|NOD pos_clk_un29_clk_000_ne_d0_i_n| |*] - [MCell 3 |178|NOD IPL_D0_0_| |*] - - 2 [IOpin 2 | 33|OUT AMIGA_ADDR_ENABLE|*| ] - [RegIn 2 |180| -| | ] - [MCell 4 |179|OUT AMIGA_BUS_ENABLE_HIGH| | ] - [MCell 5 |181|OUT AMIGA_ADDR_ENABLE| | ] - - 3 [IOpin 3 | 32| IO UDS_000|*|*] - [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD CLK_000_P_SYNC_4_| |*] - [MCell 7 |184|NOD inst_DS_030_D0| |*] - - 4 [IOpin 4 | 31| IO LDS_000|*|*] - [RegIn 4 |186| -| | ] - [MCell 8 |185| IO UDS_000| | ] - [MCell 9 |187|NOD inst_CLK_000_D1| |*] - - 5 [IOpin 5 | 30|INP DTACK|*|*] - [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD CLK_000_P_SYNC_0_| |*] - [MCell 11 |190| -| | ] - - 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] - [RegIn 6 |192| -| | ] - [MCell 12 |191| IO LDS_000| | ] - [MCell 13 |193|NOD inst_VPA_D| |*] - - 7 [IOpin 7 | 28|INP BGACK_000|*|*] - [RegIn 7 |195| -| | ] - [MCell 14 |194|NOD IPL_D0_1_| |*] - [MCell 15 |196| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 3] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| Mcel 3 13 ( 193)| inst_VPA_D -Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| Mcel 6 5 ( 253)| cpu_est_1_ -Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux05| IOPin 0 7 ( 98)| DS_030 -Mux06| Mcel 2 4 ( 155)| inst_CLK_000_PE -Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D1 -Mux08| Mcel 4 8 ( 209)| inst_CLK_000_NE_D0 -Mux09| Mcel 0 12 ( 119)| inst_DS_000_ENABLE -Mux10| Mcel 1 2 ( 128)| inst_CLK_000_D0 -Mux11| Mcel 1 6 ( 134)| inst_DTACK_D0 -Mux12| Mcel 6 13 ( 265)| inst_UDS_000_INT -Mux13| Input Pin ( 36)| VPA -Mux14| IOPin 2 6 ( 21)| BG_030 -Mux15| Mcel 2 7 ( 160)| CLK_000_P_SYNC_3_ -Mux16| IOPin 6 2 ( 67)| IPL_0_ -Mux17| Mcel 3 1 ( 175)| RN_BG_000 -Mux18| Mcel 3 0 ( 173)| RN_VMA -Mux19| ... | ... -Mux20| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ -Mux21| IOPin 5 4 ( 56)| IPL_1_ -Mux22| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux23| Mcel 2 12 ( 167)| cpu_est_2_ -Mux24| Input Pin ( 86)| RST -Mux25| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| Mcel 6 9 ( 259)| inst_LDS_000_INT -Mux28| Mcel 2 5 ( 157)| cpu_est_0_ -Mux29| Mcel 5 4 ( 227)| inst_CLK_000_NE -Mux30| ... | ... -Mux31| ... | ... -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| BERR| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1|AMIGA_BUS_DATA_DIR|OUT| | S | 2 | 4 to [ 1]| 1 XOR free - 2| | ? | | S | | 4 free | 1 XOR free - 3| | ? | | S | | 4 free | 1 XOR free - 4| AS_000| IO| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5| CIIN_0|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| | ? | | S | | 4 free | 1 XOR free - 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_CLK_000_NE_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| | ? | | S | | 4 free | 1 XOR free -11| | ? | | S | | 4 free | 1 XOR free -12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| BERR| IO| | S | 1 |=> can support up to [ 10] logic PT(s) - 1|AMIGA_BUS_DATA_DIR|OUT| | S | 2 |=> can support up to [ 19] logic PT(s) - 2| | ? | | S | |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 14] logic PT(s) - 4| AS_000| IO| | S | 1 |=> can support up to [ 15] logic PT(s) - 5| CIIN_0|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) - 6| | ? | | S | |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 18] logic PT(s) - 8|inst_CLK_000_NE_D0|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) - 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) -10| | ? | | S | |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 18] logic PT(s) -12| CIIN|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) -13|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 4] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| BERR| IO| | => | 5 6 7 ( 0)| 46 47 48 ( 41) - 1|AMIGA_BUS_DATA_DIR|OUT| | => | 5 6 ( 7) 0 | 46 47 ( 48) 41 - 2| | | | => | 6 7 0 1 | 47 48 41 42 - 3| | | | => | 6 7 0 1 | 47 48 41 42 - 4| AS_000| IO| | => | 7 0 ( 1) 2 | 48 41 ( 42) 43 - 5| CIIN_0|NOD| | => | 7 0 1 2 | 48 41 42 43 - 6| | | | => | 0 1 2 3 | 41 42 43 44 - 7| | | | => | 0 1 2 3 | 41 42 43 44 - 8|inst_CLK_000_NE_D0|NOD| | => | 1 2 3 4 | 42 43 44 45 - 9|inst_CLK_OUT_PRE_50|NOD| | => | 1 2 3 4 | 42 43 44 45 -10| | | | => | 2 3 4 5 | 43 44 45 46 -11| | | | => | 2 3 4 5 | 43 44 45 46 -12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) -13|inst_CLK_OUT_PRE_D|NOD| | => | 3 4 5 6 | 44 45 46 47 -14| | | | => | 4 5 6 7 | 45 46 47 48 -15| | | | => | 4 5 6 7 | 45 46 47 48 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| BERR| IO|*| 41| => | ( 0) 1 2 3 4 5 6 7 - 1| AS_000| IO|*| 42| => | 2 3 ( 4) 5 6 7 8 9 - 2| | | | 43| => | 4 5 6 7 8 9 10 11 - 3| | | | 44| => | 6 7 8 9 10 11 12 13 - 4| | | | 45| => | 8 9 10 11 12 13 14 15 - 5| | | | 46| => | 10 11 12 13 14 15 0 1 - 6| CIIN|OUT|*| 47| => | (12) 13 14 15 0 1 2 3 - 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | 14 15 0 ( 1) 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| BERR| IO|*| 41| => | Input macrocell [ -] - 1| AS_000| IO|*| 42| => | Input macrocell [ -] - 2| | | | 43| => | Input macrocell [ -] - 3| | | | 44| => | Input macrocell [ -] - 4| | | | 45| => | Input macrocell [ -] - 5| | | | 46| => | Input macrocell [ -] - 6| CIIN|OUT|*| 47| => | Input macrocell [ -] - 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 41| IO BERR|*|*] - [RegIn 0 |198| -| | ] - [MCell 0 |197| IO BERR| | ] - [MCell 1 |199|OUT AMIGA_BUS_DATA_DIR| | ] - - 1 [IOpin 1 | 42| IO AS_000|*|*] - [RegIn 1 |201| -| | ] - [MCell 2 |200| -| | ] - [MCell 3 |202| -| | ] - - 2 [IOpin 2 | 43| -| | ] - [RegIn 2 |204| -| | ] - [MCell 4 |203| IO AS_000| | ] - [MCell 5 |205|NOD CIIN_0| |*] - - 3 [IOpin 3 | 44| -| | ] - [RegIn 3 |207| -| | ] - [MCell 6 |206| -| | ] - [MCell 7 |208| -| | ] - - 4 [IOpin 4 | 45| -| | ] - [RegIn 4 |210| -| | ] - [MCell 8 |209|NOD inst_CLK_000_NE_D0| |*] - [MCell 9 |211|NOD inst_CLK_OUT_PRE_50| |*] - - 5 [IOpin 5 | 46| -| | ] - [RegIn 5 |213| -| | ] - [MCell 10 |212| -| | ] - [MCell 11 |214| -| | ] - - 6 [IOpin 6 | 47|OUT CIIN|*| ] - [RegIn 6 |216| -| | ] - [MCell 12 |215|OUT CIIN| | ] - [MCell 13 |217|NOD inst_CLK_OUT_PRE_D| |*] - - 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] - [RegIn 7 |219| -| | ] - [MCell 14 |218| -| | ] - [MCell 15 |220| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 4] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 1 0 ( 125)| RN_RESET -Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| Mcel 4 9 ( 211)| inst_CLK_OUT_PRE_50 -Mux03| Mcel 4 5 ( 205)| CIIN_0 -Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux05| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg -Mux06| IOPin 5 3 ( 57)| FC_0_ -Mux07| IOPin 2 0 ( 15)| A_28_ -Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| Mcel 5 4 ( 227)| inst_CLK_000_NE -Mux11| IOPin 0 0 ( 91)| FPU_SENSE -Mux12| Mcel 0 1 ( 103)| inst_AS_000_INT -Mux13| IOPin 1 4 ( 6)| A_29_ -Mux14| IOPin 2 4 ( 19)| A_24_ -Mux15| IOPin 0 3 ( 94)| A_21_ -Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 2 2 ( 17)| A_26_ -Mux18| IOPin 7 0 ( 85)| A_23_ -Mux19| IOPin 1 5 ( 5)| A_30_ -Mux20| IOPin 7 1 ( 84)| A_22_ -Mux21| IOPin 2 1 ( 16)| A_27_ -Mux22| IOPin 2 3 ( 18)| A_25_ -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| ... | ... -Mux25| IOPin 1 6 ( 4)| A_31_ -Mux26| IOPin 0 5 ( 96)| A_16_ -Mux27| IOPin 0 6 ( 97)| A_19_ -Mux28| IOPin 7 5 ( 80)| RW_000 -Mux29| IOPin 0 2 ( 93)| A_20_ -Mux30| ... | ... -Mux31| IOPin 0 4 ( 95)| A_18_ -Mux32| IOPin 3 7 ( 28)| BGACK_000 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0|inst_nEXP_SPACE_D0reg|NOD| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| SM_AMIGA_4_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free - 2|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 5]| 1 XOR free - 6|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 to [ 8]| 1 XOR free - 8| SM_AMIGA_i_7_|NOD| | S |13 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free -10|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [10] for 1 PT sig -11| | ? | | S | | 4 free | 1 XOR free -12| SM_AMIGA_5_|NOD| | S | 3 | 4 to [12]| 1 XOR free -13|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [13]| 1 XOR to [13] as logic PT -14| | ? | | S | | 4 to [13]| 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0|inst_nEXP_SPACE_D0reg|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 1| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) - 2|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 5| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 6|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8| SM_AMIGA_i_7_|NOD| | S |13 |=> can support up to [ 14] logic PT(s) - 9| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) -10|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) -11| | ? | | S | |=> can support up to [ 5] logic PT(s) -12| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) -13|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 6] logic PT(s) -15| | ? | | S | |=> can support up to [ 5] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 5] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0|inst_nEXP_SPACE_D0reg|NOD| | => | 5 6 7 0 | 55 54 53 60 - 1| SM_AMIGA_4_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 2|CLK_000_N_SYNC_9_|NOD| | => | 6 7 0 1 | 54 53 60 59 - 3| | | | => | 6 7 0 1 | 54 53 60 59 - 4|inst_CLK_000_NE|NOD| | => | 7 0 1 2 | 53 60 59 58 - 5| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 6|CLK_000_N_SYNC_0_|NOD| | => | 0 1 2 3 | 60 59 58 57 - 7| | | | => | 0 1 2 3 | 60 59 58 57 - 8| SM_AMIGA_i_7_|NOD| | => | 1 2 3 4 | 59 58 57 56 - 9| SM_AMIGA_0_|NOD| | => | 1 2 3 4 | 59 58 57 56 -10|CLK_000_P_SYNC_5_|NOD| | => | 2 3 4 5 | 58 57 56 55 -11| | | | => | 2 3 4 5 | 58 57 56 55 -12| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 57 56 55 54 -13|inst_AS_030_000_SYNC|NOD| | => | 3 4 5 6 | 57 56 55 54 -14| | | | => | 4 5 6 7 | 56 55 54 53 -15| | | | => | 4 5 6 7 | 56 55 54 53 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| A1|INP|*| 60| => | 0 1 2 3 4 5 6 7 - 1| A_17_|INP|*| 59| => | 2 3 4 5 6 7 8 9 - 2| FC_1_|INP|*| 58| => | 4 5 6 7 8 9 10 11 - 3| FC_0_|INP|*| 57| => | 6 7 8 9 10 11 12 13 - 4| IPL_1_|INP|*| 56| => | 8 9 10 11 12 13 14 15 - 5| | | | 55| => | 10 11 12 13 14 15 0 1 - 6| | | | 54| => | 12 13 14 15 0 1 2 3 - 7| | | | 53| => | 14 15 0 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| A1|INP|*| 60| => | Input macrocell [ -] - 1| A_17_|INP|*| 59| => | Input macrocell [ -] - 2| FC_1_|INP|*| 58| => | Input macrocell [ -] - 3| FC_0_|INP|*| 57| => | Input macrocell [ -] - 4| IPL_1_|INP|*| 56| => | Input macrocell [ -] - 5| | | | 55| => | Input macrocell [ -] - 6| | | | 54| => | Input macrocell [ -] - 7| | | | 53| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 60|INP A1|*|*] - [RegIn 0 |222| -| | ] - [MCell 0 |221|NOD inst_nEXP_SPACE_D0reg| |*] - [MCell 1 |223|NOD SM_AMIGA_4_| |*] - - 1 [IOpin 1 | 59|INP A_17_|*|*] - [RegIn 1 |225| -| | ] - [MCell 2 |224|NOD CLK_000_N_SYNC_9_| |*] - [MCell 3 |226| -| | ] - - 2 [IOpin 2 | 58|INP FC_1_|*|*] - [RegIn 2 |228| -| | ] - [MCell 4 |227|NOD inst_CLK_000_NE| |*] - [MCell 5 |229|NOD SM_AMIGA_6_| |*] - - 3 [IOpin 3 | 57|INP FC_0_|*|*] - [RegIn 3 |231| -| | ] - [MCell 6 |230|NOD CLK_000_N_SYNC_0_| |*] - [MCell 7 |232| -| | ] - - 4 [IOpin 4 | 56|INP IPL_1_|*|*] - [RegIn 4 |234| -| | ] - [MCell 8 |233|NOD SM_AMIGA_i_7_| |*] - [MCell 9 |235|NOD SM_AMIGA_0_| |*] - - 5 [IOpin 5 | 55| -| | ] - [RegIn 5 |237| -| | ] - [MCell 10 |236|NOD CLK_000_P_SYNC_5_| |*] - [MCell 11 |238| -| | ] - - 6 [IOpin 6 | 54| -| | ] - [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD SM_AMIGA_5_| |*] - [MCell 13 |241|NOD inst_AS_030_000_SYNC| |*] - - 7 [IOpin 7 | 53| -| | ] - [RegIn 7 |243| -| | ] - [MCell 14 |242| -| | ] - [MCell 15 |244| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 5] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 2 13 ( 169)| SM_AMIGA_2_ -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ -Mux03| Mcel 3 2 ( 176)| pos_clk_un29_clk_000_ne_d0_i_n -Mux04| IOPin 0 4 ( 95)| A_18_ -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 5 3 ( 57)| FC_0_ -Mux07| Mcel 2 8 ( 161)| SM_AMIGA_3_ -Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| Mcel 5 5 ( 229)| SM_AMIGA_6_ -Mux10| Mcel 6 14 ( 266)| CLK_000_N_SYNC_8_ -Mux11| IOPin 0 5 ( 96)| A_16_ -Mux12| IOPin 5 2 ( 58)| FC_1_ -Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux14| Mcel 5 4 ( 227)| inst_CLK_000_NE -Mux15| Mcel 5 13 ( 241)| inst_AS_030_000_SYNC -Mux16| Mcel 3 6 ( 182)| CLK_000_P_SYNC_4_ -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_5_ -Mux18| Mcel 1 2 ( 128)| inst_CLK_000_D0 -Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 7 6 ( 278)| CLK_000_N_SYNC_11_ -Mux22| Mcel 2 1 ( 151)| SM_AMIGA_1_ -Mux23| ... | ... -Mux24| Input Pin ( 86)| RST -Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D1 -Mux26| ... | ... -Mux27| IOPin 0 6 ( 97)| A_19_ -Mux28| ... | ... -Mux29| Mcel 2 4 ( 155)| inst_CLK_000_PE -Mux30| Mcel 5 1 ( 223)| SM_AMIGA_4_ -Mux31| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg -Mux32| Mcel 5 9 ( 235)| SM_AMIGA_0_ ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| RW| IO| | S | 2 | 4 to [ 0]| 1 XOR free - 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2| SIZE_DMA_1_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig - 4| E| IO| | S | 5 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5| cpu_est_1_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6| SIZE_DMA_0_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free - 7|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig - 8| A0| IO| | S | 3 | 4 to [ 8]| 1 XOR free - 9|inst_LDS_000_INT|NOD| | S | 4 | 4 to [ 9]| 1 XOR free -10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [10]| 1 XOR free -11| IPL_D0_2_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig -12| SIZE_0_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_UDS_000_INT|NOD| | S | 3 | 4 to [13]| 1 XOR free -14|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| RW| IO| | S | 2 |=> can support up to [ 9] logic PT(s) - 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 2| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 4| E| IO| | S | 5 |=> can support up to [ 9] logic PT(s) - 5| cpu_est_1_|NOD| | S | 5 |=> can support up to [ 9] logic PT(s) - 6| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) - 7|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 8| A0| IO| | S | 3 |=> can support up to [ 9] logic PT(s) - 9|inst_LDS_000_INT|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) -10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) -11| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -12| SIZE_0_| IO| | S | 1 |=> can support up to [ 13] logic PT(s) -13|inst_UDS_000_INT|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) -14|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 6] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 - 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 2| SIZE_DMA_1_|NOD| | => | 6 7 0 1 | 71 72 65 66 - 3|CLK_000_N_SYNC_2_|NOD| | => | 6 7 0 1 | 71 72 65 66 - 4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5| cpu_est_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 - 6| SIZE_DMA_0_|NOD| | => | 0 1 2 3 | 65 66 67 68 - 7|CLK_000_N_SYNC_1_|NOD| | => | 0 1 2 3 | 65 66 67 68 - 8| A0| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9|inst_LDS_000_INT|NOD| | => | 1 2 3 4 | 66 67 68 69 -10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 2 3 4 5 | 67 68 69 70 -11| IPL_D0_2_|NOD| | => | 2 3 4 5 | 67 68 69 70 -12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13|inst_UDS_000_INT|NOD| | => | 3 4 5 6 | 68 69 70 71 -14|CLK_000_N_SYNC_8_|NOD| | => | 4 5 6 7 | 69 70 71 72 -15| | | | => | 4 5 6 7 | 69 70 71 72 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| CLK_DIV_OUT|OUT|*| 65| => | 0 ( 1) 2 3 4 5 6 7 - 1| E| IO|*| 66| => | 2 3 ( 4) 5 6 7 8 9 - 2| IPL_0_|INP|*| 67| => | 4 5 6 7 8 9 10 11 - 3| IPL_2_|INP|*| 68| => | 6 7 8 9 10 11 12 13 - 4| A0| IO|*| 69| => | ( 8) 9 10 11 12 13 14 15 - 5| SIZE_0_| IO|*| 70| => | 10 11 (12) 13 14 15 0 1 - 6| RW| IO|*| 71| => | 12 13 14 15 ( 0) 1 2 3 - 7| | | | 72| => | 14 15 0 1 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| CLK_DIV_OUT|OUT|*| 65| => | Input macrocell [ -] - 1| E| IO|*| 66| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_E] - 2| IPL_0_|INP|*| 67| => | Input macrocell [ -] - 3| IPL_2_|INP|*| 68| => | Input macrocell [ -] - 4| A0| IO|*| 69| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_A0] - 5| SIZE_0_| IO|*| 70| => | Input macrocell [ -] - 6| RW| IO|*| 71| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_RW] - 7| | | | 72| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 65|OUT CLK_DIV_OUT|*| ] - [RegIn 0 |246| -| | ] - [MCell 0 |245|NOD RN_RW| |*] paired w/[ RW] - [MCell 1 |247|OUT CLK_DIV_OUT| | ] - - 1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E] - [RegIn 1 |249| -| | ] - [MCell 2 |248|NOD SIZE_DMA_1_| |*] - [MCell 3 |250|NOD CLK_000_N_SYNC_2_| |*] - - 2 [IOpin 2 | 67|INP IPL_0_|*|*] - [RegIn 2 |252| -| | ] - [MCell 4 |251|NOD RN_E| |*] paired w/[ E] - [MCell 5 |253|NOD cpu_est_1_| |*] - - 3 [IOpin 3 | 68|INP IPL_2_|*|*] - [RegIn 3 |255| -| | ] - [MCell 6 |254|NOD SIZE_DMA_0_| |*] - [MCell 7 |256|NOD CLK_000_N_SYNC_1_| |*] - - 4 [IOpin 4 | 69| IO A0|*|*] paired w/[ RN_A0] - [RegIn 4 |258| -| | ] - [MCell 8 |257|NOD RN_A0| |*] paired w/[ A0] - [MCell 9 |259|NOD inst_LDS_000_INT| |*] - - 5 [IOpin 5 | 70| IO SIZE_0_|*|*] - [RegIn 5 |261| -| | ] - [MCell 10 |260|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] - [MCell 11 |262|NOD IPL_D0_2_| |*] - - 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] - [RegIn 6 |264| -| | ] - [MCell 12 |263| IO SIZE_0_| | ] - [MCell 13 |265|NOD inst_UDS_000_INT| |*] - - 7 [IOpin 7 | 72| -| | ] - [RegIn 7 |267| -| | ] - [MCell 14 |266|NOD CLK_000_N_SYNC_8_| |*] - [MCell 15 |268| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 6] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| Mcel 5 6 ( 230)| CLK_000_N_SYNC_0_ -Mux02| Mcel 1 10 ( 140)| CLK_000_N_SYNC_7_ -Mux03| IOPin 5 0 ( 60)| A1 -Mux04| Mcel 6 2 ( 248)| SIZE_DMA_1_ -Mux05| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| Mcel 2 5 ( 157)| cpu_est_0_ -Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 5 5 ( 229)| SM_AMIGA_6_ -Mux10| Mcel 6 8 ( 257)| RN_A0 -Mux11| Mcel 6 4 ( 251)| RN_E -Mux12| Mcel 6 7 ( 256)| CLK_000_N_SYNC_1_ -Mux13| Mcel 3 7 ( 184)| inst_DS_030_D0 -Mux14| IOPin 6 5 ( 70)| SIZE_0_ -Mux15| Mcel 2 12 ( 167)| cpu_est_2_ -Mux16| Mcel 4 8 ( 209)| inst_CLK_000_NE_D0 -Mux17| Mcel 6 0 ( 245)| RN_RW -Mux18| IOPin 6 4 ( 69)| A0 -Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D -Mux20| IOPin 7 6 ( 79)| SIZE_1_ -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 6 5 ( 253)| cpu_est_1_ -Mux23| Mcel 6 6 ( 254)| SIZE_DMA_0_ -Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 6 13 ( 265)| inst_UDS_000_INT -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| Mcel 6 9 ( 259)| inst_LDS_000_INT -Mux28| ... | ... -Mux29| ... | ... -Mux30| IOPin 6 3 ( 68)| IPL_2_ -Mux31| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg -Mux32| ... | ... ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Macrocell (MCell) Cluster Assignments -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ +--- Macrocell PT Cluster Size - | Sync/Async-------+ | | | Cluster to Mcell Assignment - | Node Fixed(*)----+ | | | | | +- XOR PT Size - | Sig Type-+ | | | | | | | XOR to Mcell Assignment - | Signal Name | | | | | | | | | -_|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| RW_000| IO| | S | 3 | 4 to [ 0]| 1 XOR free - 1| FPU_CS|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|CLK_000_N_SYNC_10_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4| BGACK_030| IO| | S | 3 | 4 to [ 4]| 1 XOR free - 5|inst_AS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_N_SYNC_11_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7| | ? | | S | | 4 free | 1 XOR free - 8| AS_030| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| DSACK1| IO| | S | 4 | 4 to [ 9]| 1 XOR free -10| | ? | | S | | 4 free | 1 XOR free -11| | ? | | S | | 4 free | 1 XOR free -12| SIZE_1_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free -15| | ? | | S | | 4 free | 1 XOR free ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Maximum PT Capacity -=========================================================================== - + Macrocell Number - | PT Requirements------ Logic XOR+ - | Sync/Async-------+ | | - | Node Fixed(*)----+ | | | - | Sig Type-+ | | | | - | Signal Name | | | | | Maximum PT Capacity -_|_________________|__|__|___|_____|_______________________________________ - 0| RW_000| IO| | S | 3 |=> can support up to [ 13] logic PT(s) - 1| FPU_CS|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 2|CLK_000_N_SYNC_10_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4| BGACK_030| IO| | S | 3 |=> can support up to [ 18] logic PT(s) - 5|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_N_SYNC_11_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8| AS_030| IO| | S | 1 |=> can support up to [ 15] logic PT(s) - 9| DSACK1| IO| | S | 4 |=> can support up to [ 19] logic PT(s) -10| | ? | | S | |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 18] logic PT(s) -12| SIZE_1_| IO| | S | 1 |=> can support up to [ 19] logic PT(s) -13|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Node-Pin Assignments -=========================================================================== - + Macrocell Number - | Node Fixed(*)------+ - | Sig Type---+ | to | Block [ 7] IO Pin | Device Pin - | Signal Name | | pin | Numbers | Numbers -_|_________________|__|_____|____________________|________________________ - 0| RW_000| IO| | => |( 5) 6 7 0 |( 80) 79 78 85 - 1| FPU_CS|OUT| | => | 5 6 ( 7) 0 | 80 79 ( 78) 85 - 2|CLK_000_N_SYNC_10_|NOD| | => | 6 7 0 1 | 79 78 85 84 - 3| | | | => | 6 7 0 1 | 79 78 85 84 - 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) - 5|inst_AS_030_D0|NOD| | => | 7 0 1 2 | 78 85 84 83 - 6|CLK_000_N_SYNC_11_|NOD| | => | 0 1 2 3 | 85 84 83 82 - 7| | | | => | 0 1 2 3 | 85 84 83 82 - 8| AS_030| IO| | => | 1 2 ( 3) 4 | 84 83 ( 82) 81 - 9| DSACK1| IO| | => | 1 2 3 ( 4)| 84 83 82 ( 81) -10| | | | => | 2 3 4 5 | 83 82 81 80 -11| | | | => | 2 3 4 5 | 83 82 81 80 -12| SIZE_1_| IO| | => | 3 4 5 ( 6)| 82 81 80 ( 79) -13|inst_BGACK_030_INT_D|NOD| | => | 3 4 5 6 | 82 81 80 79 -14| | | | => | 4 5 6 7 | 81 80 79 78 -15| | | | => | 4 5 6 7 | 81 80 79 78 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > IO-to-Node Pin Mapping -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Node Destinations Via Output Matrix -_|_________________|__|___|_____|___________________________________________ - 0| A_23_|INP|*| 85| => | 0 1 2 3 4 5 6 7 - 1| A_22_|INP|*| 84| => | 2 3 4 5 6 7 8 9 - 2| BGACK_030| IO|*| 83| => | ( 4) 5 6 7 8 9 10 11 - 3| AS_030| IO|*| 82| => | 6 7 ( 8) 9 10 11 12 13 - 4| DSACK1| IO|*| 81| => | 8 ( 9) 10 11 12 13 14 15 - 5| RW_000| IO|*| 80| => | 10 11 12 13 14 15 ( 0) 1 - 6| SIZE_1_| IO|*| 79| => | (12) 13 14 15 0 1 2 3 - 7| FPU_CS|OUT|*| 78| => | 14 15 0 ( 1) 2 3 4 5 ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > IO/Node and IO/Input Macrocell Pairing Table -=========================================================================== - +- Block IO Pin - | Device Pin No.--------+ - | Pin Fixed(*)----+ | - | Sig Type--+ | | | - | Signal Name | | | | Input Macrocell and Node Pairs -_|_________________|__|___|_____|__________________________________________ - 0| A_23_|INP|*| 85| => | Input macrocell [ -] - 1| A_22_|INP|*| 84| => | Input macrocell [ -] - 2| BGACK_030| IO|*| 83| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_BGACK_030] - 3| AS_030| IO|*| 82| => | Input macrocell [ -] - 4| DSACK1| IO|*| 81| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_DSACK1] - 5| RW_000| IO|*| 80| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_RW_000] - 6| SIZE_1_| IO|*| 79| => | Input macrocell [ -] - 7| FPU_CS|OUT|*| 78| => | Input macrocell [ -] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Input Multiplexer (IMX) Assignments -=========================================================================== - +----- IO pin/Input Register, or Macrocell -IMX No. | +---- Block IO Pin or Macrocell Number - | | | ABEL Node/ +-- Signal using the Pin or Macrocell - | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell - | | | | Sig Type | | +- Feedback Required (*) ----|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 85|INP A_23_|*|*] - [RegIn 0 |270| -| | ] - [MCell 0 |269|NOD RN_RW_000| |*] paired w/[ RW_000] - [MCell 1 |271|OUT FPU_CS| | ] - - 1 [IOpin 1 | 84|INP A_22_|*|*] - [RegIn 1 |273| -| | ] - [MCell 2 |272|NOD CLK_000_N_SYNC_10_| |*] - [MCell 3 |274| -| | ] - - 2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030] - [RegIn 2 |276| -| | ] - [MCell 4 |275|NOD RN_BGACK_030| |*] paired w/[ BGACK_030] - [MCell 5 |277|NOD inst_AS_030_D0| |*] - - 3 [IOpin 3 | 82| IO AS_030|*|*] - [RegIn 3 |279| -| | ] - [MCell 6 |278|NOD CLK_000_N_SYNC_11_| |*] - [MCell 7 |280| -| | ] - - 4 [IOpin 4 | 81| IO DSACK1|*| ] paired w/[ RN_DSACK1] - [RegIn 4 |282| -| | ] - [MCell 8 |281| IO AS_030| | ] - [MCell 9 |283|NOD RN_DSACK1| |*] paired w/[ DSACK1] - - 5 [IOpin 5 | 80| IO RW_000|*|*] paired w/[ RN_RW_000] - [RegIn 5 |285| -| | ] - [MCell 10 |284| -| | ] - [MCell 11 |286| -| | ] - - 6 [IOpin 6 | 79| IO SIZE_1_|*|*] - [RegIn 6 |288| -| | ] - [MCell 12 |287| IO SIZE_1_| | ] - [MCell 13 |289|NOD inst_BGACK_030_INT_D| |*] - - 7 [IOpin 7 | 78|OUT FPU_CS|*| ] - [RegIn 7 |291| -| | ] - [MCell 14 |290| -| | ] - [MCell 15 |292| -| | ] ---------------------------------------------------------------------------- -=========================================================================== - < Block [ 7] > Logic Array Fan-in -=========================================================================== - +- Central Switch Matrix No. - | Src (ABEL Node/Pin#) Signal ---|--|--------------------|--------------------------------------------------- -Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ -Mux03| Mcel 0 8 ( 113)| inst_AS_000_DMA -Mux04| IOPin 0 4 ( 95)| A_18_ -Mux05| Mcel 7 9 ( 283)| RN_DSACK1 -Mux06| IOPin 0 5 ( 96)| A_16_ -Mux07| ... | ... -Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| ... | ... -Mux11| IOPin 6 6 ( 71)| RW -Mux12| IOPin 5 2 ( 58)| FC_1_ -Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux14| Mcel 2 4 ( 155)| inst_CLK_000_PE -Mux15| Mcel 5 2 ( 224)| CLK_000_N_SYNC_9_ -Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_5_ -Mux18| IOPin 3 7 ( 28)| BGACK_000 -Mux19| IOPin 0 0 ( 91)| FPU_SENSE -Mux20| Input Pin ( 64)| CLK_030 -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 2 1 ( 151)| SM_AMIGA_1_ -Mux23| Mcel 4 13 ( 217)| inst_CLK_OUT_PRE_D -Mux24| IOPin 5 3 ( 57)| FC_0_ -Mux25| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| IOPin 0 6 ( 97)| A_19_ -Mux28| Mcel 7 2 ( 272)| CLK_000_N_SYNC_10_ -Mux29| Mcel 6 6 ( 254)| SIZE_DMA_0_ -Mux30| Mcel 7 0 ( 269)| RN_RW_000 -Mux31| Mcel 6 2 ( 248)| SIZE_DMA_1_ -Mux32| Mcel 5 9 ( 235)| SM_AMIGA_0_ ---------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt deleted file mode 100644 index face428..0000000 --- a/Logic/68030_tk.rpt +++ /dev/null @@ -1,1908 +0,0 @@ -|--------------------------------------------| -|- ispLEVER Fitter Report File -| -|- Version 1.8.00.04.29.14 -| -|- (c)Copyright, Lattice Semiconductor 2002 -| -|--------------------------------------------| - - - - -Project_Summary -~~~~~~~~~~~~~~~ - -Project Name : 68030_tk -Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Thu Sep 24 16:21:08 2015 - -Device : M4A5-128/64 -Package : 100TQFP -Speed : -10 -Partnumber : M4A5-128/64-10VC -Source Format : Pure_VHDL - - -// Project '68030_tk' was Fitted Successfully! // - - -Compilation_Times -~~~~~~~~~~~~~~~~~ -Reading/DRC 0 sec -Partition 0 sec -Place 0 sec -Route 0 sec -Jedec/Report generation 0 sec - -------- -Fitter 00:00:00 - - -Design_Summary -~~~~~~~~~~~~~~ - Total Input Pins : 32 - Total Output Pins : 18 - Total Bidir I/O Pins : 11 - Total Flip-Flops : 83 - Total Product Terms : 252 - Total Reserved Pins : 0 - Total Reserved Blocks : 0 - - -Device_Resource_Summary -~~~~~~~~~~~~~~~~~~~~~~~ - Total - Available Used Available Utilization -Dedicated Pins - Input-Only Pins 2 2 0 --> 100% - Clock/Input Pins 4 4 0 --> 100% -I/O Pins 64 55 9 --> 85% -Logic Macrocells 128 102 26 --> 79% - Input Registers 64 0 64 --> 0% - Unusable Macrocells .. 0 .. - -CSM Outputs/Total Block Inputs 264 237 27 --> 89% -Logical Product Terms 640 253 387 --> 39% -Product Term Clusters 128 59 69 --> 46% - - -Blocks_Resource_Summary -~~~~~~~~~~~~~~~~~~~~~~~ - # of PT - I/O Inp Macrocells Macrocells logic clusters - Fanin Pins Reg Used Unusable available PTs available Pwr ---------------------------------------------------------------------------------- -Maximum 33 8 8 -- -- 16 80 16 - ---------------------------------------------------------------------------------- -Block A 27 8 0 14 0 2 41 6 Lo -Block B 28 8 0 15 0 1 53 5 Lo -Block C 31 7 0 15 0 1 41 4 Lo -Block D 29 8 0 14 0 2 19 12 Lo -Block E 31 4 0 8 0 8 10 14 Lo -Block F 30 5 0 11 0 5 36 7 Lo -Block G 30 7 0 15 0 1 36 7 Lo -Block H 31 8 0 10 0 6 17 13 Lo ---------------------------------------------------------------------------------- - - Four rightmost columns above reflect last status of the placement process. - Pwr (Power) : Hi = High - Lo = Low. - - -Optimizer_and_Fitter_Options -~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Pin Assignment : Yes -Group Assignment : No -Pin Reservation : No (1) -Block Reservation : No - -@Ignore_Project_Constraints : - Pin Assignments : No - Keep Block Assignment -- - Keep Segment Assignment -- - Group Assignments : No - Macrocell Assignment : No - Keep Block Assignment -- - Keep Segment Assignment -- - -@Backannotate_Project_Constraints - Pin Assignments : No - Pin And Block Assignments : No - Pin, Macrocell and Block : No - -@Timing_Constraints : No - -@Global_Project_Optimization : - Balanced Partitioning : Yes - Spread Placement : Yes - - Note : - Pack Design : - Balanced Partitioning = No - Spread Placement = No - Spread Design : - Balanced Partitioning = Yes - Spread Placement = Yes - -@Logic_Synthesis : - Logic Reduction : Yes - Node Collapsing : Yes - D/T Synthesis : Yes - Clock Optimization : No - Input Register Optimization : Yes - XOR Synthesis : Yes - Max. P-Term for Collapsing : 16 - Max. P-Term for Splitting : 16 - Max. Equation Fanin : 32 - Keep Xor : Yes - -@Utilization_options - Max. % of macrocells used : 100 - Max. % of block inputs used : 100 - Max. % of segment lines used : --- - Max. % of macrocells used : --- - - -@Import_Source_Constraint_Option No - -@Zero_Hold_Time Yes - -@Pull_up Yes - -@User_Signature #H0 - -@Output_Slew_Rate Default = Slow(2) - -@Power Default = High(2) - - -Device Options: - 1 : Reserved unused I/Os can be independently driven to Low or High, and does not - follow the drive level set for the Global Configure Unused I/O Option. - 2 : For user-specified constraints on individual signals, refer to the Output, - Bidir and Burried Signal Lists. - - - - -Pinout_Listing -~~~~~~~~~~~~~~ - | Pin |Blk |Assigned| -Pin No| Type |Pad |Pin | Signal name ---------------------------------------------------------------- - 1 | GND | | | - 2 | JTAG | | | - 3 | I_O | B7 | * |RESET -4 | I_O | B6 | * |A_31_ -5 | I_O | B5 | * |A_30_ -6 | I_O | B4 | * |A_29_ -7 | I_O | B3 | * |IPL_030_1_ -8 | I_O | B2 | * |IPL_030_0_ -9 | I_O | B1 | * |IPL_030_2_ -10 | I_O | B0 | * |CLK_EXP -11 | CkIn | | * |CLK_000 -12 | Vcc | | | -13 | GND | | | -14 | CkIn | | * |nEXP_SPACE -15 | I_O | C0 | * |A_28_ -16 | I_O | C1 | * |A_27_ -17 | I_O | C2 | * |A_26_ -18 | I_O | C3 | * |A_25_ -19 | I_O | C4 | * |A_24_ -20 | I_O | C5 | * |AMIGA_BUS_ENABLE_LOW -21 | I_O | C6 | * |BG_030 -22 | I_O | C7 | | -23 | JTAG | | | -24 | JTAG | | | -25 | GND | | | -26 | GND | | | -27 | GND | | | -28 | I_O | D7 | * |BGACK_000 -29 | I_O | D6 | * |BG_000 -30 | I_O | D5 | * |DTACK -31 | I_O | D4 | * |LDS_000 -32 | I_O | D3 | * |UDS_000 -33 | I_O | D2 | * |AMIGA_ADDR_ENABLE -34 | I_O | D1 | * |AMIGA_BUS_ENABLE_HIGH -35 | I_O | D0 | * |VMA -36 | Inp | | * |VPA -37 | Vcc | | | -38 | GND | | | -39 | GND | | | -40 | Vcc | | | -41 | I_O | E0 | * |BERR -42 | I_O | E1 | * |AS_000 -43 | I_O | E2 | | -44 | I_O | E3 | | -45 | I_O | E4 | | -46 | I_O | E5 | | -47 | I_O | E6 | * |CIIN -48 | I_O | E7 | * |AMIGA_BUS_DATA_DIR -49 | GND | | | -50 | GND | | | -51 | GND | | | -52 | JTAG | | | -53 | I_O | F7 | | -54 | I_O | F6 | | -55 | I_O | F5 | | -56 | I_O | F4 | * |IPL_1_ -57 | I_O | F3 | * |FC_0_ -58 | I_O | F2 | * |FC_1_ -59 | I_O | F1 | * |A_17_ -60 | I_O | F0 | * |A1 -61 | CkIn | | * |CLK_OSZI -62 | Vcc | | | -63 | GND | | | -64 | CkIn | | * |CLK_030 -65 | I_O | G0 | * |CLK_DIV_OUT -66 | I_O | G1 | * |E -67 | I_O | G2 | * |IPL_0_ -68 | I_O | G3 | * |IPL_2_ -69 | I_O | G4 | * |A0 -70 | I_O | G5 | * |SIZE_0_ -71 | I_O | G6 | * |RW -72 | I_O | G7 | | -73 | JTAG | | | -74 | JTAG | | | -75 | GND | | | -76 | GND | | | -77 | GND | | | -78 | I_O | H7 | * |FPU_CS -79 | I_O | H6 | * |SIZE_1_ -80 | I_O | H5 | * |RW_000 -81 | I_O | H4 | * |DSACK1 -82 | I_O | H3 | * |AS_030 -83 | I_O | H2 | * |BGACK_030 -84 | I_O | H1 | * |A_22_ -85 | I_O | H0 | * |A_23_ -86 | Inp | | * |RST -87 | Vcc | | | -88 | GND | | | -89 | GND | | | -90 | Vcc | | | -91 | I_O | A0 | * |FPU_SENSE -92 | I_O | A1 | * |AVEC -93 | I_O | A2 | * |A_20_ -94 | I_O | A3 | * |A_21_ -95 | I_O | A4 | * |A_18_ -96 | I_O | A5 | * |A_16_ -97 | I_O | A6 | * |A_19_ -98 | I_O | A7 | * |DS_030 -99 | GND | | | -100 | GND | | | - ---------------------------------------------------------------------------- - - Blk Pad : This notation refers to the Block I/O pad number in the device. - Assigned Pin : user or dedicated input assignment (E.g. Clock pins). - Pin Type : - CkIn : Dedicated input or clock pin - CLK : Dedicated clock pin - INP : Dedicated input pin - JTAG : JTAG Control and test pin - NC : No connected - - - -Input_Signal_List -~~~~~~~~~~~~~~~~~ - P R - Pin r e O Input -Pin Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - 60 F . I/O --C---G- Low Slow A1 - 96 A . I/O ----EF-H Low Slow A_16_ - 59 F . I/O ----EF-H Low Slow A_17_ - 95 A . I/O ----EF-H Low Slow A_18_ - 97 A . I/O ----EF-H Low Slow A_19_ - 93 A . I/O ----E--- Low Slow A_20_ - 94 A . I/O ----E--- Low Slow A_21_ - 84 H . I/O ----E--- Low Slow A_22_ - 85 H . I/O ----E--- Low Slow A_23_ - 19 C . I/O ----E--- Low Slow A_24_ - 18 C . I/O ----E--- Low Slow A_25_ - 17 C . I/O ----E--- Low Slow A_26_ - 16 C . I/O ----E--- Low Slow A_27_ - 15 C . I/O ----E--- Low Slow A_28_ - 6 B . I/O ----E--- Low Slow A_29_ - 5 B . I/O ----E--- Low Slow A_30_ - 4 B . I/O ----E--- Low Slow A_31_ - 28 D . I/O ----E--H Low Slow BGACK_000 - 21 C . I/O ---D---- Low Slow BG_030 - 30 D . I/O -B------ Low Slow DTACK - 57 F . I/O ----EF-H Low Slow FC_0_ - 58 F . I/O ----EF-H Low Slow FC_1_ - 91 A . I/O ----E--H Low Slow FPU_SENSE - 67 G . I/O -B-D---- Low Slow IPL_0_ - 56 F . I/O -B-D---- Low Slow IPL_1_ - 68 G . I/O -B----G- Low Slow IPL_2_ - 11 . . Ck/I -B------ - Slow CLK_000 - 14 . . Ck/I -----F-- - Slow nEXP_SPACE - 36 . . Ded ---D---- - Slow VPA - 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI - 64 . . Ck/I AB-----H - Slow CLK_030 - 86 . . Ded ABCD-FGH - Slow RST ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - -Output_Signal_List -~~~~~~~~~~~~~~~~~~ - P R - Pin r e O Output -Pin Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - 33 D 1 COM -------- Low Fast AMIGA_ADDR_ENABLE - 48 E 2 COM -------- Low Fast AMIGA_BUS_DATA_DIR - 34 D 2 COM -------- Low Fast AMIGA_BUS_ENABLE_HIGH - 20 C 1 COM -------- Low Fast AMIGA_BUS_ENABLE_LOW - 92 A 1 COM -------- Low Slow AVEC - 83 H 3 DFF * * -------- Low Slow BGACK_030 - 29 D 2 DFF * * -------- Low Slow BG_000 - 47 E 1 COM -------- Low Slow CIIN - 65 G 1 COM -------- Low Fast CLK_DIV_OUT - 10 B 1 COM -------- Low Fast CLK_EXP - 81 H 4 DFF * * -------- Low Slow DSACK1 - 66 G 5 DFF * * -------- Low Slow E - 78 H 1 COM -------- Low Fast FPU_CS - 8 B 10 DFF * * -------- Low Slow IPL_030_0_ - 7 B 10 DFF * * -------- Low Slow IPL_030_1_ - 9 B 10 DFF * * -------- Low Slow IPL_030_2_ - 3 B 2 DFF * * -------- Low Slow RESET - 35 D 3 TFF * * -------- Low Slow VMA ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - -Bidir_Signal_List -~~~~~~~~~~~~~~~~~ - P R - Pin r e O Bidir -Pin Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - 69 G 3 DFF * * ------G- Low Slow A0 - 42 E 1 COM A---E--H Low Slow AS_000 - 82 H 1 COM ----E--H Low Slow AS_030 - 41 E 1 COM A-C--F-H Low Slow BERR - 98 A 1 COM ---D---- Low Slow DS_030 - 31 D 1 COM A-----G- Low Slow LDS_000 - 71 G 2 DFF * * A------H Low Slow RW - 80 H 3 DFF * * A---E-G- Low Slow RW_000 - 70 G 1 COM ------G- Low Slow SIZE_0_ - 79 H 1 COM ------G- Low Slow SIZE_1_ - 32 D 1 COM A-----G- Low Slow UDS_000 ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - -Buried_Signal_List -~~~~~~~~~~~~~~~~~~ - P R - Pin r e O Node -#Mc Blk PTs Type e s E Fanout Pwr Slew Signal ----------------------------------------------------------------------- - E5 E 2 COM ----E--- Low Slow CIIN_0 - F6 F 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_0_ - H2 H 1 DFF * * -------H Low Slow CLK_000_N_SYNC_10_ - H6 H 1 DFF * * -----F-- Low Slow CLK_000_N_SYNC_11_ - G7 G 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_1_ - G3 G 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_2_ - B7 B 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_3_ - B3 B 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_4_ - B14 B 1 DFF * * A------- Low Slow CLK_000_N_SYNC_5_ - A6 A 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_6_ - B10 B 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_7_ - G14 G 1 DFF * * -----F-- Low Slow CLK_000_N_SYNC_8_ - F2 F 1 DFF * * -------H Low Slow CLK_000_N_SYNC_9_ - D10 D 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_0_ - C11 C 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_1_ - B11 B 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_2_ - C7 C 1 DFF * * ---D---- Low Slow CLK_000_P_SYNC_3_ - D6 D 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_4_ - F10 F 1 DFF * * A------- Low Slow CLK_000_P_SYNC_5_ - A3 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_6_ - A14 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_7_ - A10 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_8_ - A7 A 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_9_ - A2 A 2 DFF * * A------- Low Slow CYCLE_DMA_0_ - A13 A 3 DFF * * A------- Low Slow CYCLE_DMA_1_ - D3 D 1 DFF * * -B------ Low Slow IPL_D0_0_ - D14 D 1 DFF * * -B------ Low Slow IPL_D0_1_ - G11 G 1 DFF * * -B------ Low Slow IPL_D0_2_ - G8 G 3 DFF * * ------G- Low - RN_A0 --> A0 - H4 H 3 DFF * * A-CDEFGH Low - RN_BGACK_030 --> BGACK_030 - D1 D 2 DFF * * ---D---- Low - RN_BG_000 --> BG_000 - H9 H 4 DFF * * -------H Low - RN_DSACK1 --> DSACK1 - G4 G 5 DFF * * --CD--G- Low - RN_E --> E - B8 B 10 DFF * * -B------ Low - RN_IPL_030_0_ --> IPL_030_0_ - B12 B 10 DFF * * -B------ Low - RN_IPL_030_1_ --> IPL_030_1_ - B4 B 10 DFF * * -B------ Low - RN_IPL_030_2_ --> IPL_030_2_ - B0 B 2 DFF * * AB-DE-GH Low - RN_RESET --> RESET - G0 G 2 DFF * * ------G- Low - RN_RW --> RW - H0 H 3 DFF * * -------H Low - RN_RW_000 --> RW_000 - D0 D 3 TFF * * --CD---- Low - RN_VMA --> VMA - C6 C 3 DFF * * -BC----- Low Slow RST_DLY_0_ - B13 B 4 DFF * * -BC----- Low Slow RST_DLY_1_ - B5 B 5 DFF * * -BC----- Low Slow RST_DLY_2_ - C9 C 6 DFF * * -BC----- Low Slow RST_DLY_3_ - C14 C 2 TFF * * -BC----- Low Slow RST_DLY_4_ - B9 B 4 TFF * * -BC----- Low Slow RST_DLY_5_ - C2 C 3 TFF * * -BC----- Low Slow RST_DLY_6_ - C10 C 2 DFF * * -BC----- Low Slow RST_DLY_7_ - G6 G 3 DFF * * ------GH Low Slow SIZE_DMA_0_ - G2 G 3 DFF * * ------GH Low Slow SIZE_DMA_1_ - F9 F 2 DFF * * -----F-H Low Slow SM_AMIGA_0_ - C1 C 3 DFF * * --C--F-H Low Slow SM_AMIGA_1_ - C13 C 4 DFF * * --C--F-- Low Slow SM_AMIGA_2_ - C8 C 5 TFF * * A-C--F-- Low Slow SM_AMIGA_3_ - F1 F 3 DFF * * --C--F-- Low Slow SM_AMIGA_4_ - F12 F 3 DFF * * A----F-H Low Slow SM_AMIGA_5_ - F5 F 3 DFF * * -----FG- Low Slow SM_AMIGA_6_ - F8 F 13 DFF * * ---D-F-H Low Slow SM_AMIGA_i_7_ - C5 C 2 DFF * * --CD--G- Low Slow cpu_est_0_ - G5 G 5 DFF * * --CD--G- Low Slow cpu_est_1_ - C12 C 4 DFF * * --CD--G- Low Slow cpu_est_2_ - G10 G 2 DFF * * ---D--G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH - C3 C 2 DFF * * --C----- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW - A8 A 7 DFF * * A------H Low Slow inst_AS_000_DMA - A1 A 2 DFF * * A---E--- Low Slow inst_AS_000_INT - F13 F 7 DFF * * -----F-- Low Slow inst_AS_030_000_SYNC - H5 H 1 DFF * * A--DEF-H Low Slow inst_AS_030_D0 - H13 H 1 DFF * * --C--FG- Low Slow inst_BGACK_030_INT_D - B2 B 1 DFF * * ---D-F-- Low Slow inst_CLK_000_D0 - D9 D 1 DFF * * ---D-F-- Low Slow inst_CLK_000_D1 - F4 F 1 DFF * * -BCDEF-- Low Slow inst_CLK_000_NE - E8 E 1 DFF * * --CD--G- Low Slow inst_CLK_000_NE_D0 - C4 C 1 DFF * * A-CD-F-H Low Slow inst_CLK_000_PE - A9 A 8 DFF * * A------- Low Slow inst_CLK_030_H - E9 E 1 DFF * * ----E--- Low Slow inst_CLK_OUT_PRE_50 - E13 E 1 DFF * * -------H Low Slow inst_CLK_OUT_PRE_D - A5 A 9 DFF * * A------- Low Slow inst_DS_000_DMA - A12 A 3 DFF * * A--D---- Low Slow inst_DS_000_ENABLE - D7 D 1 DFF * * ------G- Low Slow inst_DS_030_D0 - B6 B 1 DFF * * --CD---- Low Slow inst_DTACK_D0 - G9 G 4 DFF * * ---D--G- Low Slow inst_LDS_000_INT - G13 G 3 DFF * * ---D--G- Low Slow inst_UDS_000_INT - D13 D 1 DFF * * --CD---- Low Slow inst_VPA_D - F0 F 1 DFF * * A--DEFGH Low Slow inst_nEXP_SPACE_D0reg - D2 D 2 COM -----F-- Low Slow pos_clk_un29_clk_000_ne_d0_i_n ----------------------------------------------------------------------- - - Power : Hi = High - MH = Medium High - ML = Medium Low - Lo = Low - - - - -Signals_Fanout_List -~~~~~~~~~~~~~~~~~~~ -Signal Source : Fanout List ------------------------------------------------------------------------------ - A_28_{ D}: CIIN{ E} CIIN_0{ E} - A_27_{ D}: CIIN{ E} CIIN_0{ E} - SIZE_1_{ I}:inst_LDS_000_INT{ G} - A_26_{ D}: CIIN{ E} CIIN_0{ E} - A_25_{ D}: CIIN{ E} CIIN_0{ E} - A_31_{ C}: CIIN{ E} CIIN_0{ E} - A_24_{ D}: CIIN{ E} CIIN_0{ E} - A_23_{ I}: CIIN{ E} CIIN_0{ E} - A_22_{ I}: CIIN{ E} CIIN_0{ E} - A_21_{ B}: CIIN{ E} CIIN_0{ E} - IPL_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_2_{ G} - A_20_{ B}: CIIN{ E} CIIN_0{ E} - A_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} - FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} - A_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} - AS_030{ I}: AS_000{ E} BERR{ E} FPU_CS{ H} - : inst_AS_030_D0{ H} - A_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} - AS_000{ F}: AS_030{ H} DS_030{ A}AMIGA_BUS_DATA_DIR{ E} - : BGACK_030{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} - : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} - A_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} - DS_030{ B}: UDS_000{ D} LDS_000{ D} inst_DS_030_D0{ D} - UDS_000{ E}: A0{ G}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} - : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} inst_CLK_030_H{ A} - LDS_000{ E}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} SIZE_DMA_0_{ G} - : SIZE_DMA_1_{ G} inst_CLK_030_H{ A} - A1{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} - nEXP_SPACE{. }:inst_nEXP_SPACE_D0reg{ F} - BERR{ F}: DSACK1{ H}inst_AS_000_INT{ A} SM_AMIGA_5_{ F} - :inst_AS_030_000_SYNC{ F} SM_AMIGA_3_{ C} SM_AMIGA_0_{ F} - : SM_AMIGA_6_{ F} SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} - : SM_AMIGA_2_{ C}inst_DS_000_ENABLE{ A} SM_AMIGA_i_7_{ F} - BG_030{ D}: BG_000{ D} - BGACK_000{ E}: BERR{ E} FPU_CS{ H} BGACK_030{ H} - CLK_030{. }: CLK_EXP{ B} DSACK1{ H}inst_AS_000_DMA{ A} - :inst_DS_000_DMA{ A} inst_CLK_030_H{ A} - CLK_000{. }:inst_CLK_000_D0{ B} - IPL_1_{ G}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_1_{ D} - IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_0_{ D} - FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} - FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} - DTACK{ E}: inst_DTACK_D0{ B} - VPA{. }: inst_VPA_D{ D} - RST{. }: IPL_030_2_{ B} RW_000{ H} A0{ G} - : BG_000{ D} BGACK_030{ H} IPL_030_1_{ B} - : IPL_030_0_{ B} DSACK1{ H} VMA{ D} - : RESET{ B} RW{ G}inst_AS_000_INT{ A} - : SM_AMIGA_5_{ F}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} inst_AS_030_D0{ H} - :inst_nEXP_SPACE_D0reg{ F} inst_DS_030_D0{ D}inst_AS_030_000_SYNC{ F} - :inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} - : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} SIZE_DMA_0_{ G} - : SIZE_DMA_1_{ G} inst_VPA_D{ D}inst_UDS_000_INT{ G} - :inst_LDS_000_INT{ G} inst_DTACK_D0{ B} IPL_D0_0_{ D} - : IPL_D0_1_{ D} IPL_D0_2_{ G} SM_AMIGA_3_{ C} - : SM_AMIGA_0_{ F}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} SM_AMIGA_6_{ F} - : RST_DLY_0_{ C} RST_DLY_1_{ B} RST_DLY_2_{ B} - : RST_DLY_3_{ C} RST_DLY_4_{ C} RST_DLY_5_{ B} - : RST_DLY_6_{ C} RST_DLY_7_{ C} inst_CLK_030_H{ A} - : SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} SM_AMIGA_2_{ C} - :inst_DS_000_ENABLE{ A} SM_AMIGA_i_7_{ F} - SIZE_0_{ H}:inst_LDS_000_INT{ G} - A_30_{ C}: CIIN{ E} CIIN_0{ E} - A_29_{ C}: CIIN{ E} CIIN_0{ E} -RN_IPL_030_2_{ C}: IPL_030_2_{ B} - RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} RW{ G}inst_DS_000_DMA{ A} - RN_RW_000{ I}: RW_000{ H} - A0{ H}:inst_UDS_000_INT{ G}inst_LDS_000_INT{ G} - RN_A0{ H}: A0{ G} - RN_BG_000{ E}: BG_000{ D} -RN_BGACK_030{ I}: SIZE_1_{ H} AS_030{ H} AS_000{ E} - : DS_030{ A} UDS_000{ D} LDS_000{ D} - :AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C}AMIGA_BUS_ENABLE_HIGH{ D} - : SIZE_0_{ G} RW_000{ H} A0{ G} - : BGACK_030{ H} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} - :inst_AS_030_000_SYNC{ F}inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A} - :inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} - : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} - : inst_CLK_030_H{ A} -RN_IPL_030_1_{ C}: IPL_030_1_{ B} -RN_IPL_030_0_{ C}: IPL_030_0_{ B} - RN_DSACK1{ I}: DSACK1{ H} - RN_E{ H}: E{ G} VMA{ D} cpu_est_1_{ G} - : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} - : SM_AMIGA_2_{ C} - RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} - : SM_AMIGA_2_{ C} - RN_RESET{ C}: AS_030{ H} AS_000{ E} DS_030{ A} - : UDS_000{ D} LDS_000{ D} RW_000{ H} - : A0{ G} RESET{ B} RW{ G} - RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ A} - RN_RW{ H}: RW{ G} - cpu_est_0_{ D}: E{ G} VMA{ D} cpu_est_0_{ C} - : cpu_est_1_{ G} cpu_est_2_{ C} SM_AMIGA_3_{ C} - :pos_clk_un29_clk_000_ne_d0_i_n{ D} SM_AMIGA_2_{ C} - cpu_est_1_{ H}: E{ G} VMA{ D} cpu_est_1_{ G} - : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} - : SM_AMIGA_2_{ C} -inst_AS_000_INT{ B}: AS_000{ E}inst_AS_000_INT{ A} -SM_AMIGA_5_{ G}: RW_000{ H}inst_AS_000_INT{ A} SM_AMIGA_5_{ F} - : SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ A} SM_AMIGA_i_7_{ F} -inst_AMIGA_BUS_ENABLE_DMA_LOW{ D}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} -inst_AS_030_D0{ I}: CIIN{ E} BG_000{ D} DSACK1{ H} - :inst_AS_000_INT{ A}inst_AS_030_000_SYNC{ F}inst_DS_000_ENABLE{ A} - : CIIN_0{ E} -inst_nEXP_SPACE_D0reg{ G}: SIZE_1_{ H} AS_030{ H} DS_030{ A} - :AMIGA_BUS_DATA_DIR{ E} SIZE_0_{ G} A0{ G} - : BG_000{ D} DSACK1{ H}inst_AS_030_000_SYNC{ F} - : SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} CIIN_0{ E} -inst_DS_030_D0{ E}:inst_UDS_000_INT{ G}inst_LDS_000_INT{ G} -inst_AS_030_000_SYNC{ G}:inst_AS_030_000_SYNC{ F} SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} -inst_BGACK_030_INT_D{ I}: A0{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} - :inst_AS_030_000_SYNC{ F} SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} - :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} -inst_AS_000_DMA{ B}: AS_030{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} - : inst_CLK_030_H{ A} -inst_DS_000_DMA{ B}: DS_030{ A}inst_DS_000_DMA{ A} -CYCLE_DMA_0_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} - : CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} -CYCLE_DMA_1_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_1_{ A} - : inst_CLK_030_H{ A} -SIZE_DMA_0_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_0_{ G} -SIZE_DMA_1_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_1_{ G} - inst_VPA_D{ E}: VMA{ D} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} - : SM_AMIGA_2_{ C} -inst_UDS_000_INT{ H}: UDS_000{ D}inst_UDS_000_INT{ G} -inst_LDS_000_INT{ H}: LDS_000{ D}inst_LDS_000_INT{ G} -inst_CLK_OUT_PRE_D{ F}: DSACK1{ H} -inst_DTACK_D0{ C}: SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} SM_AMIGA_2_{ C} -inst_CLK_OUT_PRE_50{ F}:inst_CLK_OUT_PRE_D{ E}inst_CLK_OUT_PRE_50{ E} -inst_CLK_000_D1{ E}: SM_AMIGA_6_{ F}CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ F} - : SM_AMIGA_i_7_{ F} -inst_CLK_000_D0{ C}: BG_000{ D}inst_CLK_000_D1{ D} SM_AMIGA_6_{ F} - :CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ F} SM_AMIGA_i_7_{ F} -inst_CLK_000_PE{ D}: RW_000{ H} BGACK_030{ H} VMA{ D} - : SM_AMIGA_5_{ F} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} - : SM_AMIGA_3_{ C} SM_AMIGA_0_{ F} SM_AMIGA_6_{ F} - : SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} SM_AMIGA_2_{ C} - : SM_AMIGA_i_7_{ F} -CLK_000_P_SYNC_9_{ B}:inst_CLK_000_PE{ C} -inst_CLK_000_NE{ G}: VMA{ D} RESET{ B} SM_AMIGA_5_{ F} - :inst_CLK_000_NE_D0{ E} SM_AMIGA_0_{ F} RST_DLY_0_{ C} - : RST_DLY_1_{ B} RST_DLY_2_{ B} RST_DLY_3_{ C} - : RST_DLY_4_{ C} RST_DLY_5_{ B} RST_DLY_6_{ C} - : RST_DLY_7_{ C} SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} - : SM_AMIGA_i_7_{ F} -CLK_000_N_SYNC_11_{ I}:inst_CLK_000_NE{ F} - cpu_est_2_{ D}: E{ G} VMA{ D} cpu_est_1_{ G} - : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} - : SM_AMIGA_2_{ C} - IPL_D0_0_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_1_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} -SM_AMIGA_3_{ D}: SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}inst_DS_000_ENABLE{ A} - : SM_AMIGA_i_7_{ F} -inst_CLK_000_NE_D0{ F}: E{ G} cpu_est_0_{ C} cpu_est_1_{ G} - : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} - : SM_AMIGA_2_{ C} -SM_AMIGA_0_{ G}: RW_000{ H} SM_AMIGA_0_{ F} SM_AMIGA_i_7_{ F} -inst_AMIGA_BUS_ENABLE_DMA_HIGH{ H}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} -SM_AMIGA_6_{ G}: SM_AMIGA_5_{ F}inst_UDS_000_INT{ G}inst_LDS_000_INT{ G} - : SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} - RST_DLY_0_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} - RST_DLY_1_{ C}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} - RST_DLY_2_{ C}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} - RST_DLY_3_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} - RST_DLY_4_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} - RST_DLY_5_{ C}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} - RST_DLY_6_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} - RST_DLY_7_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} - : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} - : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} -CLK_000_P_SYNC_0_{ E}:CLK_000_P_SYNC_1_{ C} -CLK_000_P_SYNC_1_{ D}:CLK_000_P_SYNC_2_{ B} -CLK_000_P_SYNC_2_{ C}:CLK_000_P_SYNC_3_{ C} -CLK_000_P_SYNC_3_{ D}:CLK_000_P_SYNC_4_{ D} -CLK_000_P_SYNC_4_{ E}:CLK_000_P_SYNC_5_{ F} -CLK_000_P_SYNC_5_{ G}:CLK_000_P_SYNC_6_{ A} -CLK_000_P_SYNC_6_{ B}:CLK_000_P_SYNC_7_{ A} -CLK_000_P_SYNC_7_{ B}:CLK_000_P_SYNC_8_{ A} -CLK_000_P_SYNC_8_{ B}:CLK_000_P_SYNC_9_{ A} -CLK_000_N_SYNC_0_{ G}:CLK_000_N_SYNC_1_{ G} -CLK_000_N_SYNC_1_{ H}:CLK_000_N_SYNC_2_{ G} -CLK_000_N_SYNC_2_{ H}:CLK_000_N_SYNC_3_{ B} -CLK_000_N_SYNC_3_{ C}:CLK_000_N_SYNC_4_{ B} -CLK_000_N_SYNC_4_{ C}:CLK_000_N_SYNC_5_{ B} -pos_clk_un29_clk_000_ne_d0_i_n{ E}: SM_AMIGA_i_7_{ F} -CLK_000_N_SYNC_5_{ C}:CLK_000_N_SYNC_6_{ A} -CLK_000_N_SYNC_6_{ B}:CLK_000_N_SYNC_7_{ B} -CLK_000_N_SYNC_7_{ C}:CLK_000_N_SYNC_8_{ G} -CLK_000_N_SYNC_8_{ H}:CLK_000_N_SYNC_9_{ F} -CLK_000_N_SYNC_9_{ G}: DSACK1{ H}CLK_000_N_SYNC_10_{ H} -CLK_000_N_SYNC_10_{ I}: DSACK1{ H}CLK_000_N_SYNC_11_{ H} -inst_CLK_030_H{ B}:inst_DS_000_DMA{ A} inst_CLK_030_H{ A} -SM_AMIGA_1_{ D}: DSACK1{ H} SM_AMIGA_0_{ F} SM_AMIGA_1_{ C} - : SM_AMIGA_i_7_{ F} -SM_AMIGA_4_{ G}: SM_AMIGA_3_{ C} SM_AMIGA_4_{ F} SM_AMIGA_i_7_{ F} -SM_AMIGA_2_{ D}: SM_AMIGA_1_{ C} SM_AMIGA_2_{ C} SM_AMIGA_i_7_{ F} -inst_DS_000_ENABLE{ B}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ A} -SM_AMIGA_i_7_{ G}:AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H}inst_AS_030_000_SYNC{ F} - : SM_AMIGA_6_{ F} - CIIN_0{ F}: CIIN{ E} ------------------------------------------------------------------------------ - - {.} : Indicates block location of signal - - -Set_Reset_Summary -~~~~~~~~~~~~~~~~~ - -Block A -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| | | | | DS_030 -| | | | | AVEC -| * | S | BS | BR | inst_AS_000_DMA -| * | S | BS | BR | inst_DS_000_ENABLE -| * | S | BS | BR | inst_AS_000_INT -| * | S | BS | BR | inst_DS_000_DMA -| * | S | BS | BR | inst_CLK_030_H -| * | S | BS | BR | CYCLE_DMA_1_ -| * | S | BS | BR | CYCLE_DMA_0_ -| * | S | BS | BR | CLK_000_N_SYNC_6_ -| * | S | BS | BR | CLK_000_P_SYNC_8_ -| * | S | BS | BR | CLK_000_P_SYNC_7_ -| * | S | BS | BR | CLK_000_P_SYNC_6_ -| * | S | BS | BR | CLK_000_P_SYNC_9_ -| | | | | A_19_ -| | | | | A_16_ -| | | | | A_18_ -| | | | | FPU_SENSE -| | | | | A_21_ -| | | | | A_20_ - - -Block B -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | IPL_030_2_ -| * | S | BS | BR | IPL_030_0_ -| * | S | BS | BR | IPL_030_1_ -| * | S | BS | BR | RESET -| | | | | CLK_EXP -| * | S | BS | BR | RN_RESET -| * | S | BS | BR | RST_DLY_2_ -| * | S | BS | BR | RST_DLY_5_ -| * | S | BS | BR | RST_DLY_1_ -| * | S | BS | BR | inst_CLK_000_D0 -| * | S | BS | BR | inst_DTACK_D0 -| * | S | BS | BR | RN_IPL_030_0_ -| * | S | BS | BR | RN_IPL_030_1_ -| * | S | BS | BR | RN_IPL_030_2_ -| * | S | BS | BR | CLK_000_N_SYNC_7_ -| * | S | BS | BR | CLK_000_N_SYNC_5_ -| * | S | BS | BR | CLK_000_N_SYNC_4_ -| * | S | BS | BR | CLK_000_N_SYNC_3_ -| * | S | BS | BR | CLK_000_P_SYNC_2_ -| | | | | A_29_ -| | | | | A_30_ -| | | | | A_31_ - - -Block C -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| | | | | AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | inst_CLK_000_PE -| * | S | BS | BR | SM_AMIGA_3_ -| * | S | BS | BR | cpu_est_2_ -| * | S | BS | BR | SM_AMIGA_1_ -| * | S | BS | BR | cpu_est_0_ -| * | S | BS | BR | RST_DLY_3_ -| * | S | BS | BR | SM_AMIGA_2_ -| * | S | BS | BR | RST_DLY_6_ -| * | S | BS | BR | RST_DLY_0_ -| * | S | BS | BR | RST_DLY_7_ -| * | S | BS | BR | RST_DLY_4_ -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW -| * | S | BS | BR | CLK_000_P_SYNC_3_ -| * | S | BS | BR | CLK_000_P_SYNC_1_ -| | | | | BG_030 -| | | | | A_24_ -| | | | | A_25_ -| | | | | A_26_ -| | | | | A_27_ -| | | | | A_28_ - - -Block D -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| | | | | UDS_000 -| | | | | LDS_000 -| * | S | BS | BR | VMA -| | | | | AMIGA_BUS_ENABLE_HIGH -| * | S | BS | BR | BG_000 -| | | | | AMIGA_ADDR_ENABLE -| * | S | BS | BR | RN_VMA -| * | S | BS | BR | inst_CLK_000_D1 -| * | S | BS | BR | inst_VPA_D -| * | S | BS | BR | RN_BG_000 -| | | | | pos_clk_un29_clk_000_ne_d0_i_n -| * | S | BS | BR | CLK_000_P_SYNC_4_ -| * | S | BS | BR | CLK_000_P_SYNC_0_ -| * | S | BS | BR | IPL_D0_1_ -| * | S | BS | BR | IPL_D0_0_ -| * | S | BS | BR | inst_DS_030_D0 -| | | | | BGACK_000 -| | | | | DTACK - - -Block E -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| | | | | BERR -| | | | | AS_000 -| | | | | AMIGA_BUS_DATA_DIR -| | | | | CIIN -| * | S | BS | BR | inst_CLK_000_NE_D0 -| | | | | CIIN_0 -| * | S | BS | BR | inst_CLK_OUT_PRE_50 -| * | S | BS | BR | inst_CLK_OUT_PRE_D - - -Block F -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | inst_nEXP_SPACE_D0reg -| * | S | BS | BR | inst_CLK_000_NE -| * | S | BS | BR | SM_AMIGA_i_7_ -| * | S | BS | BR | SM_AMIGA_5_ -| * | S | BS | BR | SM_AMIGA_4_ -| * | S | BS | BR | SM_AMIGA_6_ -| * | S | BS | BR | SM_AMIGA_0_ -| * | S | BS | BR | inst_AS_030_000_SYNC -| * | S | BS | BR | CLK_000_N_SYNC_9_ -| * | S | BS | BR | CLK_000_N_SYNC_0_ -| * | S | BS | BR | CLK_000_P_SYNC_5_ -| | | | | A_17_ -| | | | | FC_1_ -| | | | | FC_0_ -| | | | | A1 -| | | | | IPL_1_ - - -Block G -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | RW -| * | S | BS | BR | A0 -| | | | | SIZE_0_ -| * | S | BS | BR | E -| | | | | CLK_DIV_OUT -| * | S | BS | BR | RN_E -| * | S | BS | BR | cpu_est_1_ -| * | S | BS | BR | inst_LDS_000_INT -| * | S | BS | BR | inst_UDS_000_INT -| * | S | BS | BR | SIZE_DMA_1_ -| * | S | BS | BR | SIZE_DMA_0_ -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH -| * | S | BS | BR | RN_A0 -| * | S | BS | BR | RN_RW -| * | S | BS | BR | CLK_000_N_SYNC_8_ -| * | S | BS | BR | CLK_000_N_SYNC_2_ -| * | S | BS | BR | CLK_000_N_SYNC_1_ -| * | S | BS | BR | IPL_D0_2_ -| | | | | IPL_2_ -| | | | | IPL_0_ - - -Block H -block level set pt : GND -block level reset pt : GND -Equations : -| | |Block|Block| Signal -| Reg |Mode |Set |Reset| Name -+-----+-----+-----+-----+------------------------ -| * | S | BS | BR | RW_000 -| | | | | AS_030 -| | | | | SIZE_1_ -| * | S | BS | BR | DSACK1 -| * | S | BS | BR | BGACK_030 -| | | | | FPU_CS -| * | S | BS | BR | RN_BGACK_030 -| * | S | BS | BR | inst_AS_030_D0 -| * | S | BS | BR | inst_BGACK_030_INT_D -| * | S | BS | BR | RN_DSACK1 -| * | S | BS | BR | RN_RW_000 -| * | S | BS | BR | CLK_000_N_SYNC_10_ -| * | S | BS | BR | CLK_000_N_SYNC_11_ -| | | | | A_23_ -| | | | | A_22_ - - - (S) means the macrocell is configured in synchronous mode - i.e. it uses the block-level set and reset pt. - (A) means the macrocell is configured in asynchronous mode - i.e. it can have its independant set or reset pt. - (BS) means the block-level set pt is selected. - (BR) means the block-level reset pt is selected. - - - - -BLOCK_A_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx A0 CYCLE_DMA_1_ mcell A13 mx A17 BERR pin 41 -mx A1 SM_AMIGA_5_ mcell F12 mx A18 inst_DS_000_DMA mcell A5 -mx A2CLK_000_P_SYNC_5_ mcell F10 mx A19 inst_CLK_030_H mcell A9 -mx A3CLK_000_P_SYNC_7_ mcell A14 mx A20 RN_BGACK_030 mcell H4 -mx A4 CLK_030 pin 64 mx A21 RST pin 86 -mx A5inst_nEXP_SPACE_D0reg mcell F0 mx A22 CYCLE_DMA_0_ mcell A2 -mx A6 inst_CLK_000_PE mcell C4 mx A23 ... ... -mx A7 SM_AMIGA_3_ mcell C8 mx A24 LDS_000 pin 31 -mx A8 UDS_000 pin 32 mx A25CLK_000_P_SYNC_6_ mcell A3 -mx A9CLK_000_N_SYNC_5_ mcell B14 mx A26 RN_RESET mcell B0 -mx A10 ... ... mx A27 ... ... -mx A11 RW pin 71 mx A28 RW_000 pin 80 -mx A12 inst_AS_000_INT mcell A1 mx A29 ... ... -mx A13 inst_AS_030_D0 mcell H5 mx A30 inst_AS_000_DMA mcell A8 -mx A14CLK_000_P_SYNC_8_ mcell A10 mx A31 ... ... -mx A15inst_DS_000_ENABLE mcell A12 mx A32 ... ... -mx A16 AS_000 pin 42 ----------------------------------------------------------------------------- - - -BLOCK_B_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx B0 RN_RESET mcell B0 mx B17 IPL_D0_1_ mcell D14 -mx B1CLK_000_N_SYNC_3_ mcell B7 mx B18 RST_DLY_7_ mcell C10 -mx B2 RST_DLY_6_ mcell C2 mx B19CLK_000_N_SYNC_4_ mcell B3 -mx B3 CLK_000 pin 11 mx B20 CLK_030 pin 64 -mx B4 IPL_2_ pin 68 mx B21 IPL_1_ pin 56 -mx B5CLK_000_N_SYNC_2_ mcell G3 mx B22 ... ... -mx B6 RST_DLY_5_ mcell B9 mx B23 RST_DLY_3_ mcell C9 -mx B7 RST_DLY_4_ mcell C14 mx B24 RST pin 86 -mx B8 RN_IPL_030_0_ mcell B8 mx B25 ... ... -mx B9 RST_DLY_0_ mcell C6 mx B26 IPL_D0_2_ mcell G11 -mx B10 inst_CLK_000_NE mcell F4 mx B27 RN_IPL_030_2_ mcell B4 -mx B11 ... ... mx B28 RST_DLY_1_ mcell B13 -mx B12 RN_IPL_030_1_ mcell B12 mx B29CLK_000_P_SYNC_1_ mcell C11 -mx B13 IPL_D0_0_ mcell D3 mx B30 ... ... -mx B14 DTACK pin 30 mx B31 RST_DLY_2_ mcell B5 -mx B15CLK_000_N_SYNC_6_ mcell A6 mx B32 ... ... -mx B16 IPL_0_ pin 67 ----------------------------------------------------------------------------- - - -BLOCK_C_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx C0 RST_DLY_2_ mcell B5 mx C17CLK_000_P_SYNC_2_ mcell B11 -mx C1 SM_AMIGA_1_ mcell C1 mx C18 RST_DLY_7_ mcell C10 -mx C2CLK_000_P_SYNC_0_ mcell D10 mx C19inst_BGACK_030_INT_D mcell H13 -mx C3 A1 pin 60 mx C20 RN_BGACK_030 mcell H4 -mx C4 cpu_est_2_ mcell C12 mx C21 RST_DLY_1_ mcell B13 -mx C5 ... ... mx C22 cpu_est_1_ mcell G5 -mx C6 RST_DLY_5_ mcell B9 mx C23 inst_DTACK_D0 mcell B6 -mx C7 cpu_est_0_ mcell C5 mx C24 RST pin 86 -mx C8inst_CLK_000_NE_D0 mcell E8 mx C25 BERR pin 41 -mx C9 RST_DLY_0_ mcell C6 mx C26 RN_VMA mcell D0 -mx C10 inst_CLK_000_NE mcell F4 mx C27 ... ... -mx C11 RN_E mcell G4 mx C28CLK_000_P_SYNC_9_ mcell A7 -mx C12inst_AMIGA_BUS_ENABLE_DMA_LOW mcell C3 mx C29 inst_VPA_D mcell D13 -mx C13 RST_DLY_3_ mcell C9 mx C30 SM_AMIGA_2_ mcell C13 -mx C14 inst_CLK_000_PE mcell C4 mx C31 RST_DLY_4_ mcell C14 -mx C15 SM_AMIGA_4_ mcell F1 mx C32 RST_DLY_6_ mcell C2 -mx C16 SM_AMIGA_3_ mcell C8 ----------------------------------------------------------------------------- - - -BLOCK_D_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx D0 RN_BGACK_030 mcell H4 mx D17 RN_BG_000 mcell D1 -mx D1 inst_VPA_D mcell D13 mx D18 RN_VMA mcell D0 -mx D2 RN_E mcell G4 mx D19 ... ... -mx D3 cpu_est_1_ mcell G5 mx D20 SM_AMIGA_i_7_ mcell F8 -mx D4 inst_AS_030_D0 mcell H5 mx D21 IPL_1_ pin 56 -mx D5 DS_030 pin 98 mx D22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 -mx D6 inst_CLK_000_PE mcell C4 mx D23 cpu_est_2_ mcell C12 -mx D7 inst_CLK_000_D1 mcell D9 mx D24 RST pin 86 -mx D8inst_CLK_000_NE_D0 mcell E8 mx D25inst_nEXP_SPACE_D0reg mcell F0 -mx D9inst_DS_000_ENABLE mcell A12 mx D26 RN_RESET mcell B0 -mx D10 inst_CLK_000_D0 mcell B2 mx D27inst_LDS_000_INT mcell G9 -mx D11 inst_DTACK_D0 mcell B6 mx D28 cpu_est_0_ mcell C5 -mx D12inst_UDS_000_INT mcell G13 mx D29 inst_CLK_000_NE mcell F4 -mx D13 VPA pin 36 mx D30 ... ... -mx D14 BG_030 pin 21 mx D31 ... ... -mx D15CLK_000_P_SYNC_3_ mcell C7 mx D32 ... ... -mx D16 IPL_0_ pin 67 ----------------------------------------------------------------------------- - - -BLOCK_E_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx E0 RN_RESET mcell B0 mx E17 A_26_ pin 17 -mx E1 FC_1_ pin 58 mx E18 A_23_ pin 85 -mx E2inst_CLK_OUT_PRE_50 mcell E9 mx E19 A_30_ pin 5 -mx E3 CIIN_0 mcell E5 mx E20 A_22_ pin 84 -mx E4 inst_AS_030_D0 mcell H5 mx E21 A_27_ pin 16 -mx E5inst_nEXP_SPACE_D0reg mcell F0 mx E22 A_25_ pin 18 -mx E6 FC_0_ pin 57 mx E23 RN_BGACK_030 mcell H4 -mx E7 A_28_ pin 15 mx E24 ... ... -mx E8 A_17_ pin 59 mx E25 A_31_ pin 4 -mx E9 AS_030 pin 82 mx E26 A_16_ pin 96 -mx E10 inst_CLK_000_NE mcell F4 mx E27 A_19_ pin 97 -mx E11 FPU_SENSE pin 91 mx E28 RW_000 pin 80 -mx E12 inst_AS_000_INT mcell A1 mx E29 A_20_ pin 93 -mx E13 A_29_ pin 6 mx E30 ... ... -mx E14 A_24_ pin 19 mx E31 A_18_ pin 95 -mx E15 A_21_ pin 94 mx E32 BGACK_000 pin 28 -mx E16 AS_000 pin 42 ----------------------------------------------------------------------------- - - -BLOCK_F_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx F0 SM_AMIGA_2_ mcell C13 mx F17 SM_AMIGA_5_ mcell F12 -mx F1 BERR pin 41 mx F18 inst_CLK_000_D0 mcell B2 -mx F2 SM_AMIGA_i_7_ mcell F8 mx F19inst_BGACK_030_INT_D mcell H13 -mx F3pos_clk_un29_clk_000_ne_d0_i_n mcell D2 mx F20 RN_BGACK_030 mcell H4 -mx F4 A_18_ pin 95 mx F21CLK_000_N_SYNC_11_ mcell H6 -mx F5 nEXP_SPACE pin 14 mx F22 SM_AMIGA_1_ mcell C1 -mx F6 FC_0_ pin 57 mx F23 ... ... -mx F7 SM_AMIGA_3_ mcell C8 mx F24 RST pin 86 -mx F8 A_17_ pin 59 mx F25 inst_CLK_000_D1 mcell D9 -mx F9 SM_AMIGA_6_ mcell F5 mx F26 ... ... -mx F10CLK_000_N_SYNC_8_ mcell G14 mx F27 A_19_ pin 97 -mx F11 A_16_ pin 96 mx F28 ... ... -mx F12 FC_1_ pin 58 mx F29 inst_CLK_000_PE mcell C4 -mx F13 inst_AS_030_D0 mcell H5 mx F30 SM_AMIGA_4_ mcell F1 -mx F14 inst_CLK_000_NE mcell F4 mx F31inst_nEXP_SPACE_D0reg mcell F0 -mx F15inst_AS_030_000_SYNC mcell F13 mx F32 SM_AMIGA_0_ mcell F9 -mx F16CLK_000_P_SYNC_4_ mcell D6 ----------------------------------------------------------------------------- - - -BLOCK_G_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx G0 RN_BGACK_030 mcell H4 mx G17 RN_RW mcell G0 -mx G1CLK_000_N_SYNC_0_ mcell F6 mx G18 A0 pin 69 -mx G2CLK_000_N_SYNC_7_ mcell B10 mx G19inst_BGACK_030_INT_D mcell H13 -mx G3 A1 pin 60 mx G20 SIZE_1_ pin 79 -mx G4 SIZE_DMA_1_ mcell G2 mx G21 RST pin 86 -mx G5inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 mx G22 cpu_est_1_ mcell G5 -mx G6 RW_000 pin 80 mx G23 SIZE_DMA_0_ mcell G6 -mx G7 cpu_est_0_ mcell C5 mx G24 LDS_000 pin 31 -mx G8 UDS_000 pin 32 mx G25inst_UDS_000_INT mcell G13 -mx G9 SM_AMIGA_6_ mcell F5 mx G26 RN_RESET mcell B0 -mx G10 RN_A0 mcell G8 mx G27inst_LDS_000_INT mcell G9 -mx G11 RN_E mcell G4 mx G28 ... ... -mx G12CLK_000_N_SYNC_1_ mcell G7 mx G29 ... ... -mx G13 inst_DS_030_D0 mcell D7 mx G30 IPL_2_ pin 68 -mx G14 SIZE_0_ pin 70 mx G31inst_nEXP_SPACE_D0reg mcell F0 -mx G15 cpu_est_2_ mcell C12 mx G32 ... ... -mx G16inst_CLK_000_NE_D0 mcell E8 ----------------------------------------------------------------------------- - - -BLOCK_H_LOGIC_ARRAY_FANIN -~~~~~~~~~~~~~~~~~~~~~~~~~ -CSM Signal Source CSM Signal Source ------------------------------------- ------------------------------------ -mx H0 RN_BGACK_030 mcell H4 mx H17 SM_AMIGA_5_ mcell F12 -mx H1 BERR pin 41 mx H18 BGACK_000 pin 28 -mx H2 SM_AMIGA_i_7_ mcell F8 mx H19 FPU_SENSE pin 91 -mx H3 inst_AS_000_DMA mcell A8 mx H20 CLK_030 pin 64 -mx H4 A_18_ pin 95 mx H21 RST pin 86 -mx H5 RN_DSACK1 mcell H9 mx H22 SM_AMIGA_1_ mcell C1 -mx H6 A_16_ pin 96 mx H23inst_CLK_OUT_PRE_D mcell E13 -mx H7 ... ... mx H24 FC_0_ pin 57 -mx H8 A_17_ pin 59 mx H25inst_nEXP_SPACE_D0reg mcell F0 -mx H9 AS_030 pin 82 mx H26 RN_RESET mcell B0 -mx H10 ... ... mx H27 A_19_ pin 97 -mx H11 RW pin 71 mx H28CLK_000_N_SYNC_10_ mcell H2 -mx H12 FC_1_ pin 58 mx H29 SIZE_DMA_0_ mcell G6 -mx H13 inst_AS_030_D0 mcell H5 mx H30 RN_RW_000 mcell H0 -mx H14 inst_CLK_000_PE mcell C4 mx H31 SIZE_DMA_1_ mcell G2 -mx H15CLK_000_N_SYNC_9_ mcell F2 mx H32 SM_AMIGA_0_ mcell F9 -mx H16 AS_000 pin 42 ----------------------------------------------------------------------------- - - CSM indicates the mux inputs from the Central Switch Matrix. - Source indicates where the signal comes from (pin or macrocell). - - - - -PostFit_Equations -~~~~~~~~~~~~~~~~~ - - - P-Terms Fan-in Fan-out Type Name (attributes) ---------- ------ ------- ---- ----------------- - 1 2 1 Pin SIZE_1_ - 1 2 1 Pin SIZE_1_.OE - 1 2 1 Pin AS_030- - 1 3 1 Pin AS_030.OE - 1 2 1 Pin AS_000- - 1 2 1 Pin AS_000.OE - 1 2 1 Pin DS_030- - 1 3 1 Pin DS_030.OE - 1 3 1 Pin UDS_000- - 1 2 1 Pin UDS_000.OE - 1 3 1 Pin LDS_000- - 1 2 1 Pin LDS_000.OE - 0 0 1 Pin BERR - 1 9 1 Pin BERR.OE - 0 0 1 Pin CLK_DIV_OUT - 0 0 1 Pin CLK_DIV_OUT.OE - 1 1 1 Pin CLK_EXP - 1 9 1 Pin FPU_CS- - 1 0 1 Pin AVEC - 0 0 1 Pin AMIGA_ADDR_ENABLE - 2 4 1 Pin AMIGA_BUS_DATA_DIR - 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- - 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH - 1 13 1 Pin CIIN - 1 1 1 Pin CIIN.OE - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE - 10 8 1 Pin IPL_030_2_.D- - 1 1 1 Pin IPL_030_2_.C - 1 2 1 Pin RW_000.OE - 3 7 1 Pin RW_000.D- - 1 1 1 Pin RW_000.C - 1 3 1 Pin A0.OE - 3 5 1 Pin A0.D - 1 1 1 Pin A0.C - 2 6 1 Pin BG_000.D- - 1 1 1 Pin BG_000.C - 3 5 1 Pin BGACK_030.D - 1 1 1 Pin BGACK_030.C - 10 8 1 Pin IPL_030_1_.D- - 1 1 1 Pin IPL_030_1_.C - 10 8 1 Pin IPL_030_0_.D- - 1 1 1 Pin IPL_030_0_.C - 1 1 1 Pin DSACK1.OE - 4 9 1 Pin DSACK1.D- - 1 1 1 Pin DSACK1.C - 5 5 1 Pin E.D - 1 1 1 Pin E.C - 3 9 1 Pin VMA.T - 1 1 1 Pin VMA.C - 2 11 1 Pin RESET.D - 1 1 1 Pin RESET.C - 1 2 1 Pin RW.OE - 2 5 1 Pin RW.D- - 1 1 1 Pin RW.C - 2 2 1 Node cpu_est_0_.D - 1 1 1 Node cpu_est_0_.C - 5 5 1 Node cpu_est_1_.D- - 1 1 1 Node cpu_est_1_.C - 2 5 1 Node inst_AS_000_INT.D- - 1 1 1 Node inst_AS_000_INT.C - 3 6 1 Node SM_AMIGA_5_.D - 1 1 1 Node SM_AMIGA_5_.C - 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.D- - 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.C - 1 2 1 Node inst_AS_030_D0.D- - 1 1 1 Node inst_AS_030_D0.C - 1 2 1 Node inst_nEXP_SPACE_D0reg.D- - 1 1 1 Node inst_nEXP_SPACE_D0reg.C - 1 2 1 Node inst_DS_030_D0.D- - 1 1 1 Node inst_DS_030_D0.C - 7 14 1 Node inst_AS_030_000_SYNC.D- - 1 1 1 Node inst_AS_030_000_SYNC.C - 1 2 1 Node inst_BGACK_030_INT_D.D- - 1 1 1 Node inst_BGACK_030_INT_D.C - 7 9 1 Node inst_AS_000_DMA.D - 1 1 1 Node inst_AS_000_DMA.C - 9 12 1 Node inst_DS_000_DMA.D - 1 1 1 Node inst_DS_000_DMA.C - 2 5 1 Node CYCLE_DMA_0_.D - 1 1 1 Node CYCLE_DMA_0_.C - 3 6 1 Node CYCLE_DMA_1_.D - 1 1 1 Node CYCLE_DMA_1_.C - 3 6 1 Node SIZE_DMA_0_.D- - 1 1 1 Node SIZE_DMA_0_.C - 3 6 1 Node SIZE_DMA_1_.D - 1 1 1 Node SIZE_DMA_1_.C - 1 2 1 Node inst_VPA_D.D- - 1 1 1 Node inst_VPA_D.C - 3 5 1 Node inst_UDS_000_INT.D- - 1 1 1 Node inst_UDS_000_INT.C - 4 7 1 Node inst_LDS_000_INT.D - 1 1 1 Node inst_LDS_000_INT.C - 1 1 1 Node inst_CLK_OUT_PRE_D.D - 1 1 1 Node inst_CLK_OUT_PRE_D.C - 1 2 1 Node inst_DTACK_D0.D- - 1 1 1 Node inst_DTACK_D0.C - 1 1 1 Node inst_CLK_OUT_PRE_50.D - 1 1 1 Node inst_CLK_OUT_PRE_50.C - 1 1 1 Node inst_CLK_000_D1.D - 1 1 1 Node inst_CLK_000_D1.C - 1 1 1 Node inst_CLK_000_D0.D - 1 1 1 Node inst_CLK_000_D0.C - 1 1 1 Node inst_CLK_000_PE.D - 1 1 1 Node inst_CLK_000_PE.C - 1 1 1 Node CLK_000_P_SYNC_9_.D - 1 1 1 Node CLK_000_P_SYNC_9_.C - 1 1 1 Node inst_CLK_000_NE.D - 1 1 1 Node inst_CLK_000_NE.C - 1 1 1 Node CLK_000_N_SYNC_11_.D - 1 1 1 Node CLK_000_N_SYNC_11_.C - 4 5 1 Node cpu_est_2_.D - 1 1 1 Node cpu_est_2_.C - 1 2 1 Node IPL_D0_0_.D- - 1 1 1 Node IPL_D0_0_.C - 1 2 1 Node IPL_D0_1_.D- - 1 1 1 Node IPL_D0_1_.C - 1 2 1 Node IPL_D0_2_.D- - 1 1 1 Node IPL_D0_2_.C - 5 13 1 Node SM_AMIGA_3_.T - 1 1 1 Node SM_AMIGA_3_.C - 1 1 1 Node inst_CLK_000_NE_D0.D - 1 1 1 Node inst_CLK_000_NE_D0.C - 2 6 1 Node SM_AMIGA_0_.D - 1 1 1 Node SM_AMIGA_0_.C - 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- - 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.C - 3 9 1 Node SM_AMIGA_6_.D - 1 1 1 Node SM_AMIGA_6_.C - 3 10 1 Node RST_DLY_0_.D - 1 1 1 Node RST_DLY_0_.C - 4 10 1 Node RST_DLY_1_.D - 1 1 1 Node RST_DLY_1_.C - 5 10 1 Node RST_DLY_2_.D - 1 1 1 Node RST_DLY_2_.C - 6 10 1 Node RST_DLY_3_.D - 1 1 1 Node RST_DLY_3_.C - 2 7 1 NodeX1 RST_DLY_4_.T.X1 - 1 10 1 NodeX2 RST_DLY_4_.T.X2 - 1 1 1 Node RST_DLY_4_.C - 4 10 1 Node RST_DLY_5_.T - 1 1 1 Node RST_DLY_5_.C - 3 10 1 Node RST_DLY_6_.T - 1 1 1 Node RST_DLY_6_.C - 2 10 1 Node RST_DLY_7_.D - 1 1 1 Node RST_DLY_7_.C - 1 2 1 Node CLK_000_P_SYNC_0_.D - 1 1 1 Node CLK_000_P_SYNC_0_.C - 1 1 1 Node CLK_000_P_SYNC_1_.D - 1 1 1 Node CLK_000_P_SYNC_1_.C - 1 1 1 Node CLK_000_P_SYNC_2_.D - 1 1 1 Node CLK_000_P_SYNC_2_.C - 1 1 1 Node CLK_000_P_SYNC_3_.D - 1 1 1 Node CLK_000_P_SYNC_3_.C - 1 1 1 Node CLK_000_P_SYNC_4_.D - 1 1 1 Node CLK_000_P_SYNC_4_.C - 1 1 1 Node CLK_000_P_SYNC_5_.D - 1 1 1 Node CLK_000_P_SYNC_5_.C - 1 1 1 Node CLK_000_P_SYNC_6_.D - 1 1 1 Node CLK_000_P_SYNC_6_.C - 1 1 1 Node CLK_000_P_SYNC_7_.D - 1 1 1 Node CLK_000_P_SYNC_7_.C - 1 1 1 Node CLK_000_P_SYNC_8_.D - 1 1 1 Node CLK_000_P_SYNC_8_.C - 1 2 1 Node CLK_000_N_SYNC_0_.D - 1 1 1 Node CLK_000_N_SYNC_0_.C - 1 1 1 Node CLK_000_N_SYNC_1_.D - 1 1 1 Node CLK_000_N_SYNC_1_.C - 1 1 1 Node CLK_000_N_SYNC_2_.D - 1 1 1 Node CLK_000_N_SYNC_2_.C - 1 1 1 Node CLK_000_N_SYNC_3_.D - 1 1 1 Node CLK_000_N_SYNC_3_.C - 1 1 1 Node CLK_000_N_SYNC_4_.D - 1 1 1 Node CLK_000_N_SYNC_4_.C - 2 8 1 Node pos_clk_un29_clk_000_ne_d0_i_n- - 1 1 1 Node CLK_000_N_SYNC_5_.D - 1 1 1 Node CLK_000_N_SYNC_5_.C - 1 1 1 Node CLK_000_N_SYNC_6_.D - 1 1 1 Node CLK_000_N_SYNC_6_.C - 1 1 1 Node CLK_000_N_SYNC_7_.D - 1 1 1 Node CLK_000_N_SYNC_7_.C - 1 1 1 Node CLK_000_N_SYNC_8_.D - 1 1 1 Node CLK_000_N_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_9_.D - 1 1 1 Node CLK_000_N_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_10_.D - 1 1 1 Node CLK_000_N_SYNC_10_.C - 8 10 1 Node inst_CLK_030_H.D - 1 1 1 Node inst_CLK_030_H.C - 3 6 1 Node SM_AMIGA_1_.D - 1 1 1 Node SM_AMIGA_1_.C - 3 6 1 Node SM_AMIGA_4_.D - 1 1 1 Node SM_AMIGA_4_.C - 4 13 1 Node SM_AMIGA_2_.D - 1 1 1 Node SM_AMIGA_2_.C - 3 7 1 Node inst_DS_000_ENABLE.D - 1 1 1 Node inst_DS_000_ENABLE.C - 13 16 1 Node SM_AMIGA_i_7_.D- - 1 1 1 Node SM_AMIGA_i_7_.C - 2 14 1 Node CIIN_0 -========= - 346 P-Term Total: 346 - Total Pins: 61 - Total Nodes: 73 - Average P-Term/Output: 2 - - -Equations: - -SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); - -SIZE_1_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); - -!AS_030 = (!inst_AS_000_DMA.Q & !AS_000.PIN); - -AS_030.OE = (!BGACK_030.Q & RESET.Q & !inst_nEXP_SPACE_D0reg.Q); - -!AS_000 = (!inst_AS_000_INT.Q & !AS_030.PIN); - -AS_000.OE = (BGACK_030.Q & RESET.Q); - -!DS_030 = (!inst_DS_000_DMA.Q & !AS_000.PIN); - -DS_030.OE = (!BGACK_030.Q & RESET.Q & !inst_nEXP_SPACE_D0reg.Q); - -!UDS_000 = (!inst_UDS_000_INT.Q & inst_DS_000_ENABLE.Q & !DS_030.PIN); - -UDS_000.OE = (BGACK_030.Q & RESET.Q); - -!LDS_000 = (!inst_LDS_000_INT.Q & inst_DS_000_ENABLE.Q & !DS_030.PIN); - -LDS_000.OE = (BGACK_030.Q & RESET.Q); - -BERR = (0); - -BERR.OE = (FC_1_ & BGACK_000 & FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); - -CLK_DIV_OUT = (0); - -CLK_DIV_OUT.OE = (0); - -CLK_EXP = (CLK_030); - -!FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN); - -AVEC = (1); - -AMIGA_ADDR_ENABLE = (0); - -AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN - # !BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q & !AS_000.PIN & RW_000.PIN); - -!AMIGA_BUS_ENABLE_LOW = (!BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q); - -AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q - # BGACK_030.Q & !SM_AMIGA_i_7_.Q); - -CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); - -CIIN.OE = (CIIN_0); - -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); - -!IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q - # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q - # RST & !IPL_0_ & IPL_D0_0_.Q & !IPL_030_2_.Q - # RST & IPL_0_ & !IPL_D0_0_.Q & !IPL_030_2_.Q - # RST & !IPL_1_ & IPL_D0_1_.Q & !IPL_030_2_.Q - # RST & IPL_1_ & !IPL_D0_1_.Q & !IPL_030_2_.Q - # !IPL_2_ & RST & IPL_1_ & IPL_0_ & IPL_D0_0_.Q & IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & IPL_0_ & IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q); - -IPL_030_2_.C = (CLK_OSZI); - -RW_000.OE = (BGACK_030.Q & RESET.Q); - -!RW_000.D = (RST & SM_AMIGA_5_.Q & !RW.PIN - # RST & !SM_AMIGA_5_.Q & !inst_CLK_000_PE.Q & !RW_000.Q & SM_AMIGA_i_7_.Q - # RST & !SM_AMIGA_5_.Q & !SM_AMIGA_0_.Q & !RW_000.Q & SM_AMIGA_i_7_.Q); - -RW_000.C = (CLK_OSZI); - -A0.OE = (!BGACK_030.Q & RESET.Q & !inst_nEXP_SPACE_D0reg.Q); - -A0.D = (!RST - # !BGACK_030.Q & UDS_000.PIN - # BGACK_030.Q & inst_BGACK_030_INT_D.Q & A0.Q); - -A0.C = (CLK_OSZI); - -!BG_000.D = (!BG_030 & RST & !BG_000.Q - # !BG_030 & RST & inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_CLK_000_D0.Q); - -BG_000.C = (CLK_OSZI); - -BGACK_030.D = (!RST - # BGACK_000 & BGACK_030.Q - # BGACK_000 & inst_CLK_000_PE.Q & AS_000.PIN); - -BGACK_030.C = (CLK_OSZI); - -!IPL_030_1_.D = (RST & !IPL_1_ & !IPL_030_1_.Q - # RST & !IPL_D0_1_.Q & !IPL_030_1_.Q - # RST & !IPL_0_ & IPL_D0_0_.Q & !IPL_030_1_.Q - # RST & IPL_0_ & !IPL_D0_0_.Q & !IPL_030_1_.Q - # !IPL_2_ & RST & IPL_D0_2_.Q & !IPL_030_1_.Q - # IPL_2_ & RST & !IPL_D0_2_.Q & !IPL_030_1_.Q - # IPL_2_ & RST & !IPL_1_ & IPL_0_ & IPL_D0_0_.Q & !IPL_D0_1_.Q & IPL_D0_2_.Q - # IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & IPL_0_ & IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q); - -IPL_030_1_.C = (CLK_OSZI); - -!IPL_030_0_.D = (RST & !IPL_0_ & !IPL_030_0_.Q - # RST & !IPL_D0_0_.Q & !IPL_030_0_.Q - # RST & !IPL_1_ & IPL_D0_1_.Q & !IPL_030_0_.Q - # RST & IPL_1_ & !IPL_D0_1_.Q & !IPL_030_0_.Q - # !IPL_2_ & RST & IPL_D0_2_.Q & !IPL_030_0_.Q - # IPL_2_ & RST & !IPL_D0_2_.Q & !IPL_030_0_.Q - # IPL_2_ & RST & IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & IPL_D0_1_.Q & IPL_D0_2_.Q - # IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & IPL_D0_2_.Q - # !IPL_2_ & RST & IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & IPL_D0_1_.Q & !IPL_D0_2_.Q - # !IPL_2_ & RST & !IPL_1_ & !IPL_0_ & !IPL_D0_0_.Q & !IPL_D0_1_.Q & !IPL_D0_2_.Q); - -IPL_030_0_.C = (CLK_OSZI); - -DSACK1.OE = (inst_nEXP_SPACE_D0reg.Q); - -!DSACK1.D = (RST & CLK_000_N_SYNC_10_.Q & SM_AMIGA_1_.Q - # !CLK_030 & RST & CLK_000_N_SYNC_9_.Q & SM_AMIGA_1_.Q - # RST & inst_CLK_OUT_PRE_D.Q & CLK_000_N_SYNC_9_.Q & SM_AMIGA_1_.Q - # RST & !inst_AS_030_D0.Q & !DSACK1.Q & BERR.PIN); - -DSACK1.C = (CLK_OSZI); - -E.D = (E.Q & !cpu_est_0_.Q - # E.Q & !cpu_est_1_.Q - # E.Q & !inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q - # !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -E.C = (CLK_OSZI); - -VMA.T = (!RST & !VMA.Q - # !E.Q & !VMA.Q & !cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_PE.Q & cpu_est_2_.Q - # RST & !E.Q & VMA.Q & cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q); - -VMA.C = (CLK_OSZI); - -RESET.D = (RST & RESET.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RESET.C = (CLK_OSZI); - -RW.OE = (!BGACK_030.Q & RESET.Q); - -!RW.D = (RST & !BGACK_030.Q & !RW_000.PIN - # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !RW.Q); - -RW.C = (CLK_OSZI); - -cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q - # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); - -cpu_est_0_.C = (CLK_OSZI); - -!cpu_est_1_.D = (!cpu_est_1_.Q & !inst_CLK_000_NE_D0.Q - # E.Q & cpu_est_0_.Q & !cpu_est_1_.Q - # !E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & cpu_est_2_.Q - # E.Q & cpu_est_0_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q - # !E.Q & cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_1_.C = (CLK_OSZI); - -!inst_AS_000_INT.D = (RST & SM_AMIGA_5_.Q - # RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q & BERR.PIN); - -inst_AS_000_INT.C = (CLK_OSZI); - -SM_AMIGA_5_.D = (RST & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & SM_AMIGA_6_.Q - # RST & SM_AMIGA_5_.Q & !inst_CLK_000_NE.Q & BERR.PIN - # RST & SM_AMIGA_5_.Q & SM_AMIGA_6_.Q & BERR.PIN); - -SM_AMIGA_5_.C = (CLK_OSZI); - -!inst_AMIGA_BUS_ENABLE_DMA_LOW.D = (A1 & RST & !BGACK_030.Q - # RST & BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q & inst_BGACK_030_INT_D.Q); - -inst_AMIGA_BUS_ENABLE_DMA_LOW.C = (CLK_OSZI); - -!inst_AS_030_D0.D = (RST & !AS_030.PIN); - -inst_AS_030_D0.C = (CLK_OSZI); - -!inst_nEXP_SPACE_D0reg.D = (!nEXP_SPACE & RST); - -inst_nEXP_SPACE_D0reg.C = (CLK_OSZI); - -!inst_DS_030_D0.D = (RST & !DS_030.PIN); - -inst_DS_030_D0.C = (CLK_OSZI); - -!inst_AS_030_000_SYNC.D = (RST & !inst_AS_030_D0.Q & !inst_AS_030_000_SYNC.Q & BERR.PIN - # !FC_1_ & RST & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & A_19_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & A_18_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & !A_17_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & A_16_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # RST & !FC_0_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN); - -inst_AS_030_000_SYNC.C = (CLK_OSZI); - -!inst_BGACK_030_INT_D.D = (RST & !BGACK_030.Q); - -inst_BGACK_030_INT_D.C = (CLK_OSZI); - -inst_AS_000_DMA.D = (!RST - # BGACK_030.Q - # AS_000.PIN - # !CLK_030 & inst_AS_000_DMA.Q - # CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q - # !CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q - # UDS_000.PIN & LDS_000.PIN); - -inst_AS_000_DMA.C = (CLK_OSZI); - -inst_DS_000_DMA.D = (!RST - # BGACK_030.Q - # AS_000.PIN - # CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q - # !CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q - # UDS_000.PIN & LDS_000.PIN - # !CLK_030 & inst_DS_000_DMA.Q & !RW_000.PIN - # inst_DS_000_DMA.Q & !inst_CLK_030_H.Q & !RW_000.PIN - # CLK_030 & inst_AS_000_DMA.Q & inst_CLK_030_H.Q & !RW_000.PIN); - -inst_DS_000_DMA.C = (CLK_OSZI); - -CYCLE_DMA_0_.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & inst_CLK_000_PE.Q & !AS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !inst_CLK_000_PE.Q & !AS_000.PIN); - -CYCLE_DMA_0_.C = (CLK_OSZI); - -CYCLE_DMA_1_.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & !AS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_1_.Q & !inst_CLK_000_PE.Q & !AS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & inst_CLK_000_PE.Q & !AS_000.PIN); - -CYCLE_DMA_1_.C = (CLK_OSZI); - -!SIZE_DMA_0_.D = (RST & BGACK_030.Q & !inst_BGACK_030_INT_D.Q - # RST & BGACK_030.Q & !SIZE_DMA_0_.Q - # RST & !BGACK_030.Q & !UDS_000.PIN & !LDS_000.PIN); - -SIZE_DMA_0_.C = (CLK_OSZI); - -SIZE_DMA_1_.D = (!RST - # BGACK_030.Q & inst_BGACK_030_INT_D.Q & SIZE_DMA_1_.Q - # !BGACK_030.Q & !UDS_000.PIN & !LDS_000.PIN); - -SIZE_DMA_1_.C = (CLK_OSZI); - -!inst_VPA_D.D = (!VPA & RST); - -inst_VPA_D.C = (CLK_OSZI); - -!inst_UDS_000_INT.D = (RST & inst_DS_030_D0.Q & !inst_UDS_000_INT.Q - # RST & !inst_UDS_000_INT.Q & !SM_AMIGA_6_.Q - # RST & !inst_DS_030_D0.Q & SM_AMIGA_6_.Q & !A0.PIN); - -inst_UDS_000_INT.C = (CLK_OSZI); - -inst_LDS_000_INT.D = (!RST - # inst_DS_030_D0.Q & inst_LDS_000_INT.Q - # inst_LDS_000_INT.Q & !SM_AMIGA_6_.Q - # !inst_DS_030_D0.Q & SM_AMIGA_6_.Q & SIZE_0_.PIN & !SIZE_1_.PIN & !A0.PIN); - -inst_LDS_000_INT.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_D.C = (CLK_OSZI); - -!inst_DTACK_D0.D = (!DTACK & RST); - -inst_DTACK_D0.C = (CLK_OSZI); - -inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q); - -inst_CLK_OUT_PRE_50.C = (CLK_OSZI); - -inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); - -inst_CLK_000_D1.C = (CLK_OSZI); - -inst_CLK_000_D0.D = (CLK_000); - -inst_CLK_000_D0.C = (CLK_OSZI); - -inst_CLK_000_PE.D = (CLK_000_P_SYNC_9_.Q); - -inst_CLK_000_PE.C = (CLK_OSZI); - -CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); - -CLK_000_P_SYNC_9_.C = (CLK_OSZI); - -inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); - -inst_CLK_000_NE.C = (CLK_OSZI); - -CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); - -CLK_000_N_SYNC_11_.C = (CLK_OSZI); - -cpu_est_2_.D = (cpu_est_1_.Q & cpu_est_2_.Q - # cpu_est_2_.Q & !inst_CLK_000_NE_D0.Q - # E.Q & cpu_est_0_.Q & inst_CLK_000_NE_D0.Q - # !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_NE_D0.Q); - -cpu_est_2_.C = (CLK_OSZI); - -!IPL_D0_0_.D = (RST & !IPL_0_); - -IPL_D0_0_.C = (CLK_OSZI); - -!IPL_D0_1_.D = (RST & !IPL_1_); - -IPL_D0_1_.C = (CLK_OSZI); - -!IPL_D0_2_.D = (!IPL_2_ & RST); - -IPL_D0_2_.C = (CLK_OSZI); - -SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q - # SM_AMIGA_3_.Q & !BERR.PIN - # RST & inst_CLK_000_PE.Q & !SM_AMIGA_3_.Q & SM_AMIGA_4_.Q - # inst_VPA_D.Q & !inst_DTACK_D0.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q - # E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_4_.Q); - -SM_AMIGA_3_.C = (CLK_OSZI); - -inst_CLK_000_NE_D0.D = (inst_CLK_000_NE.Q); - -inst_CLK_000_NE_D0.C = (CLK_OSZI); - -SM_AMIGA_0_.D = (RST & inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & SM_AMIGA_1_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_0_.Q & BERR.PIN); - -SM_AMIGA_0_.C = (CLK_OSZI); - -!inst_AMIGA_BUS_ENABLE_DMA_HIGH.D = (!A1 & RST & !BGACK_030.Q - # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q); - -inst_AMIGA_BUS_ENABLE_DMA_HIGH.C = (CLK_OSZI); - -SM_AMIGA_6_.D = (RST & SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & BERR.PIN - # RST & inst_nEXP_SPACE_D0reg.Q & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & !SM_AMIGA_i_7_.Q); - -SM_AMIGA_6_.C = (CLK_OSZI); - -RST_DLY_0_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_0_.Q - # RST & inst_CLK_000_NE.Q & !RST_DLY_0_.Q - # RST & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_0_.C = (CLK_OSZI); - -RST_DLY_1_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_1_.Q - # RST & !RST_DLY_0_.Q & RST_DLY_1_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & !RST_DLY_1_.Q - # RST & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_1_.C = (CLK_OSZI); - -RST_DLY_2_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_2_.Q - # RST & !RST_DLY_0_.Q & RST_DLY_2_.Q - # RST & !RST_DLY_1_.Q & RST_DLY_2_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & !RST_DLY_2_.Q - # RST & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_2_.C = (CLK_OSZI); - -RST_DLY_3_.D = (RST & !inst_CLK_000_NE.Q & RST_DLY_3_.Q - # RST & !RST_DLY_0_.Q & RST_DLY_3_.Q - # RST & !RST_DLY_1_.Q & RST_DLY_3_.Q - # RST & !RST_DLY_2_.Q & RST_DLY_3_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & !RST_DLY_3_.Q - # RST & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_3_.C = (CLK_OSZI); - -RST_DLY_4_.T.X1 = (!RST & RST_DLY_4_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q); - -RST_DLY_4_.T.X2 = (RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & RST_DLY_7_.Q); - -RST_DLY_4_.C = (CLK_OSZI); - -RST_DLY_5_.T = (!RST & RST_DLY_5_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & !RST_DLY_5_.Q - # inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & !RST_DLY_6_.Q - # inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & !RST_DLY_7_.Q); - -RST_DLY_5_.C = (CLK_OSZI); - -RST_DLY_6_.T = (!RST & RST_DLY_6_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & !RST_DLY_6_.Q - # inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q & !RST_DLY_7_.Q); - -RST_DLY_6_.C = (CLK_OSZI); - -RST_DLY_7_.D = (RST & RST_DLY_7_.Q - # RST & inst_CLK_000_NE.Q & RST_DLY_0_.Q & RST_DLY_1_.Q & RST_DLY_2_.Q & RST_DLY_3_.Q & RST_DLY_4_.Q & RST_DLY_5_.Q & RST_DLY_6_.Q); - -RST_DLY_7_.C = (CLK_OSZI); - -CLK_000_P_SYNC_0_.D = (!inst_CLK_000_D1.Q & inst_CLK_000_D0.Q); - -CLK_000_P_SYNC_0_.C = (CLK_OSZI); - -CLK_000_P_SYNC_1_.D = (CLK_000_P_SYNC_0_.Q); - -CLK_000_P_SYNC_1_.C = (CLK_OSZI); - -CLK_000_P_SYNC_2_.D = (CLK_000_P_SYNC_1_.Q); - -CLK_000_P_SYNC_2_.C = (CLK_OSZI); - -CLK_000_P_SYNC_3_.D = (CLK_000_P_SYNC_2_.Q); - -CLK_000_P_SYNC_3_.C = (CLK_OSZI); - -CLK_000_P_SYNC_4_.D = (CLK_000_P_SYNC_3_.Q); - -CLK_000_P_SYNC_4_.C = (CLK_OSZI); - -CLK_000_P_SYNC_5_.D = (CLK_000_P_SYNC_4_.Q); - -CLK_000_P_SYNC_5_.C = (CLK_OSZI); - -CLK_000_P_SYNC_6_.D = (CLK_000_P_SYNC_5_.Q); - -CLK_000_P_SYNC_6_.C = (CLK_OSZI); - -CLK_000_P_SYNC_7_.D = (CLK_000_P_SYNC_6_.Q); - -CLK_000_P_SYNC_7_.C = (CLK_OSZI); - -CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q); - -CLK_000_P_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_0_.D = (inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q); - -CLK_000_N_SYNC_0_.C = (CLK_OSZI); - -CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q); - -CLK_000_N_SYNC_1_.C = (CLK_OSZI); - -CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q); - -CLK_000_N_SYNC_2_.C = (CLK_OSZI); - -CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q); - -CLK_000_N_SYNC_3_.C = (CLK_OSZI); - -CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); - -CLK_000_N_SYNC_4_.C = (CLK_OSZI); - -!pos_clk_un29_clk_000_ne_d0_i_n = (inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q - # E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); - -CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); - -CLK_000_N_SYNC_5_.C = (CLK_OSZI); - -CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q); - -CLK_000_N_SYNC_6_.C = (CLK_OSZI); - -CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q); - -CLK_000_N_SYNC_7_.C = (CLK_OSZI); - -CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q); - -CLK_000_N_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q); - -CLK_000_N_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q); - -CLK_000_N_SYNC_10_.C = (CLK_OSZI); - -inst_CLK_030_H.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # RST & !BGACK_030.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & RST & !BGACK_030.Q & !inst_AS_000_DMA.Q & CYCLE_DMA_0_.Q & !CYCLE_DMA_1_.Q & !AS_000.PIN & !LDS_000.PIN); - -inst_CLK_030_H.C = (CLK_OSZI); - -SM_AMIGA_1_.D = (RST & inst_CLK_000_PE.Q & !SM_AMIGA_1_.Q & SM_AMIGA_2_.Q - # RST & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & BERR.PIN - # RST & SM_AMIGA_1_.Q & SM_AMIGA_2_.Q & BERR.PIN); - -SM_AMIGA_1_.C = (CLK_OSZI); - -SM_AMIGA_4_.D = (RST & SM_AMIGA_5_.Q & inst_CLK_000_NE.Q - # RST & SM_AMIGA_5_.Q & SM_AMIGA_4_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & BERR.PIN); - -SM_AMIGA_4_.C = (CLK_OSZI); - -SM_AMIGA_2_.D = (RST & SM_AMIGA_3_.Q & SM_AMIGA_2_.Q - # RST & !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q & inst_CLK_000_NE_D0.Q); - -SM_AMIGA_2_.C = (CLK_OSZI); - -inst_DS_000_ENABLE.D = (RST & !SM_AMIGA_5_.Q & SM_AMIGA_3_.Q - # RST & SM_AMIGA_5_.Q & RW.PIN - # RST & !inst_AS_030_D0.Q & inst_DS_000_ENABLE.Q & BERR.PIN); - -inst_DS_000_ENABLE.C = (CLK_OSZI); - -!SM_AMIGA_i_7_.D = (!RST - # inst_CLK_000_PE.Q & SM_AMIGA_0_.Q - # SM_AMIGA_0_.Q & !BERR.PIN - # SM_AMIGA_5_.Q & !inst_CLK_000_NE.Q & !BERR.PIN - # !inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & !BERR.PIN - # SM_AMIGA_3_.Q & pos_clk_un29_clk_000_ne_d0_i_n & !BERR.PIN - # !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !BERR.PIN - # !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !BERR.PIN - # !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & !BERR.PIN - # !SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); - -SM_AMIGA_i_7_.C = (CLK_OSZI); - -CIIN_0 = (inst_nEXP_SPACE_D0reg.Q - # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); - - -Reverse-Polarity Equations: - diff --git a/Logic/68030_tk.svl b/Logic/68030_tk.svl deleted file mode 100644 index 579ba2b..0000000 --- a/Logic/68030_tk.svl +++ /dev/null @@ -1,2 +0,0 @@ -Part Number: M4A5-128/64-10VC -Need not generate svf file according to the constraints, exit diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal deleted file mode 100644 index ad74413..0000000 --- a/Logic/68030_tk.tal +++ /dev/null @@ -1,139 +0,0 @@ - - -Design Name = 68030_tk.tt4 -~~~~~~~~~~~~~~~~~~~~~~~~~~ - - -******************* -* TIMING ANALYSIS * -******************* - -Timing Analysis KEY: -One unit of delay time is equivalent to one pass - through the Central Switch Matrix. -.. Delay ( in this column ) not applicable to the indicated signal. -TSU, Set-Up Time ( 0 for input-paired signals ), - represents the number of switch matrix passes between - an input pin and a register setup before clock. - TSU is reported on the register. -TCO, Clocked Output-to-Pin Time ( 0 for output-paired signals ), - represents the number of switch matrix passes between - a clocked register and an output pin. - TCO is reported on the register. -TPD, Propagation Delay Time ( calculated only for combinatorial eqns.), - represents the number of switch matrix passes between - an input pin and an output pin. - TPD is reported on the output pin. -TCR, Clocked Output-to-Register Time, - represents the number of switch matrix passes between - a clocked register and the register it drives ( before clock ). - TCR is reported on the driving register. - - TSU TCO TPD TCR - #passes #passes #passes #passes -SIGNAL NAME min max min max min max min max - inst_AS_000_DMA 1 4 1 4 .. .. 1 5 - A0 1 4 0 0 .. .. 1 1 - RN_A0 1 4 0 0 .. .. 1 1 - inst_AS_000_INT 1 1 1 3 .. .. 2 4 - inst_DS_000_DMA 1 4 1 2 .. .. 2 3 - SIZE_DMA_0_ 1 4 1 1 .. .. 2 2 - SIZE_DMA_1_ 1 4 1 1 .. .. 2 2 - inst_CLK_030_H 1 4 .. .. .. .. 1 1 - UDS_000 .. .. .. .. 1 3 .. .. - LDS_000 .. .. .. .. 1 3 .. .. - inst_DS_030_D0 1 3 .. .. .. .. 1 1 - DS_030 .. .. .. .. 1 2 .. .. - FPU_CS .. .. .. .. 1 2 .. .. -AMIGA_BUS_DATA_DIR .. .. .. .. 1 2 .. .. - BGACK_030 1 2 0 1 .. .. 1 1 - RN_BGACK_030 1 2 0 1 .. .. 1 1 - E .. .. 0 0 .. .. 1 2 - RN_E .. .. 0 0 .. .. 1 2 - VMA 1 1 0 0 .. .. 1 2 - RN_VMA 1 1 0 0 .. .. 1 2 - cpu_est_0_ .. .. .. .. .. .. 1 2 - cpu_est_1_ .. .. .. .. .. .. 1 2 - inst_AS_030_D0 1 2 1 1 .. .. 1 1 - CYCLE_DMA_0_ 1 2 .. .. .. .. 1 1 - CYCLE_DMA_1_ 1 2 .. .. .. .. 1 1 - inst_VPA_D 1 1 .. .. .. .. 1 2 -inst_UDS_000_INT 1 1 1 1 .. .. 2 2 -inst_LDS_000_INT 1 1 1 1 .. .. 2 2 - inst_DTACK_D0 1 1 .. .. .. .. 1 2 - cpu_est_2_ .. .. .. .. .. .. 1 2 -inst_CLK_000_NE_D0 .. .. .. .. .. .. 1 2 -inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2 - AS_030 .. .. .. .. 1 1 .. .. - AS_000 .. .. .. .. 1 1 .. .. - CLK_EXP .. .. .. .. 1 1 .. .. - CIIN .. .. .. .. 1 1 .. .. - IPL_030_2_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_2_ 1 1 0 0 .. .. 1 1 - RW_000 1 1 0 0 .. .. 1 1 - RN_RW_000 1 1 0 0 .. .. 1 1 - BG_000 1 1 0 0 .. .. 1 1 - RN_BG_000 1 1 0 0 .. .. 1 1 - IPL_030_1_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 - IPL_030_0_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 - DSACK1 1 1 0 0 .. .. 1 1 - RN_DSACK1 1 1 0 0 .. .. 1 1 - RESET 1 1 0 0 .. .. 1 1 - RN_RESET 1 1 0 0 .. .. 1 1 - RW 1 1 0 0 .. .. 1 1 - RN_RW 1 1 0 0 .. .. 1 1 - SM_AMIGA_5_ 1 1 .. .. .. .. 1 1 -inst_AMIGA_BUS_ENABLE_DMA_LOW 1 1 1 1 .. .. .. .. -inst_nEXP_SPACE_D0reg 1 1 1 1 .. .. 1 1 -inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1 -inst_BGACK_030_INT_D 1 1 .. .. .. .. 1 1 -inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1 -inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1 - inst_CLK_000_D1 .. .. .. .. .. .. 1 1 - inst_CLK_000_D0 1 1 .. .. .. .. 1 1 - inst_CLK_000_PE .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_9_ .. .. .. .. .. .. 1 1 - inst_CLK_000_NE .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_11_ .. .. .. .. .. .. 1 1 - IPL_D0_0_ 1 1 .. .. .. .. 1 1 - IPL_D0_1_ 1 1 .. .. .. .. 1 1 - IPL_D0_2_ 1 1 .. .. .. .. 1 1 - SM_AMIGA_3_ 1 1 .. .. .. .. 1 1 - SM_AMIGA_0_ 1 1 .. .. .. .. 1 1 -inst_AMIGA_BUS_ENABLE_DMA_HIGH 1 1 1 1 .. .. .. .. - SM_AMIGA_6_ 1 1 .. .. .. .. 1 1 - RST_DLY_0_ 1 1 .. .. .. .. 1 1 - RST_DLY_1_ 1 1 .. .. .. .. 1 1 - RST_DLY_2_ 1 1 .. .. .. .. 1 1 - RST_DLY_3_ 1 1 .. .. .. .. 1 1 - RST_DLY_4_ 1 1 .. .. .. .. 1 1 - RST_DLY_5_ 1 1 .. .. .. .. 1 1 - RST_DLY_6_ 1 1 .. .. .. .. 1 1 - RST_DLY_7_ 1 1 .. .. .. .. 1 1 -CLK_000_P_SYNC_0_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_1_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_2_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_3_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_4_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_5_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_6_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_7_ .. .. .. .. .. .. 1 1 -CLK_000_P_SYNC_8_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_0_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_1_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_2_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_3_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_4_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_5_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_6_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_7_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_8_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_9_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_10_ .. .. .. .. .. .. 1 1 - SM_AMIGA_1_ 1 1 .. .. .. .. 1 1 - SM_AMIGA_4_ 1 1 .. .. .. .. 1 1 - SM_AMIGA_2_ 1 1 .. .. .. .. 1 1 - SM_AMIGA_i_7_ 1 1 1 1 .. .. 1 1 - CIIN_0 .. .. .. .. 1 1 .. .. \ No newline at end of file diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 deleted file mode 100644 index 68834fc..0000000 --- a/Logic/68030_tk.tt2 +++ /dev/null @@ -1,632 +0,0 @@ -#$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Sep 24 16:20:59 2015 -#$ MODULE 68030_tk -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ -.type fr -.i 127 -.o 198 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ pos_clk_un29_clk_000_ne_d0_i_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 620 -------------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1----------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 --------------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------00000001111----------------0------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1----------------------0010--1------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------------------10--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1----1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1-----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------10--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ ----------------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00-01----------------------1---1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------01-10--------------0---------1-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------0-----------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------11----------------------------------111----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1---------------------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1---------------------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1---------------------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0---------------------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0---------------------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0---------------------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------0------------------------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0----------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------1--1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---0-----------11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------10-00--------------0-----------1---11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1--------------------1-1-----0-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1--------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--------------------------------------1------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0-----------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0-------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0-1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------1111111---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------11111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------1-1-----1-0-------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------1-------------0----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0----------------1-----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1----------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------1------------1-----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------------1------------------------------------------------1-------0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------1-1-----0-0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ------------------------------------------1-----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1-------0-0--1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0--------------------1-------1-0-------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1--------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1----------------------------------------------1-------0---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1-------0-0------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------------1---0-----------11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10-00--------------0-----------1---11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------1----------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1----------------------------------------------1----------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1-------------------------0--------------------1-------0-0------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1----------------------1-----0-0--0----------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------1-----1-0--0----------------------0-----------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------1-----0-0--0---------------------------------100----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0--1-0-------------10--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0----------------------------1-0--0----------------------0----------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------1-0-------------10---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------1-------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ------------------------------------------------------------0----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------1-----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------0------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0-----------------------------------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1-----------------------------------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------0----------------------------------1------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------1----------------------------------0------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------------------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-----------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------------------------0----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------0----------------------------------1-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------1----------------------------------0-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------0------------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------1------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1--------------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------------------------------------1--------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------0-----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------1-----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------1----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------0---------0--------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------1----------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------1-----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1----------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-----------------------------1------------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------0--------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0---------1------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1------------------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------------1-0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1---------------------------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------0--1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------0--------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1--------------------------------------------------------0------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------------------------------0-----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1--------------------------------------------------------0-------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------------------------------0------------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1--------------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1---------------------------0--------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0----------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ ---------------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------11----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0-------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-----------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1---------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------10------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-------0----1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1---------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1---------------------------11----------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------------------1-----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0-------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1---------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--00--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0---1--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1---------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1---------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1---------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1---------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1---------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1---------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1---------------00----------------------------------000----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 --------------0------------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1--------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------------0-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---0------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10-00--------------0-----------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--1---------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------0-------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--------------------------------------0------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1-------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1----------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------0-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------0------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1----------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------00--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1----0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1-----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------11--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------1111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1--------------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------0-----1---------------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------1---------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------------------------------------------------------------------0------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------------------------0---------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------------------------------0---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------1-----------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------1---------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------1--------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------1---1----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------1----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------------------------------------------------0-----------0--------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------------0----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------0--0-------------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0----1-----------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0------------------0---------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0-------------------1--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0----------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1--------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0-------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------0------------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1-------------------------0------------------------------0--------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------0-------1--------------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1----------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------------------------------------------0-----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0------------------------------------1----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------1------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1-----------------------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1-----------------------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------0----------------------------------1------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------1----------------------------------0------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------------------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-----------------------------------------------------1----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1-----------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------0----------------------------------1-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------1----------------------------------0-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------0------------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------1------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-----------------------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 ---------------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0--------------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------1------------------0------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0--------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1-------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1--------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0-----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0--0--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0------------------------------0----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------1---------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0------------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------1-----------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1---------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------1--------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---1---------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------0-----------0-------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------0--------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------1----------------------0--------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------0----------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------------------------------------1------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0------------1---------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------------1---------------------------1----------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------------------------0--------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0-----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------1-1------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1----------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -.end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 deleted file mode 100644 index 957bff2..0000000 --- a/Logic/68030_tk.tt3 +++ /dev/null @@ -1,632 +0,0 @@ -#$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Sep 24 16:20:59 2015 -#$ MODULE 68030_tk -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ -.type fr -.i 127 -.o 198 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ pos_clk_un29_clk_000_ne_d0_i_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 620 -------------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1----------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 --------------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------00000001111----------------0------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1----------------------0010--1------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------------------10--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1----1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1-----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------10--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ ----------------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00-01----------------------1---1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------01-10--------------0---------1-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------0-----------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------11----------------------------------111----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1---------------------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1---------------------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1---------------------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0---------------------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0---------------------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0---------------------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------0------------------------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0----------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------1--1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---0-----------11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------10-00--------------0-----------1---11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1--------------------1-1-----0-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1--------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--------------------------------------1------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0-----------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0-------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0-1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------1111111---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------11111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1-----------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------1-1-----1-0-------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------1-------------0----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0----------------1-----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1----------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------1------------1-----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------------1------------------------------------------------1-------0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------1-1-----0-0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ------------------------------------------1-----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1-------0-0--1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0--------------------1-------1-0-------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1--------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1----------------------------------------------1-------0---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1-------0-0------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------------1---0-----------11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10-00--------------0-----------1---11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------1----------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1----------------------------------------------1----------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1-------------------------0--------------------1-------0-0------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1----------------------1-----0-0--0----------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------1-----1-0--0----------------------0-----------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------1-----0-0--0---------------------------------100----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0--1-0-------------10--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0----------------------------1-0--0----------------------0----------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------1-0-------------10---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------1-------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ------------------------------------------------------------0----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------1-----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------0------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0-----------------------------------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1-----------------------------------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------0----------------------------------1------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------1----------------------------------0------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------------------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-----------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------------------------0----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------0----------------------------------1-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------1----------------------------------0-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------0------------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------1------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1--------------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------------------------------------1--------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------0-----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------1-----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------1----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------0---------0--------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------1----------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------1-----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1----------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-----------------------------1------------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------0--------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0---------1------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1------------------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------------1-0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1---------------------------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------0--1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------------------------------------0--------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1--------------------------------------------------------0------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------------------------------0-----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1--------------------------------------------------------0-------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------------------------------0------------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1--------------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1---------------------------0--------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0----------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ ---------------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------11----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0-------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-----------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1---------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------10------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-------0----1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1---------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1---------------------------11----------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------------------1-----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0-------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1---------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--00--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0---1--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1---------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1---------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1---------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1---------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1---------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1---------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1---------------00----------------------------------000----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 --------------0------------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1--------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------------0-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---0------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10-00--------------0-----------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--1---------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------0-------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--------------------------------------0------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1-------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1----------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------0-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------0------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1----------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------00--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1----0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1-----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------11--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------1111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------11-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------111----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------1111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1--------------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------0-----1---------------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------1---------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------------------------------------------------------------------0------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------------------------0---------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------------------------------0---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------1-----------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------1---------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------1--------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------1---1----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------1----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------------------------------------------------0-----------0--------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------------0----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------0--0-------------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0----1-----------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0------------------0---------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0-------------------1--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------0----------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1--------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0-------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------0------------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1-------------------------0------------------------------0--------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------0-------1--------------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1----------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------------------------------------------0-----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0------------------------------------1----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------1------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1-----------------------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1-----------------------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------0----------------------------------1------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------1----------------------------------0------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------------------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-----------------------------------------------------1----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1-----------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------0----------------------------------1-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------1----------------------------------0-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------0------------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------1------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-----------------------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 ---------------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0--------------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------1------------------0------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0--------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1-------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0-----------------------------1--------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0-----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0--0--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0------------------------------0----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------1---------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0------------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------1-----------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1---------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------1--------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---1---------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------0-----------0-------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------0--------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------1----------------------0--------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------0----------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------------------------------------1------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0------------1---------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------------1---------------------------1----------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------------------------0--------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0-----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------1-1------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1----------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -.end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 deleted file mode 100644 index a38edf5..0000000 --- a/Logic/68030_tk.tt4 +++ /dev/null @@ -1,337 +0,0 @@ -#$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Sep 24 16:20:59 2015 -#$ MODULE BUS68030 -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ - A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 - nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP - IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ - A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA - RESET RW -#$ NODES 73 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ - inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg - inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA - inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D - inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 - inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE - CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ - IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ - inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ - RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ - CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ - CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ - CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ - CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ - CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ - CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ - inst_DS_000_ENABLE SM_AMIGA_i_7_ CIIN_0 -.type f -.i 128 -.o 200 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ - A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q - RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q - inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q - inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q - inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q - inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q - inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q - inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q - cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q - inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q - SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q - RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q - CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q - CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q - CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q - pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q - CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q - RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q - inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q - IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN - SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN CIIN_0 -.ob SIZE_1_ SIZE_1_.OE AS_030% AS_030.OE AS_000% AS_000.OE DS_030% DS_030.OE - UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE CLK_DIV_OUT CLK_DIV_OUT.OE - CLK_EXP FPU_CS% AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% - AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D% IPL_030_2_.C - RW_000.D% RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D% BG_000.C BGACK_030.D - BGACK_030.C IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C DSACK1.D% - DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C RW.D% RW.C RW.OE - cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D% cpu_est_1_.C inst_AS_000_INT.D% - inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% - inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D% inst_AS_030_D0.C - inst_nEXP_SPACE_D0reg.D% inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D% - inst_DS_030_D0.C inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C - inst_BGACK_030_INT_D.D% inst_BGACK_030_INT_D.C inst_AS_000_DMA.D - inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D - CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D% SIZE_DMA_0_.C - SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D% inst_VPA_D.C inst_UDS_000_INT.D% - inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D - inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D - inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D - inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D - CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D - CLK_000_N_SYNC_11_.C cpu_est_2_.D cpu_est_2_.C IPL_D0_0_.D% IPL_D0_0_.C - IPL_D0_1_.D% IPL_D0_1_.C IPL_D0_2_.D% IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C - inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C - inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_6_.D - SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D - RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 RST_DLY_4_.T.X2 - RST_DLY_4_.C RST_DLY_5_.T RST_DLY_5_.C RST_DLY_6_.T RST_DLY_6_.C RST_DLY_7_.D - RST_DLY_7_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D - CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D - CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D - CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D - CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D - CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D - CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D - CLK_000_N_SYNC_4_.C pos_clk_un29_clk_000_ne_d0_i_n% CLK_000_N_SYNC_5_.D - CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D - CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D - CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C inst_CLK_030_H.D - inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C - SM_AMIGA_2_.D SM_AMIGA_2_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C - SM_AMIGA_i_7_.D% SM_AMIGA_i_7_.C CIIN_0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 239 ---------------------------------------------------01---------------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0------------------------------------------------------------------------------------- 01000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------0----------------------------------------------------------------------0---------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1------0------------------------------------------------------------------------------------- 00010001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0-----------------------------------------------------------------------------0----------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--1-------------------------------------------------------------------------------------------- 00000100010100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------0---------------------------------------------------------------------0---------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0--------------------------------------------------------1--------0-------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------0-------------------------------------------------------1--------0-------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1------------------------------------------------------------------------------------------------------------------------ 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0--------------------------------------------------------------------------01--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-------------------------------------------------------------------------------------0--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------0--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--------------------------------------1-------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------------------------------------------------------------------------0---------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------00000001111----------------0-------------------------------------------------------------------------------------- 00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------10---------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------11----------------------------------110------------------------------------------------------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------10----------------------------------010------------------------------------------------------------ 00000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------01----------------------------------100------------------------------------------------------------ 00000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------00----------------------------------000------------------------------------------------------------ 00000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------1-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1----------------------------------0-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------1------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1------------------------------------0------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------0-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1---------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000001010010010101010100101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101001010101010101010101010101010101010100101010101010101010101010 --------------1-------------------------0--------------------0------------------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0------------------------------0--------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1--------------------------------------------------------------------------------------0- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000100001000000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ---------------------------------1------------1-----------------------------------------------------------1---------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------1------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1---------------------------11----------------1-------------------------------------------------------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1--------------------------------------------------------------------------------------------------0--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------------------------------------1--------------------------------------------------------1---------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------01----------------------------------101------------------------------------------------------------ 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------00----------------------------------001------------------------------------------------------------ 00000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------1------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1----------------------------------0------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------0-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------1----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------------------------------------------------0----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------10----------------------------------011------------------------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------0-----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------1----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1------------------------------------0----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------1---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------------------------------------------------0---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1---------------------------------------------------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------1---------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------------------------------1----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0------------------------------0----------------------------------------------------1-- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ----------------------------------1--0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1---0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------11--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1-----------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0--------------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00-01----------------------1---1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------01-10--------------0---------1-1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1----------------------------------------------------------0----------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0-------------------------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1--------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--10------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--00--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--11--------------------------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1---------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0-------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0-----------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0--------------------1------------1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------0--------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-------0----1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0--0--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0--------------------------------------1--------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------11------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------00------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---------------------------------------1----------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1--------------------------------------1-----------------------------------------------------------1-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1----------------------------------------------------------0-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------0-----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------1-----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------01-------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------10----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------1----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------1-----1---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------1---------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------0-------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------0-----------------------------1--------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0-----------------------------1------------------------------------------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1----------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00-------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1----0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------1-------0---------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------1---0-----------11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------10-00--------------0-----------1---11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------1--------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-------0------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0---------1------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----0---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1-------------------------0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------1-0-------------10---------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------1-------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-----------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------01---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------10---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------1111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------0-1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------01--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------110--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0--------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------0--1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------0-1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------01-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1110-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------11111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------0----------------------------------------------------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1111-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------0-----------------------------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------111110------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1-----------111111-0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1-----------11111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1111111----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -----------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 -----------------------------------------------------------10-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 ---------------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 ----------------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 -----------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -----------------------------------------------------1---0------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 ----------------------------------10-00--------------0-----------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 ------------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 --------------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 ---------------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 ----------------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -----------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 ------------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1----------------------------------------------1----------------------------------------------0-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------------------------------------0--------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1---------------------------------------------------------------------------------------------1-1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1-------------------------1----------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1-------------------------1--------------------------------------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1----------------------------------------------0-----------------------------------------------1----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1--------------------------------------1---0-----------11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------10-00--------------0-----------1---11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1------------------------------------------------------1----------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1----------------------------------------------0------------------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------------0----------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1---------------------------0--------------------------------------------------------------------1--------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------1--------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 -------------------------------------------------------------1---------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0--0-------------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0----1-----------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0------------------0---------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0-------------------1--------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------1----------------------0--------------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -----------------------------------------------------------------------1------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -------------------------------------------------------------0------------1---------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ---------------------------------------------------------------------1---------------------------1----------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ---------------------------------------------------------------0--------------------------------------------1-----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -------------------------------------------------------------0-----------------------------------------------1----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -------------------------------------------------------------0------------------------------------------------1---------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -.end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte deleted file mode 100644 index 2031291..0000000 --- a/Logic/68030_tk.tte +++ /dev/null @@ -1,337 +0,0 @@ -#$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Sep 24 16:20:59 2015 -#$ MODULE BUS68030 -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ - A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 - nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP - IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ - A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA - RESET RW -#$ NODES 73 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ - inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg - inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA - inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D - inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 - inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE - CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ - IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ - inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ - RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ - CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ - CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ - CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ - CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ - CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ - CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ - inst_DS_000_ENABLE SM_AMIGA_i_7_ CIIN_0 -.type f -.i 128 -.o 200 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ - A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q - RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q - inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q - inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q - inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q - inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q - inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q - inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q - cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q - inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q - SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q - RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q - CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q - CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q - CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q - pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q - CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q - RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q - inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q - IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN - SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN CIIN_0 -.ob SIZE_1_ SIZE_1_.OE AS_030- AS_030.OE AS_000- AS_000.OE DS_030- DS_030.OE - UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE CLK_DIV_OUT CLK_DIV_OUT.OE - CLK_EXP FPU_CS- AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- - AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D- IPL_030_2_.C - RW_000.D- RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D- BG_000.C BGACK_030.D - BGACK_030.C IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C DSACK1.D- - DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C RW.D- RW.C RW.OE - cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D- cpu_est_1_.C inst_AS_000_INT.D- - inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- - inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D- inst_AS_030_D0.C - inst_nEXP_SPACE_D0reg.D- inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D- - inst_DS_030_D0.C inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C - inst_BGACK_030_INT_D.D- inst_BGACK_030_INT_D.C inst_AS_000_DMA.D - inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D - CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D- SIZE_DMA_0_.C - SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D- inst_VPA_D.C inst_UDS_000_INT.D- - inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D - inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D - inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D - inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D - CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D - CLK_000_N_SYNC_11_.C cpu_est_2_.D cpu_est_2_.C IPL_D0_0_.D- IPL_D0_0_.C - IPL_D0_1_.D- IPL_D0_1_.C IPL_D0_2_.D- IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C - inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C - inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_6_.D - SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D - RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 RST_DLY_4_.T.X2 - RST_DLY_4_.C RST_DLY_5_.T RST_DLY_5_.C RST_DLY_6_.T RST_DLY_6_.C RST_DLY_7_.D - RST_DLY_7_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D - CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D - CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D - CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D - CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D - CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D - CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D - CLK_000_N_SYNC_4_.C pos_clk_un29_clk_000_ne_d0_i_n- CLK_000_N_SYNC_5_.D - CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D - CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D - CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C inst_CLK_030_H.D - inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C - SM_AMIGA_2_.D SM_AMIGA_2_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C - SM_AMIGA_i_7_.D- SM_AMIGA_i_7_.C CIIN_0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 239 ---------------------------------------------------01---------------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0------------------------------------------------------------------------------------- 01000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------0----------------------------------------------------------------------0---------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1------0------------------------------------------------------------------------------------- 00010001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0-----------------------------------------------------------------------------0----------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--1-------------------------------------------------------------------------------------------- 00000100010100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------0---------------------------------------------------------------------0---------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0--------------------------------------------------------1--------0-------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------0-------------------------------------------------------1--------0-------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1------------------------------------------------------------------------------------------------------------------------ 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0--------------------------------------------------------------------------01--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-------------------------------------------------------------------------------------0--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------0--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--------------------------------------1-------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------------------------------------------------------------------------0---------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------00000001111----------------0-------------------------------------------------------------------------------------- 00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------10---------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------11----------------------------------110------------------------------------------------------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------10----------------------------------010------------------------------------------------------------ 00000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------01----------------------------------100------------------------------------------------------------ 00000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------00----------------------------------000------------------------------------------------------------ 00000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------1-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1----------------------------------0-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------1------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1------------------------------------0------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------0-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1---------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000001010010010101010100101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101001010101010101010101010101010101010100101010101010101010101010 --------------1-------------------------0--------------------0------------------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0------------------------------0--------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1--------------------------------------------------------------------------------------0- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000100001000000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ---------------------------------1------------1-----------------------------------------------------------1---------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------1------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1---------------------------11----------------1-------------------------------------------------------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1--------------------------------------------------------------------------------------------------0--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------------------------------------1--------------------------------------------------------1---------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------01----------------------------------101------------------------------------------------------------ 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------00----------------------------------001------------------------------------------------------------ 00000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------1------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1----------------------------------0------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------0-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------1----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------------------------------------------------0----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------10----------------------------------011------------------------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------0-----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------1----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1------------------------------------0----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------1---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------------------------------------------------0---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1---------------------------------------------------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------1---------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------------------------------1----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0------------------------------0----------------------------------------------------1-- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ----------------------------------1--0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1---0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------11--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1-----------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0--------------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00-01----------------------1---1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------01-10--------------0---------1-1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1----------------------------------------------------------0----------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0-------------------------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1--------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--10------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--00--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--11--------------------------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1---------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0-------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0-----------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0--------------------1------------1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------0--------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-------0----1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0--0--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0--------------------------------------1--------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------11------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------00------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---------------------------------------1----------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1--------------------------------------1-----------------------------------------------------------1-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1----------------------------------------------------------0-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------0-----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------1-----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------01-------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------10----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------1----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------1-----1---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------1---------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------0-------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------0-----------------------------1--------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0-----------------------------1------------------------------------------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1----------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00-------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1----0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------1-------0---------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------1---0-----------11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------10-00--------------0-----------1---11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------1--------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-------0------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0---------1------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----0---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1-------------------------0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------1-0-------------10---------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------1-------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-----------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------01---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------10---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------1111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------0-1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------01--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------110--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0--------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------0--1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------0-1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------01-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1110-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------11111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------0----------------------------------------------------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1111-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------0-----------------------------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------111110------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1-----------111111-0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1-----------11111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1-----------1111111----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -----------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 -----------------------------------------------------------10-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 ---------------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 ----------------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 -----------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -----------------------------------------------------1---0------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 ----------------------------------10-00--------------0-----------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 ------------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 --------------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 ---------------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 ----------------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -----------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 ------------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1----------------------------------------------1----------------------------------------------0-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------------------------------------0--------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1---------------------------------------------------------------------------------------------1-1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1-------------------------1----------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1-------------------------1--------------------------------------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1----------------------------------------------0-----------------------------------------------1----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1--------------------------------------1---0-----------11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------10-00--------------0-----------1---11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1------------------------------------------------------1----------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1----------------------------------------------0------------------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------------0----------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1---------------------------0--------------------------------------------------------------------1--------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------1--------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 -------------------------------------------------------------1---------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0--0-------------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0----1-----------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0------------------0---------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------0-------------------1--------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----------------------------------------1----------------------0--------------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -----------------------------------------------------------------------1------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -------------------------------------------------------------0------------1---------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ---------------------------------------------------------------------1---------------------------1----------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ---------------------------------------------------------------0--------------------------------------------1-----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -------------------------------------------------------------0-----------------------------------------------1----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -------------------------------------------------------------0------------------------------------------------1---------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -.end diff --git a/Logic/68030_tk.v2l b/Logic/68030_tk.v2l deleted file mode 100644 index e69de29..0000000 diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl deleted file mode 100644 index 9202d64..0000000 --- a/Logic/68030_tk.vcl +++ /dev/null @@ -1,253 +0,0 @@ -[DEVICE] - -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = NO; -Pin_MC_1to1 = NO; -Voltage = 5.0; - -[REVISION] - -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_file = m4a5.sds; -Design = 68030_tk.tt4; -Rev = 0.01; -DATE = 9/24/15; -TIME = 16:21:08; -Type = TT2; -Pre_Fit_Time = 1; -Source_Format = Pure_VHDL; - -[IGNORE ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[CLEAR ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[BACKANNOTATE NETLIST] - -Netlist = VHDL; -Delay_File = SDF; -Generic_VCC = ; -Generic_GND = ; - -[BACKANNOTATE ASSIGNMENTS] - -Pin_Assignment = NO; -Pin_Block = NO; -Pin_Macrocell_Block = NO; -Routing = NO; - -[GLOBAL PROJECT OPTIMIZATION] - -Balanced_Partitioning = YES; -Spread_Placement = YES; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_Inter_Seg_Percent = 100; -Max_Seg_In_Percent = 100; -Max_Blk_In_Percent = 100; - -[FITTER REPORT FORMAT] - -Fitter_Options = YES; -Pinout_Diagram = NO; -Pinout_Listing = YES; -Detailed_Block_Segment_Summary = YES; -Input_Signal_List = YES; -Output_Signal_List = YES; -Bidir_Signal_List = YES; -Node_Signal_List = YES; -Signal_Fanout_List = YES; -Block_Segment_Fanin_List = YES; -Prefit_Eqn = YES; -Postfit_Eqn = YES; -Page_Break = YES; - -[OPTIMIZATION OPTIONS] - -Logic_Reduction = YES; -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -XOR_Synthesis = YES; -Node_Collapse = Yes; -DT_Synthesis = Yes; - -[FITTER GLOBAL OPTIONS] - -Run_Time = 0; -Set_Reset_Dont_Care = NO; -In_Reg_Optimize = YES; -Clock_Optimize = NO; -Conf_Unused_IOs = OUT_LOW; - -[POWER] -Powerlevel = Low, High; -Default = High; -Low = 8, H, G, F, E, D, C, B, A; -Type = GLB; - -[HARDWARE DEVICE OPTIONS] -Zero_Hold_Time = Yes; -Signature_Word = 0; -Pull_up = Yes; -Out_Slew_Rate = SLOW, FAST, 7, CLK_DIV_OUT, CLK_EXP, FPU_CS, AMIGA_BUS_DATA_DIR, AMIGA_BUS_ENABLE_LOW, - AMIGA_ADDR_ENABLE, AMIGA_BUS_ENABLE_HIGH; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode_Format = Hex; - -[PIN RESERVATIONS] -layer = OFF; - -[LOCATION ASSIGNMENT] - -Layer = OFF -BERR = OUTPUT,41,4,-; -RW_000 = BIDIR,80,7,-; -AS_000 = OUTPUT,42,4,-; -RW = BIDIR,71,6,-; -AS_030 = OUTPUT,82,7,-; -UDS_000 = OUTPUT,32,3,-; -LDS_000 = OUTPUT,31,3,-; -A0 = BIDIR,69,6,-; -DS_030 = OUTPUT,98,0,-; -SIZE_1_ = OUTPUT,79,7,-; -SIZE_0_ = OUTPUT,70,6,-; -IPL_030_2_ = OUTPUT,9,1,-; -IPL_030_0_ = OUTPUT,8,1,-; -IPL_030_1_ = OUTPUT,7,1,-; -E = OUTPUT,66,6,-; -DSACK1 = OUTPUT,81,7,-; -BGACK_030 = OUTPUT,83,7,-; -VMA = OUTPUT,35,3,-; -AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-; -AMIGA_BUS_ENABLE_HIGH = OUTPUT,34,3,-; -BG_000 = OUTPUT,29,3,-; -RESET = OUTPUT,3,1,-; -AVEC = OUTPUT,92,0,-; -FPU_CS = OUTPUT,78,7,-; -CLK_DIV_OUT = OUTPUT,65,6,-; -CIIN = OUTPUT,47,4,-; -AMIGA_ADDR_ENABLE = OUTPUT,33,3,-; -AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; -CLK_EXP = OUTPUT,10,1,-; -RN_BGACK_030 = NODE,-1,7,-; -RN_RESET = NODE,-1,1,-; -inst_nEXP_SPACE_D0reg = NODE,*,5,-; -inst_CLK_000_NE = NODE,*,5,-; -inst_CLK_000_PE = NODE,*,2,-; -inst_AS_030_D0 = NODE,*,7,-; -SM_AMIGA_i_7_ = NODE,*,5,-; -RN_E = NODE,-1,6,-; -SM_AMIGA_3_ = NODE,*,2,-; -cpu_est_1_ = NODE,*,6,-; -cpu_est_2_ = NODE,*,2,-; -SM_AMIGA_1_ = NODE,*,2,-; -SM_AMIGA_5_ = NODE,*,5,-; -cpu_est_0_ = NODE,*,2,-; -inst_CLK_000_NE_D0 = NODE,*,4,-; -inst_BGACK_030_INT_D = NODE,*,7,-; -inst_AS_000_DMA = NODE,*,0,-; -RST_DLY_3_ = NODE,*,2,-; -RST_DLY_2_ = NODE,*,1,-; -SM_AMIGA_2_ = NODE,*,2,-; -RST_DLY_5_ = NODE,*,1,-; -RST_DLY_1_ = NODE,*,1,-; -inst_LDS_000_INT = NODE,*,6,-; -RN_VMA = NODE,-1,3,-; -inst_DS_000_ENABLE = NODE,*,0,-; -SM_AMIGA_4_ = NODE,*,5,-; -RST_DLY_6_ = NODE,*,2,-; -RST_DLY_0_ = NODE,*,2,-; -SM_AMIGA_6_ = NODE,*,5,-; -inst_UDS_000_INT = NODE,*,6,-; -SIZE_DMA_1_ = NODE,*,6,-; -SIZE_DMA_0_ = NODE,*,6,-; -RST_DLY_7_ = NODE,*,2,-; -RST_DLY_4_ = NODE,*,2,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,6,-; -SM_AMIGA_0_ = NODE,*,5,-; -inst_AS_000_INT = NODE,*,0,-; -inst_CLK_000_D0 = NODE,*,1,-; -inst_CLK_000_D1 = NODE,*,3,-; -inst_DTACK_D0 = NODE,*,1,-; -inst_VPA_D = NODE,*,3,-; -RN_IPL_030_0_ = NODE,-1,1,-; -RN_IPL_030_1_ = NODE,-1,1,-; -RN_IPL_030_2_ = NODE,-1,1,-; -inst_DS_000_DMA = NODE,*,0,-; -inst_CLK_030_H = NODE,*,0,-; -inst_AS_030_000_SYNC = NODE,*,5,-; -RN_DSACK1 = NODE,-1,7,-; -RN_A0 = NODE,-1,6,-; -RN_RW_000 = NODE,-1,7,-; -CYCLE_DMA_1_ = NODE,*,0,-; -RN_RW = NODE,-1,6,-; -RN_BG_000 = NODE,-1,3,-; -CIIN_0 = NODE,*,4,-; -pos_clk_un29_clk_000_ne_d0_i_n = NODE,*,3,-; -CYCLE_DMA_0_ = NODE,*,0,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,2,-; -CLK_000_N_SYNC_10_ = NODE,*,7,-; -CLK_000_N_SYNC_9_ = NODE,*,5,-; -CLK_000_N_SYNC_8_ = NODE,*,6,-; -CLK_000_N_SYNC_7_ = NODE,*,1,-; -CLK_000_N_SYNC_6_ = NODE,*,0,-; -CLK_000_N_SYNC_5_ = NODE,*,1,-; -CLK_000_N_SYNC_4_ = NODE,*,1,-; -CLK_000_N_SYNC_3_ = NODE,*,1,-; -CLK_000_N_SYNC_2_ = NODE,*,6,-; -CLK_000_N_SYNC_1_ = NODE,*,6,-; -CLK_000_N_SYNC_0_ = NODE,*,5,-; -CLK_000_P_SYNC_8_ = NODE,*,0,-; -CLK_000_P_SYNC_7_ = NODE,*,0,-; -CLK_000_P_SYNC_6_ = NODE,*,0,-; -CLK_000_P_SYNC_5_ = NODE,*,5,-; -CLK_000_P_SYNC_4_ = NODE,*,3,-; -CLK_000_P_SYNC_3_ = NODE,*,2,-; -CLK_000_P_SYNC_2_ = NODE,*,1,-; -CLK_000_P_SYNC_1_ = NODE,*,2,-; -CLK_000_P_SYNC_0_ = NODE,*,3,-; -IPL_D0_2_ = NODE,*,6,-; -IPL_D0_1_ = NODE,*,3,-; -IPL_D0_0_ = NODE,*,3,-; -CLK_000_N_SYNC_11_ = NODE,*,7,-; -CLK_000_P_SYNC_9_ = NODE,*,0,-; -inst_CLK_OUT_PRE_50 = NODE,*,4,-; -inst_CLK_OUT_PRE_D = NODE,*,4,-; -inst_DS_030_D0 = NODE,*,3,-; -CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco deleted file mode 100644 index 69fcc80..0000000 --- a/Logic/68030_tk.vco +++ /dev/null @@ -1,272 +0,0 @@ -[DEVICE] - -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = NO; -Pin_MC_1to1 = NO; -Voltage = 5.0; - -[REVISION] - -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_file = m4a5.sds; -Design = 68030_tk.tt4; -Rev = 0.01; -DATE = 9/24/15; -TIME = 16:21:08; -Type = TT2; -Pre_Fit_Time = 1; -Source_Format = Pure_VHDL; - -[IGNORE ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[CLEAR ASSIGNMENTS] - -Pin_Assignments = NO; -Pin_Keep_Block = NO; -Pin_Keep_Segment = NO; -Group_Assignments = NO; -Macrocell_Assignments = NO; -Macrocell_Keep_Block = NO; -Macrocell_Keep_Segment = NO; -Pin_Reservation = NO; -Timing_Constraints = NO; -Block_Reservation = NO; -Segment_Reservation = NO; -Ignore_Source_Location = NO; -Ignore_Source_Optimization = NO; -Ignore_Source_Timing = NO; - -[BACKANNOTATE NETLIST] - -Netlist = VHDL; -Delay_File = SDF; -Generic_VCC = ; -Generic_GND = ; - -[BACKANNOTATE ASSIGNMENTS] - -Pin_Assignment = NO; -Pin_Block = NO; -Pin_Macrocell_Block = NO; -Routing = NO; - -[GLOBAL PROJECT OPTIMIZATION] - -Balanced_Partitioning = YES; -Spread_Placement = YES; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_Inter_Seg_Percent = 100; -Max_Seg_In_Percent = 100; -Max_Blk_In_Percent = 100; - -[FITTER REPORT FORMAT] - -Fitter_Options = YES; -Pinout_Diagram = NO; -Pinout_Listing = YES; -Detailed_Block_Segment_Summary = YES; -Input_Signal_List = YES; -Output_Signal_List = YES; -Bidir_Signal_List = YES; -Node_Signal_List = YES; -Signal_Fanout_List = YES; -Block_Segment_Fanin_List = YES; -Prefit_Eqn = YES; -Postfit_Eqn = YES; -Page_Break = YES; - -[OPTIMIZATION OPTIONS] - -Logic_Reduction = YES; -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -XOR_Synthesis = YES; -Node_Collapse = Yes; -DT_Synthesis = Yes; - -[FITTER GLOBAL OPTIONS] - -Run_Time = 0; -Set_Reset_Dont_Care = NO; -In_Reg_Optimize = YES; -Clock_Optimize = NO; -Conf_Unused_IOs = OUT_LOW; - -[POWER] -Powerlevel = Low, High; -Default = High; -Low = 8, H, G, F, E, D, C, B, A; -Type = GLB; - -[HARDWARE DEVICE OPTIONS] -Zero_Hold_Time = Yes; -Signature_Word = 0; -Pull_up = Yes; -Out_Slew_Rate = SLOW, FAST, 7, CLK_DIV_OUT, CLK_EXP, FPU_CS, AMIGA_BUS_DATA_DIR, AMIGA_BUS_ENABLE_LOW, - AMIGA_ADDR_ENABLE, AMIGA_BUS_ENABLE_HIGH; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode_Format = Hex; - -[PIN RESERVATIONS] -layer = OFF; - -[LOCATION ASSIGNMENT] - -Layer = OFF; -A_28_ = INPUT,15, C,-; -A_27_ = INPUT,16, C,-; -SIZE_1_ = BIDIR,79, H,-; -A_26_ = INPUT,17, C,-; -A_25_ = INPUT,18, C,-; -A_31_ = INPUT,4, B,-; -A_24_ = INPUT,19, C,-; -A_23_ = INPUT,85, H,-; -A_22_ = INPUT,84, H,-; -A_21_ = INPUT,94, A,-; -IPL_2_ = INPUT,68, G,-; -A_20_ = INPUT,93, A,-; -A_19_ = INPUT,97, A,-; -FC_1_ = INPUT,58, F,-; -A_18_ = INPUT,95, A,-; -AS_030 = BIDIR,82, H,-; -A_17_ = INPUT,59, F,-; -AS_000 = BIDIR,42, E,-; -A_16_ = INPUT,96, A,-; -DS_030 = BIDIR,98, A,-; -UDS_000 = BIDIR,32, D,-; -LDS_000 = BIDIR,31, D,-; -A1 = INPUT,60, F,-; -nEXP_SPACE = INPUT,14,-,-; -BERR = BIDIR,41, E,-; -BG_030 = INPUT,21, C,-; -BGACK_000 = INPUT,28, D,-; -CLK_030 = INPUT,64,-,-; -CLK_000 = INPUT,11,-,-; -CLK_OSZI = INPUT,61,-,-; -CLK_DIV_OUT = OUTPUT,65, G,-; -CLK_EXP = OUTPUT,10, B,-; -IPL_1_ = INPUT,56, F,-; -FPU_CS = OUTPUT,78, H,-; -IPL_0_ = INPUT,67, G,-; -FPU_SENSE = INPUT,91, A,-; -FC_0_ = INPUT,57, F,-; -DTACK = INPUT,30, D,-; -AVEC = OUTPUT,92, A,-; -VPA = INPUT,36,-,-; -RST = INPUT,86,-,-; -AMIGA_ADDR_ENABLE = OUTPUT,33, D,-; -AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; -AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; -AMIGA_BUS_ENABLE_HIGH = OUTPUT,34, D,-; -CIIN = OUTPUT,47, E,-; -SIZE_0_ = BIDIR,70, G,-; -A_30_ = INPUT,5, B,-; -A_29_ = INPUT,6, B,-; -IPL_030_2_ = OUTPUT,9, B,-; -RW_000 = BIDIR,80, H,-; -A0 = BIDIR,69, G,-; -BG_000 = OUTPUT,29, D,-; -BGACK_030 = OUTPUT,83, H,-; -IPL_030_1_ = OUTPUT,7, B,-; -IPL_030_0_ = OUTPUT,8, B,-; -DSACK1 = OUTPUT,81, H,-; -E = OUTPUT,66, G,-; -VMA = OUTPUT,35, D,-; -RESET = OUTPUT,3, B,-; -RW = BIDIR,71, G,-; -cpu_est_0_ = NODE,5, C,-; -cpu_est_1_ = NODE,5, G,-; -inst_AS_000_INT = NODE,1, A,-; -SM_AMIGA_5_ = NODE,12, F,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,3, C,-; -inst_AS_030_D0 = NODE,5, H,-; -inst_nEXP_SPACE_D0reg = NODE,0, F,-; -inst_DS_030_D0 = NODE,7, D,-; -inst_AS_030_000_SYNC = NODE,13, F,-; -inst_BGACK_030_INT_D = NODE,13, H,-; -inst_AS_000_DMA = NODE,8, A,-; -inst_DS_000_DMA = NODE,5, A,-; -CYCLE_DMA_0_ = NODE,2, A,-; -CYCLE_DMA_1_ = NODE,13, A,-; -SIZE_DMA_0_ = NODE,6, G,-; -SIZE_DMA_1_ = NODE,2, G,-; -inst_VPA_D = NODE,13, D,-; -inst_UDS_000_INT = NODE,13, G,-; -inst_LDS_000_INT = NODE,9, G,-; -inst_CLK_OUT_PRE_D = NODE,13, E,-; -inst_DTACK_D0 = NODE,6, B,-; -inst_CLK_OUT_PRE_50 = NODE,9, E,-; -inst_CLK_000_D1 = NODE,9, D,-; -inst_CLK_000_D0 = NODE,2, B,-; -inst_CLK_000_PE = NODE,4, C,-; -CLK_000_P_SYNC_9_ = NODE,7, A,-; -inst_CLK_000_NE = NODE,4, F,-; -CLK_000_N_SYNC_11_ = NODE,6, H,-; -cpu_est_2_ = NODE,12, C,-; -IPL_D0_0_ = NODE,3, D,-; -IPL_D0_1_ = NODE,14, D,-; -IPL_D0_2_ = NODE,11, G,-; -SM_AMIGA_3_ = NODE,8, C,-; -inst_CLK_000_NE_D0 = NODE,8, E,-; -SM_AMIGA_0_ = NODE,9, F,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,10, G,-; -SM_AMIGA_6_ = NODE,5, F,-; -RST_DLY_0_ = NODE,6, C,-; -RST_DLY_1_ = NODE,13, B,-; -RST_DLY_2_ = NODE,5, B,-; -RST_DLY_3_ = NODE,9, C,-; -RST_DLY_4_ = NODE,14, C,-; -RST_DLY_5_ = NODE,9, B,-; -RST_DLY_6_ = NODE,2, C,-; -RST_DLY_7_ = NODE,10, C,-; -CLK_000_P_SYNC_0_ = NODE,10, D,-; -CLK_000_P_SYNC_1_ = NODE,11, C,-; -CLK_000_P_SYNC_2_ = NODE,11, B,-; -CLK_000_P_SYNC_3_ = NODE,7, C,-; -CLK_000_P_SYNC_4_ = NODE,6, D,-; -CLK_000_P_SYNC_5_ = NODE,10, F,-; -CLK_000_P_SYNC_6_ = NODE,3, A,-; -CLK_000_P_SYNC_7_ = NODE,14, A,-; -CLK_000_P_SYNC_8_ = NODE,10, A,-; -CLK_000_N_SYNC_0_ = NODE,6, F,-; -CLK_000_N_SYNC_1_ = NODE,7, G,-; -CLK_000_N_SYNC_2_ = NODE,3, G,-; -CLK_000_N_SYNC_3_ = NODE,7, B,-; -CLK_000_N_SYNC_4_ = NODE,3, B,-; -pos_clk_un29_clk_000_ne_d0_i_n = NODE,2, D,-; -CLK_000_N_SYNC_5_ = NODE,14, B,-; -CLK_000_N_SYNC_6_ = NODE,6, A,-; -CLK_000_N_SYNC_7_ = NODE,10, B,-; -CLK_000_N_SYNC_8_ = NODE,14, G,-; -CLK_000_N_SYNC_9_ = NODE,2, F,-; -CLK_000_N_SYNC_10_ = NODE,2, H,-; -inst_CLK_030_H = NODE,9, A,-; -SM_AMIGA_1_ = NODE,1, C,-; -SM_AMIGA_4_ = NODE,1, F,-; -SM_AMIGA_2_ = NODE,13, C,-; -inst_DS_000_ENABLE = NODE,12, A,-; -SM_AMIGA_i_7_ = NODE,8, F,-; -CIIN_0 = NODE,5, E,-; diff --git a/Logic/68030_tk.vct b/Logic/68030_tk.vct deleted file mode 100644 index c26f14d..0000000 --- a/Logic/68030_tk.vct +++ /dev/null @@ -1,221 +0,0 @@ -[DEVICE] -Family = M4A5; -PartType = M4A5-128/64; -Package = 100TQFP; -PartNumber = M4A5-128/64-10VC; -Speed = -10; -Operating_condition = COM; -EN_Segment = No; -Pin_MC_1to1 = No; -EN_PinReserve_IO = Yes; -EN_PinReserve_BIDIR = Yes; -Voltage = 5.0; - -[REVISION] -RCS = "$Revision: 1.2 $"; -Parent = m4a5.lci; -SDS_File = m4a5.sds; -DATE = 03/16/2015; -TIME = 21:53:52; -Source_Format = Pure_VHDL; -Type = TT2; -Pre_Fit_Time = 1; - -[IGNORE ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[CLEAR ASSIGNMENTS] -Pin_Assignments = No; -Pin_Keep_Block = No; -Pin_Keep_Segment = No; -Group_Assignments = No; -Macrocell_Assignments = No; -Macrocell_Keep_Block = No; -Macrocell_Keep_Segment = No; -Pin_Reservation = No; -Block_Reservation = No; -Segment_Reservation = No; -Timing_Constraints = No; - -[BACKANNOTATE ASSIGNMENTS] -Pin_Block = No; -Pin_Macrocell_Block = No; -Routing = No; - -[GLOBAL PROJECT OPTIMIZATION] -Balanced_Partitioning = Yes; -Spread_Placement = Yes; -Max_Pin_Percent = 100; -Max_Macrocell_Percent = 100; -Max_Blk_In_Percent = 100; - -[OPTIMIZATION OPTIONS] -Logic_Reduction = Yes; -Max_PTerm_Split = 16; -Max_PTerm_Collapse = 16; -XOR_Synthesis = Yes; -EN_XOR_Synthesis = Yes; -XOR_Gate = Yes; -Node_Collapse = Yes; -Keep_XOR = Yes; -DT_Synthesis = Yes; -Clock_PTerm = Min; -Reset_PTerm = On; -Preset_PTerm = On; -Clock_Enable_PTerm = On; -Output_Enable_PTerm = On; -EN_DT_Synthesis = Yes; -Cluster_PTerm = 5; -FF_inv = No; -EN_Use_CE = No; -Use_CE = No; -Use_Internal_COM_FB = Yes; -EN_use_Internal_COM_FB = Yes; -Set_Reset_Swap = No; -EN_Set_Reset_Swap = No; -Density = No; -DeMorgan = Yes; -T_FF = Yes; -Max_Symbols = 32; - -[FITTER GLOBAL OPTIONS] -Run_Time = 0; -Set_Reset_Dont_Care = No; -EN_Set_Reset_Dont_Care = Yes; -In_Reg_Optimize = Yes; -EN_In_Reg_Optimize = No; -Clock_Optimize = No; -Global_Clock_As_Pterm = No; -Show_Iterations = No; -Routing_Attempts = 2; -Conf_Unused_IOs = Out_Low; - -[HARDWARE DEVICE OPTIONS] -Zero_Hold_Time = Yes; -Signature_Word = 0; -Pull_up = Yes; -Out_Slew_Rate = SLOW,FAST,7,CLK_DIV_OUT,CLK_EXP,FPU_CS,AMIGA_BUS_DATA_DIR,AMIGA_BUS_ENABLE_LOW,AMIGA_ADDR_ENABLE,AMIGA_BUS_ENABLE_HIGH; -Device_max_fanin = 33; -Device_max_pterms = 20; -Usercode_Format = Hex; - -[PIN RESERVATIONS] -Layer = OFF; - -[LOCATION ASSIGNMENT] -Layer = OFF; -AS_030 = input,82,H,-; -A_16_ = input,96,A,-; -A_17_ = input,59,F,-; -A_18_ = input,95,A,-; -A_19_ = input,97,A,-; -BGACK_000 = input,28,D,-; -BG_030 = input,21,C,-; -CLK_000 = input,11,-,-; -CLK_030 = input,64,-,-; -CLK_OSZI = input,61,-,-; -FC_0_ = input,57,F,-; -FC_1_ = input,58,F,-; -IPL_0_ = input,67,G,-; -IPL_1_ = input,56,F,-; -IPL_2_ = input,68,G,-; -RST = input,86,-,-; -RW = input,71,G,-; -SIZE_1_ = input,79,H,-; -SIZE_0_ = input,70,G,-; -VPA = input,36,-,-; -AVEC = input,92,A,-; -BGACK_030 = input,83,H,-; -BG_000 = input,29,D,-; -CLK_DIV_OUT = input,65,G,-; -CLK_EXP = input,10,B,-; -E = input,66,G,-; -FPU_CS = input,78,H,-; -IPL_030_0_ = input,8,B,-; -IPL_030_1_ = input,7,B,-; -IPL_030_2_ = input,9,B,-; -LDS_000 = input,31,D,-; -UDS_000 = input,32,D,-; -VMA = input,35,D,-; -DTACK = input,30,D,-; -RESET = input,3,B,-; -AMIGA_BUS_DATA_DIR = input,48,E,-; -AMIGA_BUS_ENABLE_LOW = input,20,C,-; -CIIN = input,47,E,-; -A_20_ = input,93,A,-; -A_21_ = input,94,A,-; -A_22_ = input,84,H,-; -A_24_ = input,19,C,-; -A_25_ = input,18,C,-; -A_26_ = input,17,C,-; -A_27_ = input,16,C,-; -A_28_ = input,15,C,-; -A_29_ = input,6,B,-; -A_30_ = input,5,B,-; -A_31_ = input,4,B,-; -DS_030 = input,98,A,-; -BERR = input,41,E,-; -nEXP_SPACE = input,14,-,-; -A0 = input,69,G,-; -DSACK1 = input,81,H,-; -RW_000 = input,80,H,-; -AS_000 = input,42,E,-; -AMIGA_ADDR_ENABLE = input,33,D,-; -AMIGA_BUS_ENABLE_HIGH = input,34,D,-; -A_23_ = input,85,H,-; -FPU_SENSE = input,91,A,-; -A1 = input,60,F,-; -A_3_ = input,44,E,-; -A_2_ = input,43,E,-; - -[GROUP ASSIGNMENT] -Layer = OFF; - -[SPACE RESERVATIONS] -Layer = OFF; - -[BACKANNOTATE NETLIST] -Delay_File = SDF; -Netlist = VHDL; -VCC_GND = Cell; - -[FITTER REPORT FORMAT] -Fitter_Options = Yes; -Pinout_Diagram = No; -Pinout_Listing = Yes; -Detailed_Block_Segment_Summary = Yes; -Input_Signal_List = Yes; -Output_Signal_List = Yes; -Bidir_Signal_List = Yes; -Node_Signal_List = Yes; -Signal_Fanout_List = Yes; -Block_Segment_Fanin_List = Yes; -Postfit_Eqn = Yes; -Page_Break = Yes; - -[POWER] -Powerlevel = Low,High; -Default = High; -Low = 8,H,G,F,E,D,C,B,A; -Type = GLB; - -[SOURCE CONSTRAINT OPTION] -Import_source_constraint = Yes; -Disable_warning_message = No; - -[TIMING ANALYZER] -Last_source=; -Last_source_type=Fmax; - -[INPUT REGISTERS] - diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf deleted file mode 100644 index c7c4021..0000000 --- a/Logic/68030_tk.xrf +++ /dev/null @@ -1,16 +0,0 @@ -Signal Name Cross Reference File - -ispLEVER Classic 1.8.00.04.29.14 - -Design '68030_tk' created Thu Sep 24 16:20:59 2015 - - - LEGEND: '>' Functional Block Port Separator - '/' Hierarchy Path Separator - '@' Automatically Generated Node - - -Short Name Hierarchical Name ----------- ----------------- - - *** Shortened names not required for this design. *** diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 deleted file mode 100644 index e1b73fa..0000000 --- a/Logic/BUS68030.bl0 +++ /dev/null @@ -1,1932 +0,0 @@ -#$ DATE Thu Sep 24 16:20:59 2015 -#$ TOOL EDIF2BLIF version IspLever 1.0 -#$ MODULE bus68030 -#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ -#$ NODES 695 N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n \ -# N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 ds_000_enable_0_un1_n inst_BGACK_030_INTreg N_256 \ -# N_13_i ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n cpu_est_3_reg N_91 N_12_i a0_dma_0_un1_n \ -# inst_VMA_INTreg N_92 N_43_0 a0_dma_0_un0_n inst_RESET_OUTreg pos_clk_a0_dma_3_n N_8_i size_dma_0_1__un3_n gnd_n_n N_96 \ -# N_46_0 size_dma_0_1__un1_n un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 size_dma_0_0__un3_n \ -# un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 \ -# N_256_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n un1_amiga_bus_enable_dma_high_i_m2_0__un0_n \ -# un1_SM_AMIGA_7 N_250 N_104_i cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 cpu_est_0_1__un1_n un22_berr N_251 \ -# N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n \ -# un6_lds_000 pos_clk_un24_bgack_030_int_i_0_x2 N_96_i cpu_est_0_2__un0_n cpu_est_0_ DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n cpu_est_1_ un22_berr_1 \ -# N_89_i cpu_est_0_3__un1_n inst_AS_000_INT N_8 pos_clk_size_dma_6_0_0__n cpu_est_0_3__un0_n SM_AMIGA_5_ N_12 N_88_i ipl_030_0_0__un3_n \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n inst_AS_030_D0 N_16 N_138_0 ipl_030_0_0__un0_n inst_nEXP_SPACE_D0reg N_20 \ -# un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n inst_DS_030_D0 N_21 ipl_030_0_1__un1_n inst_AS_030_000_SYNC N_11 N_157_i ipl_030_0_1__un0_n inst_BGACK_030_INT_D \ -# un1_amiga_bus_enable_low_i N_158_i ipl_030_0_2__un3_n inst_AS_000_DMA un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n inst_DS_000_DMA BGACK_030_INT_i ipl_030_0_2__un0_n \ -# CYCLE_DMA_0_ AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n CYCLE_DMA_1_ AS_030_i un1_as_000_i dsack1_int_0_un1_n SIZE_DMA_0_ AS_000_INT_i \ -# N_93_i dsack1_int_0_un0_n SIZE_DMA_1_ N_105_i as_000_int_0_un3_n inst_VPA_D N_91_i N_87_i as_000_int_0_un1_n inst_UDS_000_INT \ -# N_92_i N_86_i as_000_int_0_un0_n inst_LDS_000_INT a_i_19__n AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n inst_CLK_OUT_PRE_D a_i_18__n un1_rst_dly_i_m_i_2__n \ -# lds_000_int_0_un1_n inst_DTACK_D0 a_i_16__n lds_000_int_0_un0_n inst_CLK_OUT_PRE_50 size_dma_i_1__n un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n inst_CLK_000_D1 size_dma_i_0__n \ -# rw_000_int_0_un1_n inst_CLK_000_D0 FPU_SENSE_i un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n inst_CLK_000_PE CLK_000_D1_i uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_000_D0_i \ -# un1_rst_dly_i_m_i_5__n uds_000_int_0_un1_n inst_CLK_000_NE AS_030_000_SYNC_i uds_000_int_0_un0_n CLK_000_N_SYNC_11_ AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n cpu_est_2_ \ -# sm_amiga_i_i_7__n vma_int_0_un1_n IPL_D0_0_ AS_000_i un1_rst_dly_i_m_i_7__n vma_int_0_un0_n IPL_D0_1_ CLK_000_PE_i bg_000_0_un3_n IPL_D0_2_ \ -# nEXP_SPACE_D0_i un1_rst_dly_i_m_i_8__n bg_000_0_un1_n SM_AMIGA_3_ A1_i bg_000_0_un0_n inst_CLK_000_NE_D0 sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n \ -# pos_clk_un6_bg_030_n BERR_i un1_as_030_i ds_000_dma_0_un1_n SM_AMIGA_0_ sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n inst_AMIGA_BUS_ENABLE_DMA_HIGH RESET_OUT_0_sqmuxa_i \ -# pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n inst_DSACK1_INTreg un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n \ -# RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n \ -# SM_AMIGA_6_ un1_rst_dly_i_4__n N_84_i RST_DLY_0_ un1_rst_dly_i_5__n N_245_0 a_13__n RST_DLY_1_ un1_rst_dly_i_6__n N_85_i \ -# RST_DLY_2_ un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n RST_DLY_3_ un1_rst_dly_i_8__n N_94_i RST_DLY_4_ CLK_OUT_PRE_D_i a_11__n \ -# RST_DLY_5_ pos_clk_un11_clk_000_n_sync_i_n RST_DLY_6_ CLK_030_H_i a_10__n RST_DLY_7_ AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i \ -# a_9__n CLK_000_P_SYNC_0_ VPA_D_i N_160_i CLK_000_P_SYNC_1_ cpu_est_i_0__n N_161_i a_8__n CLK_000_P_SYNC_2_ cpu_est_i_1__n \ -# CLK_000_P_SYNC_3_ cpu_est_i_3__n N_155_i a_7__n CLK_000_P_SYNC_4_ a_i_24__n N_156_i CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_d0_i_n a_6__n \ -# CLK_000_P_SYNC_6_ sm_amiga_i_0__n N_154_i CLK_000_P_SYNC_7_ sm_amiga_i_3__n N_152_i a_5__n CLK_000_P_SYNC_8_ CLK_000_NE_i N_153_i \ -# CLK_000_N_SYNC_0_ cpu_est_i_2__n a_4__n CLK_000_N_SYNC_1_ sm_amiga_i_1__n N_150_i CLK_000_N_SYNC_2_ sm_amiga_i_4__n N_151_i a_3__n \ -# CLK_000_N_SYNC_3_ sm_amiga_i_2__n CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n CLK_000_N_SYNC_5_ DSACK1_INT_0_sqmuxa_i N_147_i CLK_000_N_SYNC_6_ \ -# RW_i N_148_i CLK_000_N_SYNC_7_ un5_ciin_i CLK_000_N_SYNC_8_ DTACK_D0_i N_164_i CLK_000_N_SYNC_9_ a_i_31__n N_165_i \ -# CLK_000_N_SYNC_10_ a_i_29__n N_163_i inst_RW_000_INT a_i_30__n N_199_i inst_RW_000_DMA a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ -# a_i_28__n N_197_i inst_A0_DMA a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i inst_CLK_030_H UDS_000_INT_i \ -# pos_clk_cpu_est_11_0_1__n SM_AMIGA_1_ LDS_000_INT_i N_192_i SM_AMIGA_4_ DS_030_i N_135_i SM_AMIGA_2_ N_224_i N_162_i \ -# inst_DS_000_ENABLE N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i \ -# N_3 DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 un6_ds_030_i N_186_i \ -# N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i N_141_0 N_15 \ -# un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 N_19 AS_030_c N_166_i N_22 N_137_i \ -# N_23 AS_000_c N_136_i N_24 N_133_i N_25 RW_000_c N_132_i cpu_est_0_0_ N_146_i \ -# DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n \ -# pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 \ -# N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 DTACK_c_i N_57_0 \ -# VPA_c_i N_56_0 nEXP_SPACE_c_i SM_AMIGA_i_7_ N_55_0 N_115 N_50_0 pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n \ -# N_49_0 N_220 N_6_i G_165 N_48_0 G_166 N_9_i G_167 N_45_0 un6_uds_000_1 \ -# N_10_i pos_clk_un24_bgack_030_int_i_0_n a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i \ -# N_80 a_c_18__n N_40_0 N_90 N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i \ -# pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 pos_clk_CYCLE_DMA_5_1_i_x2 N_22_i pos_clk_CYCLE_DMA_5_0_i_x2 a_c_21__n N_33_0 N_135 BG_030_c_i \ -# N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 \ -# N_136 a_c_24__n pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n \ -# pos_clk_un21_clk_000_ne_d0_n a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 \ -# pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 \ -# N_143 a_c_30__n N_121_i_1 N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 \ -# N_149 A0_c N_129_i_1 N_150 N_162_1 N_140 A1_c N_163_1 N_151 N_165_1 \ -# N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c un5_ciin_1 N_155 un5_ciin_2 \ -# N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 BG_000DFFreg un5_ciin_5 N_142 un5_ciin_6 \ -# N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 N_132 un5_ciin_10 N_191 \ -# un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 pos_clk_un9_clk_000_ne_4_n \ -# N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c pos_clk_un27_clk_000_ne_d0_1_n \ -# N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 IPL_030DFF_0_reg pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 IPL_030DFF_1_reg RESET_OUT_0_sqmuxa_7_2 \ -# N_73 RESET_OUT_0_sqmuxa_7_3 N_94 IPL_030DFF_2_reg RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 \ -# N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n G_149 N_72_0_1 G_147 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n \ -# N_213 un21_fpu_cs_1 G_145 un22_berr_1_0 N_211 DTACK_c N_116_1 G_143 N_116_2 N_209 \ -# N_116_3 G_141 N_116_4 G_139 VPA_c pos_clk_un6_bg_030_1_n N_205 N_115_0_1 G_137 N_245_0_1 \ -# RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n \ -# un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n amiga_bus_enable_dma_low_0_un3_n \ -# un1_rst_dly_i_m_4__n amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 \ -# rw_000_dma_0_un0_n N_87 bgack_030_int_0_un3_n G_135 bgack_030_int_0_un1_n -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ - CLK_OSZI.BLIF FPU_SENSE.BLIF DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ - A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ - A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF \ - A_8_.BLIF A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ - FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_159.BLIF N_21_i.BLIF bgack_030_int_0_un0_n.BLIF N_157.BLIF N_34_0.BLIF as_030_000_sync_0_un3_n.BLIF N_158.BLIF N_20_i.BLIF \ - as_030_000_sync_0_un1_n.BLIF un1_rst_dly_i_m_1__n.BLIF N_35_0.BLIF as_030_000_sync_0_un0_n.BLIF N_138.BLIF N_16_i.BLIF ds_000_enable_0_un3_n.BLIF N_88.BLIF N_39_0.BLIF \ - ds_000_enable_0_un1_n.BLIF inst_BGACK_030_INTreg.BLIF N_256.BLIF N_13_i.BLIF ds_000_enable_0_un0_n.BLIF vcc_n_n.BLIF N_89.BLIF N_42_0.BLIF a0_dma_0_un3_n.BLIF \ - cpu_est_3_reg.BLIF N_91.BLIF N_12_i.BLIF a0_dma_0_un1_n.BLIF inst_VMA_INTreg.BLIF N_92.BLIF N_43_0.BLIF a0_dma_0_un0_n.BLIF inst_RESET_OUTreg.BLIF \ - pos_clk_a0_dma_3_n.BLIF N_8_i.BLIF size_dma_0_1__un3_n.BLIF gnd_n_n.BLIF N_96.BLIF N_46_0.BLIF size_dma_0_1__un1_n.BLIF un1_amiga_bus_enable_low.BLIF N_72.BLIF \ - N_76_i.BLIF size_dma_0_1__un0_n.BLIF un6_as_030.BLIF N_97.BLIF N_72_0.BLIF size_dma_0_0__un3_n.BLIF un3_size.BLIF N_116.BLIF UDS_000_c_i.BLIF \ - size_dma_0_0__un1_n.BLIF un4_size.BLIF N_104.BLIF LDS_000_c_i.BLIF size_dma_0_0__un0_n.BLIF un5_ciin.BLIF N_76.BLIF N_256_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ - un8_ciin.BLIF pos_clk_size_dma_6_1__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un4_as_000.BLIF pos_clk_size_dma_6_0__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF un1_SM_AMIGA_7.BLIF \ - N_250.BLIF N_104_i.BLIF cpu_est_0_1__un3_n.BLIF un21_fpu_cs.BLIF pos_clk_un6_bgack_000_n.BLIF N_252_0.BLIF cpu_est_0_1__un1_n.BLIF un22_berr.BLIF N_251.BLIF \ - N_251_0.BLIF cpu_est_0_1__un0_n.BLIF un6_ds_030.BLIF N_252.BLIF pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_2__un3_n.BLIF un6_uds_000.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_97_i.BLIF \ - cpu_est_0_2__un1_n.BLIF un6_lds_000.BLIF pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_96_i.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_0_.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_250_0.BLIF cpu_est_0_3__un3_n.BLIF \ - cpu_est_1_.BLIF un22_berr_1.BLIF N_89_i.BLIF cpu_est_0_3__un1_n.BLIF inst_AS_000_INT.BLIF N_8.BLIF pos_clk_size_dma_6_0_0__n.BLIF cpu_est_0_3__un0_n.BLIF SM_AMIGA_5_.BLIF \ - N_12.BLIF N_88_i.BLIF ipl_030_0_0__un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_13.BLIF pos_clk_size_dma_6_0_1__n.BLIF ipl_030_0_0__un1_n.BLIF inst_AS_030_D0.BLIF N_16.BLIF \ - N_138_0.BLIF ipl_030_0_0__un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_20.BLIF un1_rst_dly_i_m_i_1__n.BLIF ipl_030_0_1__un3_n.BLIF inst_DS_030_D0.BLIF N_21.BLIF ipl_030_0_1__un1_n.BLIF \ - inst_AS_030_000_SYNC.BLIF N_11.BLIF N_157_i.BLIF ipl_030_0_1__un0_n.BLIF inst_BGACK_030_INT_D.BLIF un1_amiga_bus_enable_low_i.BLIF N_158_i.BLIF ipl_030_0_2__un3_n.BLIF inst_AS_000_DMA.BLIF \ - un21_fpu_cs_i.BLIF N_159_i.BLIF ipl_030_0_2__un1_n.BLIF inst_DS_000_DMA.BLIF BGACK_030_INT_i.BLIF ipl_030_0_2__un0_n.BLIF CYCLE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_257_0.BLIF \ - dsack1_int_0_un3_n.BLIF CYCLE_DMA_1_.BLIF AS_030_i.BLIF un1_as_000_i.BLIF dsack1_int_0_un1_n.BLIF SIZE_DMA_0_.BLIF AS_000_INT_i.BLIF N_93_i.BLIF dsack1_int_0_un0_n.BLIF \ - SIZE_DMA_1_.BLIF N_105_i.BLIF as_000_int_0_un3_n.BLIF inst_VPA_D.BLIF N_91_i.BLIF N_87_i.BLIF as_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF N_92_i.BLIF \ - N_86_i.BLIF as_000_int_0_un0_n.BLIF inst_LDS_000_INT.BLIF a_i_19__n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF lds_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_18__n.BLIF un1_rst_dly_i_m_i_2__n.BLIF \ - lds_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF a_i_16__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF size_dma_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un3_n.BLIF inst_CLK_000_D1.BLIF \ - size_dma_i_0__n.BLIF rw_000_int_0_un1_n.BLIF inst_CLK_000_D0.BLIF FPU_SENSE_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF rw_000_int_0_un0_n.BLIF inst_CLK_000_PE.BLIF CLK_000_D1_i.BLIF uds_000_int_0_un3_n.BLIF \ - CLK_000_P_SYNC_9_.BLIF CLK_000_D0_i.BLIF un1_rst_dly_i_m_i_5__n.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF AS_030_000_SYNC_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF AS_030_D0_i.BLIF \ - un1_rst_dly_i_m_i_6__n.BLIF vma_int_0_un3_n.BLIF cpu_est_2_.BLIF sm_amiga_i_i_7__n.BLIF vma_int_0_un1_n.BLIF IPL_D0_0_.BLIF AS_000_i.BLIF un1_rst_dly_i_m_i_7__n.BLIF vma_int_0_un0_n.BLIF \ - IPL_D0_1_.BLIF CLK_000_PE_i.BLIF bg_000_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_3_.BLIF A1_i.BLIF \ - bg_000_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF sm_amiga_i_6__n.BLIF un3_as_030_i.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF BERR_i.BLIF un1_as_030_i.BLIF ds_000_dma_0_un1_n.BLIF \ - SM_AMIGA_0_.BLIF sm_amiga_i_5__n.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_dma_0_un0_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF RESET_OUT_0_sqmuxa_i.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF as_000_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF \ - un1_rst_dly_i_1__n.BLIF pos_clk_un14_clk_000_n_sync_0_n.BLIF as_000_dma_0_un1_n.BLIF RESET_OUT_i.BLIF CLK_EXP_c_i.BLIF as_000_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF RW_000_i.BLIF N_244_0.BLIF \ - a_15__n.BLIF pos_clk_ipl_n.BLIF un1_rst_dly_i_2__n.BLIF N_83_i.BLIF pos_clk_un3_ds_030_d0_n.BLIF un1_rst_dly_i_3__n.BLIF N_115_0.BLIF a_14__n.BLIF SM_AMIGA_6_.BLIF \ - un1_rst_dly_i_4__n.BLIF N_84_i.BLIF RST_DLY_0_.BLIF un1_rst_dly_i_5__n.BLIF N_245_0.BLIF a_13__n.BLIF RST_DLY_1_.BLIF un1_rst_dly_i_6__n.BLIF N_85_i.BLIF \ - RST_DLY_2_.BLIF un1_rst_dly_i_7__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF a_12__n.BLIF RST_DLY_3_.BLIF un1_rst_dly_i_8__n.BLIF N_94_i.BLIF RST_DLY_4_.BLIF CLK_OUT_PRE_D_i.BLIF \ - a_11__n.BLIF RST_DLY_5_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF RST_DLY_6_.BLIF CLK_030_H_i.BLIF a_10__n.BLIF RST_DLY_7_.BLIF AS_000_DMA_i.BLIF N_73_0.BLIF \ - pos_clk_un8_bg_030_n.BLIF VMA_INT_i.BLIF a_9__n.BLIF CLK_000_P_SYNC_0_.BLIF VPA_D_i.BLIF N_160_i.BLIF CLK_000_P_SYNC_1_.BLIF cpu_est_i_0__n.BLIF N_161_i.BLIF \ - a_8__n.BLIF CLK_000_P_SYNC_2_.BLIF cpu_est_i_1__n.BLIF CLK_000_P_SYNC_3_.BLIF cpu_est_i_3__n.BLIF N_155_i.BLIF a_7__n.BLIF CLK_000_P_SYNC_4_.BLIF a_i_24__n.BLIF \ - N_156_i.BLIF CLK_000_P_SYNC_5_.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_6_.BLIF sm_amiga_i_0__n.BLIF N_154_i.BLIF CLK_000_P_SYNC_7_.BLIF sm_amiga_i_3__n.BLIF \ - N_152_i.BLIF a_5__n.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_NE_i.BLIF N_153_i.BLIF CLK_000_N_SYNC_0_.BLIF cpu_est_i_2__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_1_.BLIF \ - sm_amiga_i_1__n.BLIF N_150_i.BLIF CLK_000_N_SYNC_2_.BLIF sm_amiga_i_4__n.BLIF N_151_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_2__n.BLIF CLK_000_N_SYNC_4_.BLIF \ - pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_149_i.BLIF a_2__n.BLIF CLK_000_N_SYNC_5_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF N_147_i.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_148_i.BLIF \ - CLK_000_N_SYNC_7_.BLIF un5_ciin_i.BLIF CLK_000_N_SYNC_8_.BLIF DTACK_D0_i.BLIF N_164_i.BLIF CLK_000_N_SYNC_9_.BLIF a_i_31__n.BLIF N_165_i.BLIF CLK_000_N_SYNC_10_.BLIF \ - a_i_29__n.BLIF N_163_i.BLIF inst_RW_000_INT.BLIF a_i_30__n.BLIF N_199_i.BLIF inst_RW_000_DMA.BLIF a_i_27__n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_un7_clk_000_pe_n.BLIF \ - a_i_28__n.BLIF N_197_i.BLIF inst_A0_DMA.BLIF a_i_25__n.BLIF N_198_i.BLIF DS_000_ENABLE_1_sqmuxa.BLIF a_i_26__n.BLIF N_194_i.BLIF inst_CLK_030_H.BLIF \ - UDS_000_INT_i.BLIF pos_clk_cpu_est_11_0_1__n.BLIF SM_AMIGA_1_.BLIF LDS_000_INT_i.BLIF N_192_i.BLIF SM_AMIGA_4_.BLIF DS_030_i.BLIF N_135_i.BLIF SM_AMIGA_2_.BLIF \ - N_224_i.BLIF N_162_i.BLIF inst_DS_000_ENABLE.BLIF N_225_i.BLIF N_191_i.BLIF AS_000_INT_1_sqmuxa.BLIF N_226_i.BLIF N_193_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ - N_190_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_189_i.BLIF N_3.BLIF DS_000_ENABLE_1_sqmuxa_i.BLIF N_188_i.BLIF un6_lds_000_i.BLIF N_195_i.BLIF un6_uds_000_i.BLIF \ - N_196_i.BLIF N_6.BLIF un6_ds_030_i.BLIF N_186_i.BLIF N_9.BLIF DS_000_DMA_i.BLIF N_143_0.BLIF N_10.BLIF un4_as_000_i.BLIF \ - N_142_0.BLIF N_14.BLIF un8_ciin_i.BLIF N_141_0.BLIF N_15.BLIF un6_as_030_i.BLIF N_140_0.BLIF N_17.BLIF DS_030_D0_i.BLIF \ - N_139_0.BLIF N_19.BLIF AS_030_c.BLIF N_166_i.BLIF N_22.BLIF N_137_i.BLIF N_23.BLIF AS_000_c.BLIF N_136_i.BLIF \ - N_24.BLIF N_133_i.BLIF N_25.BLIF RW_000_c.BLIF N_132_i.BLIF cpu_est_0_0_.BLIF N_146_i.BLIF DS_030_c.BLIF N_144_i.BLIF \ - UDS_000_c.BLIF un1_SM_AMIGA_7_i.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF LDS_000_c.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF pos_clk_un23_clk_000_ne_d0_0_n.BLIF size_c_0__n.BLIF pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ - size_c_1__n.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF size_c_i_1__n.BLIF N_25_i.BLIF N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF \ - N_23_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF N_52_0.BLIF DTACK_c_i.BLIF \ - N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF SM_AMIGA_i_7_.BLIF N_55_0.BLIF N_115.BLIF N_50_0.BLIF pos_clk_cpu_est_11_1__n.BLIF \ - N_3_i.BLIF pos_clk_cpu_est_11_3__n.BLIF N_49_0.BLIF N_220.BLIF N_6_i.BLIF G_165.BLIF N_48_0.BLIF G_166.BLIF N_9_i.BLIF \ - G_167.BLIF N_45_0.BLIF un6_uds_000_1.BLIF N_10_i.BLIF pos_clk_un24_bgack_030_int_i_0_n.BLIF a_c_16__n.BLIF N_44_0.BLIF N_244.BLIF N_14_i.BLIF \ - N_245.BLIF a_c_17__n.BLIF N_41_0.BLIF N_15_i.BLIF N_80.BLIF a_c_18__n.BLIF N_40_0.BLIF N_90.BLIF N_17_i.BLIF \ - N_100.BLIF a_c_19__n.BLIF N_38_0.BLIF N_105.BLIF N_19_i.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF a_c_20__n.BLIF N_36_0.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF \ - N_22_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF a_c_21__n.BLIF N_33_0.BLIF N_135.BLIF BG_030_c_i.BLIF N_133.BLIF a_c_22__n.BLIF pos_clk_un6_bg_030_i_n.BLIF \ - N_190.BLIF pos_clk_un8_bg_030_0_n.BLIF N_188.BLIF a_c_23__n.BLIF N_127_i_1.BLIF N_189.BLIF N_127_i_2.BLIF N_136.BLIF a_c_24__n.BLIF \ - pos_clk_un11_ds_030_d0_i_1_n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_135_i_1.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF a_c_25__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF DSACK1_INT_0_sqmuxa.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ - a_c_26__n.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF pos_clk_un5_clk_000_pe_n.BLIF N_195_1.BLIF pos_clk_un9_clk_000_ne_n.BLIF a_c_27__n.BLIF N_196_1.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF N_131_i_1.BLIF \ - pos_clk_un27_clk_000_ne_d0_n.BLIF a_c_28__n.BLIF N_131_i_2.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_131_i_3.BLIF N_144.BLIF a_c_29__n.BLIF N_119_i_1.BLIF N_146.BLIF \ - N_119_i_2.BLIF N_143.BLIF a_c_30__n.BLIF N_121_i_1.BLIF N_147.BLIF N_123_i_1.BLIF N_139.BLIF a_c_31__n.BLIF N_123_i_2.BLIF \ - N_148.BLIF N_125_i_1.BLIF N_149.BLIF A0_c.BLIF N_129_i_1.BLIF N_150.BLIF N_162_1.BLIF N_140.BLIF A1_c.BLIF \ - N_163_1.BLIF N_151.BLIF N_165_1.BLIF N_152.BLIF nEXP_SPACE_c.BLIF N_165_2.BLIF N_153.BLIF N_165_3.BLIF N_154.BLIF \ - BERR_c.BLIF un5_ciin_1.BLIF N_155.BLIF un5_ciin_2.BLIF N_141.BLIF BG_030_c.BLIF un5_ciin_3.BLIF N_156.BLIF un5_ciin_4.BLIF \ - N_160.BLIF BG_000DFFreg.BLIF un5_ciin_5.BLIF N_142.BLIF un5_ciin_6.BLIF N_161.BLIF un5_ciin_7.BLIF N_164.BLIF BGACK_000_c.BLIF \ - un5_ciin_8.BLIF N_166.BLIF un5_ciin_9.BLIF N_132.BLIF un5_ciin_10.BLIF N_191.BLIF un5_ciin_11.BLIF N_192.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF \ - N_193.BLIF CLK_OSZI_c.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF N_194.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF N_197.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF N_198.BLIF CLK_EXP_c.BLIF \ - pos_clk_un5_clk_000_pe_1_n.BLIF N_195.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF N_196.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_162.BLIF FPU_SENSE_c.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_199.BLIF \ - pos_clk_un27_clk_000_ne_d0_2_n.BLIF N_199_1.BLIF IPL_030DFF_0_reg.BLIF pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_163.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_165.BLIF IPL_030DFF_1_reg.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ - N_73.BLIF RESET_OUT_0_sqmuxa_7_3.BLIF N_94.BLIF IPL_030DFF_2_reg.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF N_85.BLIF N_87_1.BLIF N_84.BLIF ipl_c_0__n.BLIF \ - N_87_2.BLIF N_83.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF ipl_c_1__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF G_149.BLIF N_72_0_1.BLIF G_147.BLIF \ - ipl_c_2__n.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF N_213.BLIF un21_fpu_cs_1.BLIF G_145.BLIF un22_berr_1_0.BLIF N_211.BLIF DTACK_c.BLIF N_116_1.BLIF \ - G_143.BLIF N_116_2.BLIF N_209.BLIF N_116_3.BLIF G_141.BLIF N_116_4.BLIF G_139.BLIF VPA_c.BLIF pos_clk_un6_bg_030_1_n.BLIF \ - N_205.BLIF N_115_0_1.BLIF G_137.BLIF N_245_0_1.BLIF RESET_OUT_0_sqmuxa_1.BLIF RST_c.BLIF N_247_i_1.BLIF RESET_OUT_0_sqmuxa.BLIF N_248_i_1.BLIF \ - RESET_OUT_0_sqmuxa_7.BLIF N_249_i_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF RW_c.BLIF pos_clk_ipl_1_n.BLIF un1_rst_dly_i_m_8__n.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF un1_rst_dly_i_m_7__n.BLIF fc_c_0__n.BLIF \ - amiga_bus_enable_dma_high_0_un1_n.BLIF un1_rst_dly_i_m_6__n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF un1_rst_dly_i_m_5__n.BLIF fc_c_1__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF un1_rst_dly_i_m_4__n.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF un1_rst_dly_i_m_3__n.BLIF \ - amiga_bus_enable_dma_low_0_un0_n.BLIF un1_rst_dly_i_m_2__n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF rw_000_dma_0_un3_n.BLIF N_86.BLIF rw_000_dma_0_un1_n.BLIF N_93.BLIF rw_000_dma_0_un0_n.BLIF N_87.BLIF \ - bgack_030_int_0_un3_n.BLIF G_135.BLIF bgack_030_int_0_un1_n.BLIF AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN \ - SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E VMA RESET \ - AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C \ - IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D \ - SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C \ - cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C CLK_000_N_SYNC_9_.D \ - CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ - RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ - CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C \ - CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ - CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ - CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D \ - CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ - inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D \ - inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C \ - inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D \ - inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ - inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C pos_clk_un24_bgack_030_int_i_0_x2.X1 pos_clk_un24_bgack_030_int_i_0_x2.X2 G_135.X1 \ - G_135.X2 G_149.X1 G_149.X2 G_147.X1 G_147.X2 G_145.X1 G_145.X2 G_143.X1 G_143.X2 G_141.X1 G_141.X2 \ - G_139.X1 G_139.X2 G_137.X1 G_137.X2 cpu_est_0_0_.X1 cpu_est_0_0_.X2 pos_clk_CYCLE_DMA_5_0_i_x2.X1 pos_clk_CYCLE_DMA_5_0_i_x2.X2 pos_clk_CYCLE_DMA_5_1_i_x2.X1 pos_clk_CYCLE_DMA_5_1_i_x2.X2 G_165.X1 \ - G_165.X2 G_166.X1 G_166.X2 G_167.X1 G_167.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n \ - N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 \ - ds_000_enable_0_un1_n N_256 N_13_i ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n N_91 N_12_i a0_dma_0_un1_n \ - N_92 N_43_0 a0_dma_0_un0_n pos_clk_a0_dma_3_n N_8_i size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n un1_amiga_bus_enable_low \ - N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n \ - un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n pos_clk_un5_bgack_030_int_d_i_n \ - un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n \ - N_252_0 cpu_est_0_1__un1_n un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ - pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 N_96_i cpu_est_0_2__un0_n DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n un22_berr_1 N_89_i \ - cpu_est_0_3__un1_n N_8 pos_clk_size_dma_6_0_0__n cpu_est_0_3__un0_n N_12 N_88_i ipl_030_0_0__un3_n N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n N_16 \ - N_138_0 ipl_030_0_0__un0_n N_20 un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n N_21 ipl_030_0_1__un1_n N_11 N_157_i ipl_030_0_1__un0_n un1_amiga_bus_enable_low_i \ - N_158_i ipl_030_0_2__un3_n un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n BGACK_030_INT_i ipl_030_0_2__un0_n AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n AS_030_i \ - un1_as_000_i dsack1_int_0_un1_n AS_000_INT_i N_93_i dsack1_int_0_un0_n N_105_i as_000_int_0_un3_n N_91_i N_87_i as_000_int_0_un1_n N_92_i \ - N_86_i as_000_int_0_un0_n a_i_19__n AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n a_i_18__n un1_rst_dly_i_m_i_2__n lds_000_int_0_un1_n a_i_16__n lds_000_int_0_un0_n size_dma_i_1__n \ - un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n size_dma_i_0__n rw_000_int_0_un1_n FPU_SENSE_i un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n CLK_000_D1_i uds_000_int_0_un3_n CLK_000_D0_i un1_rst_dly_i_m_i_5__n \ - uds_000_int_0_un1_n AS_030_000_SYNC_i uds_000_int_0_un0_n AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n sm_amiga_i_i_7__n vma_int_0_un1_n AS_000_i un1_rst_dly_i_m_i_7__n vma_int_0_un0_n \ - CLK_000_PE_i bg_000_0_un3_n nEXP_SPACE_D0_i un1_rst_dly_i_m_i_8__n bg_000_0_un1_n A1_i bg_000_0_un0_n sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n pos_clk_un6_bg_030_n \ - BERR_i un1_as_030_i ds_000_dma_0_un1_n sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n RESET_OUT_0_sqmuxa_i pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n \ - as_000_dma_0_un1_n RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i \ - pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n un1_rst_dly_i_4__n N_84_i un1_rst_dly_i_5__n N_245_0 a_13__n un1_rst_dly_i_6__n N_85_i \ - un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n un1_rst_dly_i_8__n N_94_i CLK_OUT_PRE_D_i a_11__n pos_clk_un11_clk_000_n_sync_i_n CLK_030_H_i a_10__n AS_000_DMA_i \ - N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n VPA_D_i N_160_i cpu_est_i_0__n N_161_i a_8__n cpu_est_i_1__n cpu_est_i_3__n \ - N_155_i a_7__n a_i_24__n N_156_i pos_clk_un7_clk_000_d0_i_n a_6__n sm_amiga_i_0__n N_154_i sm_amiga_i_3__n N_152_i a_5__n \ - CLK_000_NE_i N_153_i cpu_est_i_2__n a_4__n sm_amiga_i_1__n N_150_i sm_amiga_i_4__n N_151_i a_3__n sm_amiga_i_2__n pos_clk_un29_clk_000_ne_d0_i_n \ - N_149_i a_2__n DSACK1_INT_0_sqmuxa_i N_147_i RW_i N_148_i un5_ciin_i DTACK_D0_i N_164_i a_i_31__n N_165_i \ - a_i_29__n N_163_i a_i_30__n N_199_i a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n a_i_28__n N_197_i a_i_25__n N_198_i \ - DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i UDS_000_INT_i pos_clk_cpu_est_11_0_1__n LDS_000_INT_i N_192_i DS_030_i N_135_i N_224_i N_162_i \ - N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 DS_000_ENABLE_1_sqmuxa_i \ - N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 \ - N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i \ - N_139_0 N_19 AS_030_c N_166_i N_22 N_137_i N_23 AS_000_c N_136_i N_24 N_133_i \ - N_25 RW_000_c N_132_i N_146_i DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n \ - pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 \ - N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 DTACK_c_i \ - N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_115 N_50_0 pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 \ - N_220 N_6_i N_48_0 N_9_i N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n a_c_16__n N_44_0 N_244 \ - N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n N_40_0 N_90 N_17_i N_100 \ - a_c_19__n N_38_0 N_105 N_19_i pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 N_22_i a_c_21__n N_33_0 N_135 \ - BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 \ - N_136 a_c_24__n pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ - a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 pos_clk_un27_clk_000_ne_d0_n a_c_28__n \ - N_131_i_2 pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 N_143 a_c_30__n N_121_i_1 \ - N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 \ - N_162_1 N_140 A1_c N_163_1 N_151 N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 \ - N_154 BERR_c un5_ciin_1 N_155 un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 \ - un5_ciin_5 N_142 un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 N_132 \ - un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ - pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c pos_clk_un27_clk_000_ne_d0_1_n \ - N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 \ - RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n \ - N_72_0_1 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 un22_berr_1_0 N_211 DTACK_c N_116_1 N_116_2 N_209 \ - N_116_3 N_116_4 VPA_c pos_clk_un6_bg_030_1_n N_205 N_115_0_1 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 RESET_OUT_0_sqmuxa \ - N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n \ - un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ - rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 bgack_030_int_0_un3_n bgack_030_int_0_un1_n AS_030.OE \ - AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE \ - RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE -.names un6_as_030_i.BLIF AS_030 -1 1 -.names AS_030.PIN AS_030_c -1 1 -.names un3_as_030_i.BLIF AS_030.OE -1 1 -.names un4_as_000_i.BLIF AS_000 -1 1 -.names AS_000.PIN AS_000_c -1 1 -.names un1_as_000_i.BLIF AS_000.OE -1 1 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -.names RW_000.PIN RW_000_c -1 1 -.names un1_as_000_i.BLIF RW_000.OE -1 1 -.names un6_ds_030_i.BLIF DS_030 -1 1 -.names DS_030.PIN DS_030_c -1 1 -.names un3_as_030_i.BLIF DS_030.OE -1 1 -.names un6_uds_000_i.BLIF UDS_000 -1 1 -.names UDS_000.PIN UDS_000_c -1 1 -.names un1_as_000_i.BLIF UDS_000.OE -1 1 -.names un6_lds_000_i.BLIF LDS_000 -1 1 -.names LDS_000.PIN LDS_000_c -1 1 -.names un1_as_000_i.BLIF LDS_000.OE -1 1 -.names un4_size.BLIF SIZE_0_ -1 1 -.names SIZE_0_.PIN size_c_0__n -1 1 -.names un1_as_030_i.BLIF SIZE_0_.OE -1 1 -.names un3_size.BLIF SIZE_1_ -1 1 -.names SIZE_1_.PIN size_c_1__n -1 1 -.names un1_as_030_i.BLIF SIZE_1_.OE -1 1 -.names inst_A0_DMA.BLIF A0 -1 1 -.names A0.PIN A0_c -1 1 -.names un3_as_030_i.BLIF A0.OE -1 1 -.names gnd_n_n.BLIF BERR -1 1 -.names BERR.PIN BERR_c -1 1 -.names un22_berr.BLIF BERR.OE -1 1 -.names inst_RW_000_DMA.BLIF RW -1 1 -.names RW.PIN RW_c -1 1 -.names N_100.BLIF RW.OE -1 1 -.names gnd_n_n.BLIF CLK_DIV_OUT -1 1 -.names gnd_n_n.BLIF CLK_DIV_OUT.OE -1 1 -.names inst_DSACK1_INTreg.BLIF DSACK1 -1 1 -.names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE -1 1 -.names un5_ciin.BLIF CIIN -1 1 -.names un8_ciin_i.BLIF CIIN.OE -1 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names N_252.BLIF size_dma_0_0__un3_n -0 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 -11 1 -.names pos_clk_size_dma_6_0__n.BLIF N_252.BLIF size_dma_0_0__un1_n -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i -0 1 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D -1- 1 --1 1 -.names N_115.BLIF rw_000_int_0_un3_n -0 1 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_115.BLIF rw_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 -11 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_15 -1- 1 --1 1 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n -0 1 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_17 -1- 1 --1 1 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n -11 1 -.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_76_i -11 1 -.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D -11 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n -0 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -.names N_154_i.BLIF RST_c.BLIF N_123_i_2 -11 1 -.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n -11 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n -11 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D -1 1 -.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 -11 1 -.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_80 -1- 1 --1 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -.names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 -11 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF N_105 -11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -.names N_135.BLIF BERR_i.BLIF N_162_1 -11 1 -.names N_105.BLIF un1_SM_AMIGA_7.BLIF DS_000_ENABLE_1_sqmuxa_1 -11 1 -.names N_17_i.BLIF RST_c.BLIF N_38_0 -11 1 -.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names N_133.BLIF BERR_i.BLIF N_163_1 -11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n -11 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -.names N_133_i.BLIF N_135_i.BLIF N_165_1 -11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names N_9_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names inst_DS_030_D0.BLIF DS_030_D0_i -0 1 -.names N_165_1.BLIF N_165_2.BLIF N_165_3 -11 1 -.names A1_c.BLIF A1_i -0 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n -11 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_cpu_est_11_0_1__n -11 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_92 -11 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n -11 1 -.names N_72.BLIF N_105.BLIF N_96 -11 1 -.names un8_ciin.BLIF un8_ciin_i -0 1 -.names N_188.BLIF cpu_est_0_.BLIF N_195_1 -11 1 -.names N_105.BLIF N_116.BLIF N_97 -11 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_104 -11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 -11 1 -.names N_88_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 -11 1 -.names N_89_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names N_137_i.BLIF N_162_i.BLIF N_131_i_1 -11 1 -.names N_96_i.BLIF N_97_i.BLIF N_250_0 -11 1 -.names un6_uds_000.BLIF un6_uds_000_i -0 1 -.names N_163_i.BLIF N_164_i.BLIF N_131_i_2 -11 1 -.names BGACK_000_c.BLIF N_76.BLIF pos_clk_un6_bgack_000_0_n -11 1 -.names un6_lds_000.BLIF un6_lds_000_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 -11 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_251_0 -11 1 -.names N_245.BLIF ds_000_dma_0_un3_n -0 1 -.names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D -11 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_252_0 -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_245.BLIF ds_000_dma_0_un1_n -11 1 -.names N_147_i.BLIF N_148_i.BLIF N_119_i_1 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names N_149_i.BLIF RST_c.BLIF N_119_i_2 -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF pos_clk_un5_bgack_030_int_d_i_n -11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -.names N_119_i_1.BLIF N_119_i_2.BLIF SM_AMIGA_1_.D -11 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_256_i -11 1 -.names N_244.BLIF as_000_dma_0_un3_n -0 1 -.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_244.BLIF as_000_dma_0_un1_n -11 1 -.names N_36_0.BLIF inst_VMA_INTreg.D -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 -11 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names N_22.BLIF N_22_i -0 1 -.names BERR_c.BLIF BERR_i -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -.names N_33_0.BLIF BG_000DFFreg.D -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 -11 1 -.names vcc_n_n -1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names gnd_n_n -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 -11 1 -.names A_15_.BLIF a_15__n -1 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 -.names A_14_.BLIF a_14__n -1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 -11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 -11 1 -.names A_13_.BLIF a_13__n -1 1 -.names N_159_i.BLIF RST_c.BLIF N_127_i_2 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 -11 1 -.names A_12_.BLIF a_12__n -1 1 -.names N_127_i_1.BLIF N_127_i_2.BLIF SM_AMIGA_5_.D -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 -11 1 -.names A_11_.BLIF a_11__n -1 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names A_10_.BLIF a_10__n -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -.names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un11_ds_030_d0_i_n -11 1 -.names BGACK_030_INT_i.BLIF N_256_i.BLIF N_88 -11 1 -.names A_9_.BLIF a_9__n -1 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 -11 1 -.names BGACK_030_INT_i.BLIF N_256.BLIF N_89 -11 1 -.names A_8_.BLIF a_8__n -1 1 -.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i -11 1 -.names AS_030_i.BLIF RST_c.BLIF N_90 -11 1 -.names A_7_.BLIF a_7__n -1 1 -.names N_191_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n -11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_91 -11 1 -.names A_6_.BLIF a_6__n -1 1 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C -1 1 -.names N_193_i.BLIF N_194_i.BLIF pos_clk_cpu_est_11_0_2_1__n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names A_5_.BLIF a_5__n -1 1 -.names N_3.BLIF N_3_i -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_86 -11 1 -.names A_4_.BLIF a_4__n -1 1 -.names N_49_0.BLIF inst_DS_000_DMA.D -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_93 -11 1 -.names A_3_.BLIF a_3__n -1 1 -.names N_6.BLIF N_6_i -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_100 -11 1 -.names A_2_.BLIF a_2__n -1 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -.names N_48_0.BLIF inst_AS_000_DMA.D -0 1 -.names N_86_i.BLIF N_87_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names N_9.BLIF N_9_i -0 1 -.names N_93_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names N_45_0.BLIF inst_DSACK1_INTreg.D -0 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names N_10.BLIF N_10_i -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i -11 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -.names N_44_0.BLIF inst_AS_000_INT.D -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_257_0 -11 1 -.names N_14.BLIF N_14_i -0 1 -.names N_41_0.BLIF inst_LDS_000_INT.D -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_1__n.BLIF RST_DLY_0_.D -11 1 -.names N_15.BLIF N_15_i -0 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -.names N_40_0.BLIF inst_RW_000_INT.D -0 1 -.names G_135.BLIF un1_rst_dly_i_1__n -0 1 -.names N_17.BLIF N_17_i -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_1__n.BLIF un1_rst_dly_i_m_1__n -11 1 -.names N_38_0.BLIF inst_UDS_000_INT.D -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 -11 1 -.names N_19.BLIF N_19_i -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_6__n.BLIF RST_DLY_5_.D -11 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -.names N_23.BLIF N_23_i -0 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names N_30_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_5__n.BLIF RST_DLY_4_.D -11 1 -.names N_54_0.BLIF IPL_D0_2_.D -0 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_4__n.BLIF RST_DLY_3_.D -11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_2_.D -11 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i -0 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names N_50_0.BLIF inst_DS_030_D0.D -0 1 -.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i -11 1 -.names N_146.BLIF N_146_i -0 1 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C -1 1 -.names N_144.BLIF N_144_i -0 1 -.names un1_SM_AMIGA_7_i.BLIF un1_SM_AMIGA_7 -0 1 -.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n -0 1 -.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n -0 1 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n -0 1 -.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n -0 1 -.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n -0 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -.names A0_c.BLIF A0_c_i -0 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n -11 1 -.names N_25.BLIF N_25_i -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_7__n.BLIF RST_DLY_6_.D -11 1 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -.names N_24.BLIF N_24_i -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -.names N_31_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names N_85_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF pos_clk_ds_000_dma_4_0_n -11 1 -.names N_193.BLIF N_193_i -0 1 -.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_244_0 -11 1 -.names N_190_i.BLIF N_190 -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names CLK_OSZI_c.BLIF inst_DS_030_D0.C -1 1 -.names N_189_i.BLIF N_189 -0 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -.names N_188_i.BLIF N_188 -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names N_195.BLIF N_195_i -0 1 -.names CLK_030_H_i.BLIF N_73.BLIF N_94 -11 1 -.names N_196.BLIF N_196_i -0 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_85 -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 -.names N_143_0.BLIF N_143 -0 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_84 -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -.names N_142_0.BLIF N_142 -0 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_83 -11 1 -.names N_141_0.BLIF N_141 -0 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n -11 1 -.names N_140_0.BLIF N_140 -0 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n -0 1 -.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C -1 1 -.names N_139_0.BLIF N_139 -0 1 -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un9_clk_000_n_sync_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -.names N_166.BLIF N_166_i -0 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names N_136_i.BLIF N_136 -0 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names N_133_i.BLIF N_133 -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i -11 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -.names N_132_i.BLIF N_132 -0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -.names N_147.BLIF N_147_i -0 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_148.BLIF N_148_i -0 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_164.BLIF N_164_i -0 1 -.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n -0 1 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -.names N_165.BLIF N_165_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names N_163.BLIF N_163_i -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names N_199.BLIF N_199_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C -1 1 -.names N_197.BLIF N_197_i -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -.names N_198.BLIF N_198_i -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_194.BLIF N_194_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n -0 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -.names N_192.BLIF N_192_i -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -.names N_135_i.BLIF N_135 -0 1 -.names N_162.BLIF N_162_i -0 1 -.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_73_0 -11 1 -.names N_191.BLIF N_191_i -0 1 -.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -.names N_245_0.BLIF N_245 -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -.names N_85.BLIF N_85_i -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_143_0 -11 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 -11 1 -.names N_94.BLIF N_94_i -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 -11 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names N_73_0.BLIF N_73 -0 1 -.names N_189_i.BLIF cpu_est_0_.BLIF N_193 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -.names N_160.BLIF N_160_i -0 1 -.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 -11 1 -.names N_161.BLIF N_161_i -0 1 -.names N_190.BLIF cpu_est_3_reg.BLIF N_197 -11 1 -.names N_155.BLIF N_155_i -0 1 -.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 -11 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -.names N_156.BLIF N_156_i -0 1 -.names N_195_i.BLIF N_196_i.BLIF N_186_i -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -.names N_154.BLIF N_154_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i -11 1 -.names N_152.BLIF N_152_i -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i -11 1 -.names N_153.BLIF N_153_i -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i -11 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -.names N_150.BLIF N_150_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -.names N_151.BLIF N_151_i -0 1 -.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 -11 1 -.names N_149.BLIF N_149_i -0 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 -11 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C -1 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n -0 1 -.names BERR_i.BLIF N_136_i.BLIF N_164 -11 1 -.names A_16_.BLIF a_c_16__n -1 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n -0 1 -.names N_132.BLIF SM_AMIGA_0_.BLIF N_166 -11 1 -.names A_17_.BLIF a_c_17__n -1 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names N_137_i.BLIF N_146_i.BLIF SM_AMIGA_0_.D -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -.names A_18_.BLIF a_c_18__n -1 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i -11 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -.names A_19_.BLIF a_c_19__n -1 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n -0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i -11 1 -.names A_20_.BLIF a_c_20__n -1 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i -11 1 -.names A_21_.BLIF a_c_21__n -1 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n -0 1 -.names N_166_i.BLIF RST_c.BLIF N_137_i -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -.names A_22_.BLIF a_c_22__n -1 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_139_0 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -.names A_23_.BLIF a_c_23__n -1 1 -.names CLK_EXP_c.BLIF CLK_EXP_c_i -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names A_24_.BLIF a_c_24__n -1 1 -.names N_244_0.BLIF N_244 -0 1 -.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 -11 1 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -.names A_25_.BLIF a_c_25__n -1 1 -.names N_83.BLIF N_83_i -0 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names A_26_.BLIF a_c_26__n -1 1 -.names N_115_0.BLIF N_115 -0 1 -.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -.names A_27_.BLIF a_c_27__n -1 1 -.names N_84.BLIF N_84_i -0 1 -.names N_105.BLIF sm_amiga_i_5__n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names A_28_.BLIF a_c_28__n -1 1 -.names N_250_0.BLIF N_250 -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 -.names A_29_.BLIF a_c_29__n -1 1 -.names N_89.BLIF N_89_i -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF N_105.BLIF DSACK1_INT_1_sqmuxa -11 1 -.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D -1 1 -.names A_30_.BLIF a_c_30__n -1 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names sm_amiga_i_3__n.BLIF sm_amiga_i_5__n.BLIF N_144 -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C -1 1 -.names A_31_.BLIF a_c_31__n -1 1 -.names N_88.BLIF N_88_i -0 1 -.names N_143.BLIF sm_amiga_i_0__n.BLIF N_146 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C -1 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_139.BLIF sm_amiga_i_2__n.BLIF N_147 -11 1 -.names A1.BLIF A1_c -1 1 -.names N_138_0.BLIF N_138 -0 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF N_148 -11 1 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -.names un1_rst_dly_i_m_1__n.BLIF un1_rst_dly_i_m_i_1__n -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_1__n.BLIF N_149 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D -1 1 -.names N_157.BLIF N_157_i -0 1 -.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 -11 1 -.names BG_030.BLIF BG_030_c -1 1 -.names N_158.BLIF N_158_i -0 1 -.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -.names BG_000DFFreg.BLIF BG_000 -1 1 -.names N_159.BLIF N_159_i -0 1 -.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 -11 1 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -.names N_257_0.BLIF inst_BGACK_030_INT_D.D -0 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 -11 1 -.names BGACK_000.BLIF BGACK_000_c -1 1 -.names N_93.BLIF N_93_i -0 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -.names CLK_030.BLIF CLK_EXP_c -1 1 -.names N_87.BLIF N_87_i -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -.names N_86.BLIF N_86_i -0 1 -.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 -11 1 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -.names N_8.BLIF N_8_i -0 1 -.names CYCLE_DMA_0_.BLIF pos_clk_un24_bgack_030_int_i_0_x2.X1 -1 1 -.names CLK_EXP_c.BLIF CLK_EXP -1 1 -.names N_46_0.BLIF inst_A0_DMA.D -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -.names N_76_i.BLIF N_76 -0 1 -.names CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2.X2 -1 1 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -.names N_72_0.BLIF N_72 -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -.names UDS_000_c.BLIF UDS_000_c_i -0 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n -11 1 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -.names LDS_000_c.BLIF LDS_000_c_i -0 1 -.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C -1 1 -.names inst_CLK_000_NE.BLIF G_135.X1 -1 1 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ -1 1 -.names N_256_i.BLIF N_256 -0 1 -.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF pos_clk_un23_clk_000_ne_d0_0_n -11 1 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names RST_DLY_0_.BLIF G_135.X2 -1 1 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -.names pos_clk_un24_bgack_030_int_i_0_0_n.BLIF pos_clk_un24_bgack_030_int_i_0_n -0 1 -.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF un8_ciin -11 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -.names N_104.BLIF N_104_i -0 1 -.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_n -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_1_.C -1 1 -.names N_252_0.BLIF N_252 -0 1 -.names RW_c.BLIF RW_i -0 1 -.names RESET_OUT_0_sqmuxa_7.BLIF G_149.X1 -1 1 -.names DTACK.BLIF DTACK_c -1 1 -.names N_251_0.BLIF N_251 -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa -11 1 -.names vcc_n_n.BLIF AVEC -1 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names RST_DLY_7_.BLIF G_149.X2 -1 1 -.names cpu_est_3_reg.BLIF E -1 1 -.names N_97.BLIF N_97_i -0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_144_i.BLIF un1_SM_AMIGA_7_i -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_2_.C -1 1 -.names VPA.BLIF VPA_c -1 1 -.names N_96.BLIF N_96_i -0 1 -.names G_165.BLIF N_224_i -0 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names N_21.BLIF N_21_i -0 1 -.names G_166.BLIF N_225_i -0 1 -.names N_213.BLIF G_147.X1 -1 1 -.names RST.BLIF RST_c -1 1 -.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D -0 1 -.names G_167.BLIF N_226_i -0 1 -.names inst_RESET_OUTreg.BLIF RESET -1 1 -.names N_20.BLIF N_20_i -0 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_3_.C -1 1 -.names RST_DLY_6_.BLIF G_147.X2 -1 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names N_16.BLIF N_16_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names N_39_0.BLIF inst_RW_000_DMA.D -0 1 -.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 -11 1 -.names N_211.BLIF G_145.X1 -1 1 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -.names N_13.BLIF N_13_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_4_.C -1 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names N_42_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 -11 1 -.names RST_DLY_5_.BLIF G_145.X2 -1 1 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names N_12.BLIF N_12_i -0 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names N_80.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -.names N_43_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_5_.C -1 1 -.names N_209.BLIF G_143.X1 -1 1 -.names N_248_i_1.BLIF N_257_0.BLIF CYCLE_DMA_1_.D -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_249_i_1 -11 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low -11 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names RST_DLY_4_.BLIF G_143.X2 -1 1 -.names N_249_i_1.BLIF N_257_0.BLIF CYCLE_DMA_0_.D -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_6_.C -1 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n -11 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF G_141.X1 -1 1 -.names un22_berr_1_0.BLIF N_116.BLIF un22_berr -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_90.BLIF inst_AS_030_D0.D -0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 -11 1 -.names N_92.BLIF N_92_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names RST_DLY_3_.BLIF G_141.X2 -1 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_7_.C -1 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 -11 1 -.names N_92_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un1_n -11 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_116_1.BLIF N_116_2.BLIF N_116_4 -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names N_205.BLIF G_139.X1 -1 1 -.names N_116_4.BLIF N_116_3.BLIF N_116 -11 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names N_91.BLIF N_91_i -0 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n -11 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -.names RST_DLY_2_.BLIF G_139.X2 -1 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names N_83_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 -11 1 -.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un1_n -11 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n -0 1 -.names RESET_OUT_0_sqmuxa_1.BLIF G_137.X1 -1 1 -.names N_84_i.BLIF RW_000_i.BLIF N_245_0_1 -11 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C -1 1 -.names N_245_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_245_0 -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names RST_DLY_1_.BLIF G_137.X2 -1 1 -.names N_94_i.BLIF RST_c.BLIF N_247_i_1 -11 1 -.names N_251.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n -11 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D -1- 1 --1 1 -.names N_247_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D -11 1 -.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_248_i_1 -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_16 -1- 1 --1 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_0_.X1 -1 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names DS_030_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 -11 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 -11 1 -.names cpu_est_0_.BLIF cpu_est_0_0_.X2 -1 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_87_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 -11 1 -.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_87_2 -11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_13 -1- 1 --1 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 -11 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -.names N_87_1.BLIF N_87_2.BLIF N_87 -11 1 -.names N_105.BLIF N_105_i -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X1 -1 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n -11 1 -.names N_250.BLIF as_030_000_sync_0_un3_n -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_104_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_2_n -11 1 -.names inst_AS_030_000_SYNC.BLIF N_250.BLIF as_030_000_sync_0_un1_n -11 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names CYCLE_DMA_0_.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X2 -1 1 -.names pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n -11 1 -.names N_105_i.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names N_23_i.BLIF RST_c.BLIF N_30_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_72_0_1 -11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 -1- 1 --1 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names N_72_0_1.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_72_0 -11 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names N_25_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X1 -1 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF pos_clk_un7_clk_000_d0_1_n -11 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un1_n -11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF pos_clk_un7_clk_000_d0_n -11 1 -.names un1_SM_AMIGA_7_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -.names N_220.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X2 -1 1 -.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 -11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 -1- 1 --1 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n -0 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 -1- 1 --1 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 -11 1 -.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un1_n -11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 -.names IPL_D0_0_.BLIF G_165.X1 -1 1 -.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n -11 1 -.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF pos_clk_un9_clk_000_ne_4_n -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names ipl_c_0__n.BLIF G_165.X2 -1 1 -.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF pos_clk_un9_clk_000_ne_n -11 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 -1- 1 --1 1 -.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -.names IPL_D0_1_.BLIF G_166.X1 -1 1 -.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF pos_clk_un5_clk_000_pe_3_n -11 1 -.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 -11 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF pos_clk_un5_clk_000_pe_n -11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 -1- 1 --1 1 -.names ipl_c_1__n.BLIF G_166.X2 -1 1 -.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n -0 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names inst_DSACK1_INTreg.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C -1 1 -.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF pos_clk_un27_clk_000_ne_d0_3_n -11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names IPL_D0_2_.BLIF G_167.X1 -1 1 -.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF pos_clk_un27_clk_000_ne_d0_n -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D -1 1 -.names ipl_c_2__n.BLIF G_167.X2 -1 1 -.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 -11 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF RESET_OUT_0_sqmuxa_7_3 -11 1 -.names N_252.BLIF size_dma_0_1__un3_n -0 1 -.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF N_252.BLIF size_dma_0_1__un1_n -11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names cpu_est_0_0_.BLIF cpu_est_0_.D -1 1 -.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 -11 1 -.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n -0 1 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D -1- 1 --1 1 -.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un1_n -11 1 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -.end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 deleted file mode 100644 index a36a727..0000000 --- a/Logic/BUS68030.bl1 +++ /dev/null @@ -1,2456 +0,0 @@ -#$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Sep 24 16:20:59 2015 -#$ MODULE bus68030 -#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ -# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 \ -# A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ \ -# BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT \ -# IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST \ -# RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ -#$ NODES 695 N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n \ -# N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n N_35_0 \ -# as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 \ -# ds_000_enable_0_un1_n inst_BGACK_030_INTreg N_256 N_13_i ds_000_enable_0_un0_n \ -# vcc_n_n N_89 N_42_0 a0_dma_0_un3_n cpu_est_3_reg N_91 N_12_i a0_dma_0_un1_n \ -# inst_VMA_INTreg N_92 N_43_0 a0_dma_0_un0_n inst_RESET_OUTreg pos_clk_a0_dma_3_n \ -# N_8_i size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ -# un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 \ -# size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n un4_size N_104 \ -# LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ -# pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ -# un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ -# cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 cpu_est_0_1__un1_n \ -# un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ -# pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ -# pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 \ -# pos_clk_un24_bgack_030_int_i_0_x2 N_96_i cpu_est_0_2__un0_n cpu_est_0_ \ -# DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n cpu_est_1_ un22_berr_1 N_89_i \ -# cpu_est_0_3__un1_n inst_AS_000_INT N_8 pos_clk_size_dma_6_0_0__n \ -# cpu_est_0_3__un0_n SM_AMIGA_5_ N_12 N_88_i ipl_030_0_0__un3_n \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n \ -# inst_AS_030_D0 N_16 N_138_0 ipl_030_0_0__un0_n inst_nEXP_SPACE_D0reg N_20 \ -# un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n inst_DS_030_D0 N_21 ipl_030_0_1__un1_n \ -# inst_AS_030_000_SYNC N_11 N_157_i ipl_030_0_1__un0_n inst_BGACK_030_INT_D \ -# un1_amiga_bus_enable_low_i N_158_i ipl_030_0_2__un3_n inst_AS_000_DMA \ -# un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n inst_DS_000_DMA BGACK_030_INT_i \ -# ipl_030_0_2__un0_n CYCLE_DMA_0_ AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 \ -# dsack1_int_0_un3_n CYCLE_DMA_1_ AS_030_i un1_as_000_i dsack1_int_0_un1_n \ -# SIZE_DMA_0_ AS_000_INT_i N_93_i dsack1_int_0_un0_n SIZE_DMA_1_ N_105_i \ -# as_000_int_0_un3_n inst_VPA_D N_91_i N_87_i as_000_int_0_un1_n inst_UDS_000_INT \ -# N_92_i N_86_i as_000_int_0_un0_n inst_LDS_000_INT a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ -# lds_000_int_0_un3_n inst_CLK_OUT_PRE_D a_i_18__n un1_rst_dly_i_m_i_2__n \ -# lds_000_int_0_un1_n inst_DTACK_D0 a_i_16__n lds_000_int_0_un0_n \ -# inst_CLK_OUT_PRE_50 size_dma_i_1__n un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n \ -# inst_CLK_000_D1 size_dma_i_0__n rw_000_int_0_un1_n inst_CLK_000_D0 FPU_SENSE_i \ -# un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n inst_CLK_000_PE CLK_000_D1_i \ -# uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_000_D0_i un1_rst_dly_i_m_i_5__n \ -# uds_000_int_0_un1_n inst_CLK_000_NE AS_030_000_SYNC_i uds_000_int_0_un0_n \ -# CLK_000_N_SYNC_11_ AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n cpu_est_2_ \ -# sm_amiga_i_i_7__n vma_int_0_un1_n IPL_D0_0_ AS_000_i un1_rst_dly_i_m_i_7__n \ -# vma_int_0_un0_n IPL_D0_1_ CLK_000_PE_i bg_000_0_un3_n IPL_D0_2_ nEXP_SPACE_D0_i \ -# un1_rst_dly_i_m_i_8__n bg_000_0_un1_n SM_AMIGA_3_ A1_i bg_000_0_un0_n \ -# inst_CLK_000_NE_D0 sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n \ -# pos_clk_un6_bg_030_n BERR_i un1_as_030_i ds_000_dma_0_un1_n SM_AMIGA_0_ \ -# sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ -# inst_AMIGA_BUS_ENABLE_DMA_HIGH RESET_OUT_0_sqmuxa_i \ -# pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n inst_DSACK1_INTreg \ -# un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n RESET_OUT_i \ -# CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n RW_000_i N_244_0 \ -# a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i pos_clk_un3_ds_030_d0_n \ -# un1_rst_dly_i_3__n N_115_0 a_14__n SM_AMIGA_6_ un1_rst_dly_i_4__n N_84_i RST_DLY_0_ \ -# un1_rst_dly_i_5__n N_245_0 a_13__n RST_DLY_1_ un1_rst_dly_i_6__n N_85_i RST_DLY_2_ \ -# un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n RST_DLY_3_ un1_rst_dly_i_8__n \ -# N_94_i RST_DLY_4_ CLK_OUT_PRE_D_i a_11__n RST_DLY_5_ \ -# pos_clk_un11_clk_000_n_sync_i_n RST_DLY_6_ CLK_030_H_i a_10__n RST_DLY_7_ \ -# AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n CLK_000_P_SYNC_0_ VPA_D_i \ -# N_160_i CLK_000_P_SYNC_1_ cpu_est_i_0__n N_161_i a_8__n CLK_000_P_SYNC_2_ \ -# cpu_est_i_1__n CLK_000_P_SYNC_3_ cpu_est_i_3__n N_155_i a_7__n CLK_000_P_SYNC_4_ \ -# a_i_24__n N_156_i CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_d0_i_n a_6__n \ -# CLK_000_P_SYNC_6_ sm_amiga_i_0__n N_154_i CLK_000_P_SYNC_7_ sm_amiga_i_3__n N_152_i \ -# a_5__n CLK_000_P_SYNC_8_ CLK_000_NE_i N_153_i CLK_000_N_SYNC_0_ cpu_est_i_2__n \ -# a_4__n CLK_000_N_SYNC_1_ sm_amiga_i_1__n N_150_i CLK_000_N_SYNC_2_ sm_amiga_i_4__n \ -# N_151_i a_3__n CLK_000_N_SYNC_3_ sm_amiga_i_2__n CLK_000_N_SYNC_4_ \ -# pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n CLK_000_N_SYNC_5_ \ -# DSACK1_INT_0_sqmuxa_i N_147_i CLK_000_N_SYNC_6_ RW_i N_148_i CLK_000_N_SYNC_7_ \ -# un5_ciin_i CLK_000_N_SYNC_8_ DTACK_D0_i N_164_i CLK_000_N_SYNC_9_ a_i_31__n N_165_i \ -# CLK_000_N_SYNC_10_ a_i_29__n N_163_i inst_RW_000_INT a_i_30__n N_199_i \ -# inst_RW_000_DMA a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ -# a_i_28__n N_197_i inst_A0_DMA a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n \ -# N_194_i inst_CLK_030_H UDS_000_INT_i pos_clk_cpu_est_11_0_1__n SM_AMIGA_1_ \ -# LDS_000_INT_i N_192_i SM_AMIGA_4_ DS_030_i N_135_i SM_AMIGA_2_ N_224_i N_162_i \ -# inst_DS_000_ENABLE N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ -# DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ -# DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 \ -# un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i \ -# N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 N_19 AS_030_c N_166_i N_22 \ -# N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 RW_000_c N_132_i cpu_est_0_0_ N_146_i \ -# DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n \ -# LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n pos_clk_un23_clk_000_ne_d0_0_n \ -# size_c_0__n pos_clk_un5_clk_000_pe_i_n pos_clk_un9_clk_000_ne_i_n size_c_1__n \ -# pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i \ -# N_32_0 N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n \ -# N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i SM_AMIGA_i_7_ N_55_0 N_115 N_50_0 \ -# pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i G_165 N_48_0 \ -# G_166 N_9_i G_167 N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ -# a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n N_40_0 N_90 \ -# N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i pos_clk_un11_clk_000_n_sync_n a_c_20__n \ -# N_36_0 pos_clk_CYCLE_DMA_5_1_i_x2 N_22_i pos_clk_CYCLE_DMA_5_0_i_x2 a_c_21__n \ -# N_33_0 N_135 BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 \ -# pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ -# pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ -# pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ -# DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ -# a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ -# pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 \ -# pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 pos_clk_un14_clk_000_n_sync_n \ -# N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 \ -# N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 \ -# N_140 A1_c N_163_1 N_151 N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c \ -# un5_ciin_1 N_155 un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 \ -# BG_000DFFreg un5_ciin_5 N_142 un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 \ -# N_166 un5_ciin_9 N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n \ -# N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ -# pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ -# pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ -# pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ -# IPL_030DFF_0_reg pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ -# IPL_030DFF_1_reg RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 \ -# IPL_030DFF_2_reg RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 \ -# pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n \ -# pos_clk_un24_bgack_030_int_i_0_0_2_n G_149 N_72_0_1 G_147 ipl_c_2__n \ -# pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 G_145 un22_berr_1_0 N_211 DTACK_c \ -# N_116_1 G_143 N_116_2 N_209 N_116_3 G_141 N_116_4 G_139 VPA_c pos_clk_un6_bg_030_1_n \ -# N_205 N_115_0_1 G_137 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 \ -# RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 \ -# RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n \ -# un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n \ -# un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n \ -# fc_c_1__n amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ -# amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ -# amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ -# rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ -# bgack_030_int_0_un3_n G_135 bgack_030_int_0_un1_n -.model bus68030 -.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ -BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ -DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ -A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ -A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ -A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ -SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF \ -LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_159.BLIF N_21_i.BLIF \ -bgack_030_int_0_un0_n.BLIF N_157.BLIF N_34_0.BLIF as_030_000_sync_0_un3_n.BLIF \ -N_158.BLIF N_20_i.BLIF as_030_000_sync_0_un1_n.BLIF un1_rst_dly_i_m_1__n.BLIF \ -N_35_0.BLIF as_030_000_sync_0_un0_n.BLIF N_138.BLIF N_16_i.BLIF \ -ds_000_enable_0_un3_n.BLIF N_88.BLIF N_39_0.BLIF ds_000_enable_0_un1_n.BLIF \ -inst_BGACK_030_INTreg.BLIF N_256.BLIF N_13_i.BLIF ds_000_enable_0_un0_n.BLIF \ -vcc_n_n.BLIF N_89.BLIF N_42_0.BLIF a0_dma_0_un3_n.BLIF cpu_est_3_reg.BLIF \ -N_91.BLIF N_12_i.BLIF a0_dma_0_un1_n.BLIF inst_VMA_INTreg.BLIF N_92.BLIF \ -N_43_0.BLIF a0_dma_0_un0_n.BLIF inst_RESET_OUTreg.BLIF pos_clk_a0_dma_3_n.BLIF \ -N_8_i.BLIF size_dma_0_1__un3_n.BLIF gnd_n_n.BLIF N_96.BLIF N_46_0.BLIF \ -size_dma_0_1__un1_n.BLIF un1_amiga_bus_enable_low.BLIF N_72.BLIF N_76_i.BLIF \ -size_dma_0_1__un0_n.BLIF un6_as_030.BLIF N_97.BLIF N_72_0.BLIF \ -size_dma_0_0__un3_n.BLIF un3_size.BLIF N_116.BLIF UDS_000_c_i.BLIF \ -size_dma_0_0__un1_n.BLIF un4_size.BLIF N_104.BLIF LDS_000_c_i.BLIF \ -size_dma_0_0__un0_n.BLIF un5_ciin.BLIF N_76.BLIF N_256_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un8_ciin.BLIF \ -pos_clk_size_dma_6_1__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un4_as_000.BLIF \ -pos_clk_size_dma_6_0__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF un1_SM_AMIGA_7.BLIF \ -N_250.BLIF N_104_i.BLIF cpu_est_0_1__un3_n.BLIF un21_fpu_cs.BLIF \ -pos_clk_un6_bgack_000_n.BLIF N_252_0.BLIF cpu_est_0_1__un1_n.BLIF \ -un22_berr.BLIF N_251.BLIF N_251_0.BLIF cpu_est_0_1__un0_n.BLIF un6_ds_030.BLIF \ -N_252.BLIF pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_2__un3_n.BLIF \ -un6_uds_000.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_97_i.BLIF \ -cpu_est_0_2__un1_n.BLIF un6_lds_000.BLIF \ -pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_96_i.BLIF cpu_est_0_2__un0_n.BLIF \ -cpu_est_0_.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_250_0.BLIF \ -cpu_est_0_3__un3_n.BLIF cpu_est_1_.BLIF un22_berr_1.BLIF N_89_i.BLIF \ -cpu_est_0_3__un1_n.BLIF inst_AS_000_INT.BLIF N_8.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF cpu_est_0_3__un0_n.BLIF SM_AMIGA_5_.BLIF \ -N_12.BLIF N_88_i.BLIF ipl_030_0_0__un3_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_13.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -ipl_030_0_0__un1_n.BLIF inst_AS_030_D0.BLIF N_16.BLIF N_138_0.BLIF \ -ipl_030_0_0__un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_20.BLIF \ -un1_rst_dly_i_m_i_1__n.BLIF ipl_030_0_1__un3_n.BLIF inst_DS_030_D0.BLIF \ -N_21.BLIF ipl_030_0_1__un1_n.BLIF inst_AS_030_000_SYNC.BLIF N_11.BLIF \ -N_157_i.BLIF ipl_030_0_1__un0_n.BLIF inst_BGACK_030_INT_D.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_158_i.BLIF ipl_030_0_2__un3_n.BLIF \ -inst_AS_000_DMA.BLIF un21_fpu_cs_i.BLIF N_159_i.BLIF ipl_030_0_2__un1_n.BLIF \ -inst_DS_000_DMA.BLIF BGACK_030_INT_i.BLIF ipl_030_0_2__un0_n.BLIF \ -CYCLE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_257_0.BLIF \ -dsack1_int_0_un3_n.BLIF CYCLE_DMA_1_.BLIF AS_030_i.BLIF un1_as_000_i.BLIF \ -dsack1_int_0_un1_n.BLIF SIZE_DMA_0_.BLIF AS_000_INT_i.BLIF N_93_i.BLIF \ -dsack1_int_0_un0_n.BLIF SIZE_DMA_1_.BLIF N_105_i.BLIF as_000_int_0_un3_n.BLIF \ -inst_VPA_D.BLIF N_91_i.BLIF N_87_i.BLIF as_000_int_0_un1_n.BLIF \ -inst_UDS_000_INT.BLIF N_92_i.BLIF N_86_i.BLIF as_000_int_0_un0_n.BLIF \ -inst_LDS_000_INT.BLIF a_i_19__n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ -lds_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_18__n.BLIF \ -un1_rst_dly_i_m_i_2__n.BLIF lds_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF \ -a_i_16__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF \ -size_dma_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un3_n.BLIF \ -inst_CLK_000_D1.BLIF size_dma_i_0__n.BLIF rw_000_int_0_un1_n.BLIF \ -inst_CLK_000_D0.BLIF FPU_SENSE_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ -rw_000_int_0_un0_n.BLIF inst_CLK_000_PE.BLIF CLK_000_D1_i.BLIF \ -uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_000_D0_i.BLIF \ -un1_rst_dly_i_m_i_5__n.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF \ -AS_030_000_SYNC_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -AS_030_D0_i.BLIF un1_rst_dly_i_m_i_6__n.BLIF vma_int_0_un3_n.BLIF \ -cpu_est_2_.BLIF sm_amiga_i_i_7__n.BLIF vma_int_0_un1_n.BLIF IPL_D0_0_.BLIF \ -AS_000_i.BLIF un1_rst_dly_i_m_i_7__n.BLIF vma_int_0_un0_n.BLIF IPL_D0_1_.BLIF \ -CLK_000_PE_i.BLIF bg_000_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF \ -un1_rst_dly_i_m_i_8__n.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_3_.BLIF A1_i.BLIF \ -bg_000_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF sm_amiga_i_6__n.BLIF \ -un3_as_030_i.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ -BERR_i.BLIF un1_as_030_i.BLIF ds_000_dma_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ -sm_amiga_i_5__n.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_dma_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF RESET_OUT_0_sqmuxa_i.BLIF \ -pos_clk_un9_clk_000_n_sync_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -inst_DSACK1_INTreg.BLIF un1_rst_dly_i_1__n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n.BLIF as_000_dma_0_un1_n.BLIF RESET_OUT_i.BLIF \ -CLK_EXP_c_i.BLIF as_000_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ -RW_000_i.BLIF N_244_0.BLIF a_15__n.BLIF pos_clk_ipl_n.BLIF \ -un1_rst_dly_i_2__n.BLIF N_83_i.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -un1_rst_dly_i_3__n.BLIF N_115_0.BLIF a_14__n.BLIF SM_AMIGA_6_.BLIF \ -un1_rst_dly_i_4__n.BLIF N_84_i.BLIF RST_DLY_0_.BLIF un1_rst_dly_i_5__n.BLIF \ -N_245_0.BLIF a_13__n.BLIF RST_DLY_1_.BLIF un1_rst_dly_i_6__n.BLIF N_85_i.BLIF \ -RST_DLY_2_.BLIF un1_rst_dly_i_7__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF \ -a_12__n.BLIF RST_DLY_3_.BLIF un1_rst_dly_i_8__n.BLIF N_94_i.BLIF \ -RST_DLY_4_.BLIF CLK_OUT_PRE_D_i.BLIF a_11__n.BLIF RST_DLY_5_.BLIF \ -pos_clk_un11_clk_000_n_sync_i_n.BLIF RST_DLY_6_.BLIF CLK_030_H_i.BLIF \ -a_10__n.BLIF RST_DLY_7_.BLIF AS_000_DMA_i.BLIF N_73_0.BLIF \ -pos_clk_un8_bg_030_n.BLIF VMA_INT_i.BLIF a_9__n.BLIF CLK_000_P_SYNC_0_.BLIF \ -VPA_D_i.BLIF N_160_i.BLIF CLK_000_P_SYNC_1_.BLIF cpu_est_i_0__n.BLIF \ -N_161_i.BLIF a_8__n.BLIF CLK_000_P_SYNC_2_.BLIF cpu_est_i_1__n.BLIF \ -CLK_000_P_SYNC_3_.BLIF cpu_est_i_3__n.BLIF N_155_i.BLIF a_7__n.BLIF \ -CLK_000_P_SYNC_4_.BLIF a_i_24__n.BLIF N_156_i.BLIF CLK_000_P_SYNC_5_.BLIF \ -pos_clk_un7_clk_000_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_6_.BLIF \ -sm_amiga_i_0__n.BLIF N_154_i.BLIF CLK_000_P_SYNC_7_.BLIF sm_amiga_i_3__n.BLIF \ -N_152_i.BLIF a_5__n.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_NE_i.BLIF N_153_i.BLIF \ -CLK_000_N_SYNC_0_.BLIF cpu_est_i_2__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_1_.BLIF \ -sm_amiga_i_1__n.BLIF N_150_i.BLIF CLK_000_N_SYNC_2_.BLIF sm_amiga_i_4__n.BLIF \ -N_151_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_2__n.BLIF \ -CLK_000_N_SYNC_4_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_149_i.BLIF \ -a_2__n.BLIF CLK_000_N_SYNC_5_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF N_147_i.BLIF \ -CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_148_i.BLIF CLK_000_N_SYNC_7_.BLIF \ -un5_ciin_i.BLIF CLK_000_N_SYNC_8_.BLIF DTACK_D0_i.BLIF N_164_i.BLIF \ -CLK_000_N_SYNC_9_.BLIF a_i_31__n.BLIF N_165_i.BLIF CLK_000_N_SYNC_10_.BLIF \ -a_i_29__n.BLIF N_163_i.BLIF inst_RW_000_INT.BLIF a_i_30__n.BLIF N_199_i.BLIF \ -inst_RW_000_DMA.BLIF a_i_27__n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF a_i_28__n.BLIF N_197_i.BLIF inst_A0_DMA.BLIF \ -a_i_25__n.BLIF N_198_i.BLIF DS_000_ENABLE_1_sqmuxa.BLIF a_i_26__n.BLIF \ -N_194_i.BLIF inst_CLK_030_H.BLIF UDS_000_INT_i.BLIF \ -pos_clk_cpu_est_11_0_1__n.BLIF SM_AMIGA_1_.BLIF LDS_000_INT_i.BLIF \ -N_192_i.BLIF SM_AMIGA_4_.BLIF DS_030_i.BLIF N_135_i.BLIF SM_AMIGA_2_.BLIF \ -N_224_i.BLIF N_162_i.BLIF inst_DS_000_ENABLE.BLIF N_225_i.BLIF N_191_i.BLIF \ -AS_000_INT_1_sqmuxa.BLIF N_226_i.BLIF N_193_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ -N_190_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_189_i.BLIF N_3.BLIF \ -DS_000_ENABLE_1_sqmuxa_i.BLIF N_188_i.BLIF un6_lds_000_i.BLIF N_195_i.BLIF \ -un6_uds_000_i.BLIF N_196_i.BLIF N_6.BLIF un6_ds_030_i.BLIF N_186_i.BLIF \ -N_9.BLIF DS_000_DMA_i.BLIF N_143_0.BLIF N_10.BLIF un4_as_000_i.BLIF \ -N_142_0.BLIF N_14.BLIF un8_ciin_i.BLIF N_141_0.BLIF N_15.BLIF \ -un6_as_030_i.BLIF N_140_0.BLIF N_17.BLIF DS_030_D0_i.BLIF N_139_0.BLIF \ -N_19.BLIF AS_030_c.BLIF N_166_i.BLIF N_22.BLIF N_137_i.BLIF N_23.BLIF \ -AS_000_c.BLIF N_136_i.BLIF N_24.BLIF N_133_i.BLIF N_25.BLIF RW_000_c.BLIF \ -N_132_i.BLIF cpu_est_0_0_.BLIF N_146_i.BLIF DS_030_c.BLIF N_144_i.BLIF \ -UDS_000_c.BLIF un1_SM_AMIGA_7_i.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF \ -LDS_000_c.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ -pos_clk_un23_clk_000_ne_d0_0_n.BLIF size_c_0__n.BLIF \ -pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -size_c_1__n.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ -pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF size_c_i_1__n.BLIF N_25_i.BLIF \ -N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF N_23_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF \ -N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF N_52_0.BLIF \ -DTACK_c_i.BLIF N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF \ -SM_AMIGA_i_7_.BLIF N_55_0.BLIF N_115.BLIF N_50_0.BLIF \ -pos_clk_cpu_est_11_1__n.BLIF N_3_i.BLIF pos_clk_cpu_est_11_3__n.BLIF \ -N_49_0.BLIF N_220.BLIF N_6_i.BLIF G_165.BLIF N_48_0.BLIF G_166.BLIF N_9_i.BLIF \ -G_167.BLIF N_45_0.BLIF un6_uds_000_1.BLIF N_10_i.BLIF \ -pos_clk_un24_bgack_030_int_i_0_n.BLIF a_c_16__n.BLIF N_44_0.BLIF N_244.BLIF \ -N_14_i.BLIF N_245.BLIF a_c_17__n.BLIF N_41_0.BLIF N_15_i.BLIF N_80.BLIF \ -a_c_18__n.BLIF N_40_0.BLIF N_90.BLIF N_17_i.BLIF N_100.BLIF a_c_19__n.BLIF \ -N_38_0.BLIF N_105.BLIF N_19_i.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF \ -a_c_20__n.BLIF N_36_0.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_22_i.BLIF \ -pos_clk_CYCLE_DMA_5_0_i_x2.BLIF a_c_21__n.BLIF N_33_0.BLIF N_135.BLIF \ -BG_030_c_i.BLIF N_133.BLIF a_c_22__n.BLIF pos_clk_un6_bg_030_i_n.BLIF \ -N_190.BLIF pos_clk_un8_bg_030_0_n.BLIF N_188.BLIF a_c_23__n.BLIF \ -N_127_i_1.BLIF N_189.BLIF N_127_i_2.BLIF N_136.BLIF a_c_24__n.BLIF \ -pos_clk_un11_ds_030_d0_i_1_n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_135_i_1.BLIF \ -pos_clk_un29_clk_000_ne_d0_n.BLIF a_c_25__n.BLIF \ -pos_clk_cpu_est_11_0_1_1__n.BLIF DSACK1_INT_0_sqmuxa.BLIF \ -pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ -a_c_26__n.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF pos_clk_un5_clk_000_pe_n.BLIF \ -N_195_1.BLIF pos_clk_un9_clk_000_ne_n.BLIF a_c_27__n.BLIF N_196_1.BLIF \ -pos_clk_un23_clk_000_ne_d0_n.BLIF N_131_i_1.BLIF \ -pos_clk_un27_clk_000_ne_d0_n.BLIF a_c_28__n.BLIF N_131_i_2.BLIF \ -pos_clk_un14_clk_000_n_sync_n.BLIF N_131_i_3.BLIF N_144.BLIF a_c_29__n.BLIF \ -N_119_i_1.BLIF N_146.BLIF N_119_i_2.BLIF N_143.BLIF a_c_30__n.BLIF \ -N_121_i_1.BLIF N_147.BLIF N_123_i_1.BLIF N_139.BLIF a_c_31__n.BLIF \ -N_123_i_2.BLIF N_148.BLIF N_125_i_1.BLIF N_149.BLIF A0_c.BLIF N_129_i_1.BLIF \ -N_150.BLIF N_162_1.BLIF N_140.BLIF A1_c.BLIF N_163_1.BLIF N_151.BLIF \ -N_165_1.BLIF N_152.BLIF nEXP_SPACE_c.BLIF N_165_2.BLIF N_153.BLIF N_165_3.BLIF \ -N_154.BLIF BERR_c.BLIF un5_ciin_1.BLIF N_155.BLIF un5_ciin_2.BLIF N_141.BLIF \ -BG_030_c.BLIF un5_ciin_3.BLIF N_156.BLIF un5_ciin_4.BLIF N_160.BLIF \ -BG_000DFFreg.BLIF un5_ciin_5.BLIF N_142.BLIF un5_ciin_6.BLIF N_161.BLIF \ -un5_ciin_7.BLIF N_164.BLIF BGACK_000_c.BLIF un5_ciin_8.BLIF N_166.BLIF \ -un5_ciin_9.BLIF N_132.BLIF un5_ciin_10.BLIF N_191.BLIF un5_ciin_11.BLIF \ -N_192.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF N_193.BLIF CLK_OSZI_c.BLIF \ -pos_clk_un9_clk_000_ne_2_n.BLIF N_194.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ -N_197.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF N_198.BLIF CLK_EXP_c.BLIF \ -pos_clk_un5_clk_000_pe_1_n.BLIF N_195.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -N_196.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_162.BLIF FPU_SENSE_c.BLIF \ -pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_199.BLIF \ -pos_clk_un27_clk_000_ne_d0_2_n.BLIF N_199_1.BLIF IPL_030DFF_0_reg.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_163.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF \ -N_165.BLIF IPL_030DFF_1_reg.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF N_73.BLIF \ -RESET_OUT_0_sqmuxa_7_3.BLIF N_94.BLIF IPL_030DFF_2_reg.BLIF \ -RESET_OUT_0_sqmuxa_5_1.BLIF N_85.BLIF N_87_1.BLIF N_84.BLIF ipl_c_0__n.BLIF \ -N_87_2.BLIF N_83.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n.BLIF ipl_c_1__n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF G_149.BLIF N_72_0_1.BLIF G_147.BLIF \ -ipl_c_2__n.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF N_213.BLIF un21_fpu_cs_1.BLIF \ -G_145.BLIF un22_berr_1_0.BLIF N_211.BLIF DTACK_c.BLIF N_116_1.BLIF G_143.BLIF \ -N_116_2.BLIF N_209.BLIF N_116_3.BLIF G_141.BLIF N_116_4.BLIF G_139.BLIF \ -VPA_c.BLIF pos_clk_un6_bg_030_1_n.BLIF N_205.BLIF N_115_0_1.BLIF G_137.BLIF \ -N_245_0_1.BLIF RESET_OUT_0_sqmuxa_1.BLIF RST_c.BLIF N_247_i_1.BLIF \ -RESET_OUT_0_sqmuxa.BLIF N_248_i_1.BLIF RESET_OUT_0_sqmuxa_7.BLIF \ -N_249_i_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF RW_c.BLIF pos_clk_ipl_1_n.BLIF \ -un1_rst_dly_i_m_8__n.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -un1_rst_dly_i_m_7__n.BLIF fc_c_0__n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n.BLIF un1_rst_dly_i_m_6__n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF un1_rst_dly_i_m_5__n.BLIF \ -fc_c_1__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF un1_rst_dly_i_m_4__n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF un1_rst_dly_i_m_3__n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF un1_rst_dly_i_m_2__n.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF rw_000_dma_0_un3_n.BLIF N_86.BLIF \ -rw_000_dma_0_un1_n.BLIF N_93.BLIF rw_000_dma_0_un0_n.BLIF N_87.BLIF \ -bgack_030_int_0_un3_n.BLIF G_135.BLIF bgack_030_int_0_un1_n.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF -.outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ -VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ -SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ -cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ -IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ -CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D \ -CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D \ -RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D \ -RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D \ -RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ -CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ -CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ -CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ -CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D \ -inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D \ -inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D \ -inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C \ -inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D inst_A0_DMA.C \ -inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ -inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C \ -inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C \ -inst_DTACK_D0.D inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ -inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ -inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ -inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ -inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ -UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_159 N_21_i bgack_030_int_0_un0_n N_157 \ -N_34_0 as_030_000_sync_0_un3_n N_158 N_20_i as_030_000_sync_0_un1_n \ -un1_rst_dly_i_m_1__n N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i \ -ds_000_enable_0_un3_n N_88 N_39_0 ds_000_enable_0_un1_n N_256 N_13_i \ -ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n N_91 N_12_i \ -a0_dma_0_un1_n N_92 N_43_0 a0_dma_0_un0_n pos_clk_a0_dma_3_n N_8_i \ -size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ -un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 \ -N_72_0 size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n \ -un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ -pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ -un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ -cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 \ -cpu_est_0_1__un1_n un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ -pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ -pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 N_96_i \ -cpu_est_0_2__un0_n DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n \ -un22_berr_1 N_89_i cpu_est_0_3__un1_n N_8 pos_clk_size_dma_6_0_0__n \ -cpu_est_0_3__un0_n N_12 N_88_i ipl_030_0_0__un3_n N_13 \ -pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n N_16 N_138_0 ipl_030_0_0__un0_n \ -N_20 un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n N_21 ipl_030_0_1__un1_n N_11 \ -N_157_i ipl_030_0_1__un0_n un1_amiga_bus_enable_low_i N_158_i \ -ipl_030_0_2__un3_n un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n BGACK_030_INT_i \ -ipl_030_0_2__un0_n AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n \ -AS_030_i un1_as_000_i dsack1_int_0_un1_n AS_000_INT_i N_93_i \ -dsack1_int_0_un0_n N_105_i as_000_int_0_un3_n N_91_i N_87_i as_000_int_0_un1_n \ -N_92_i N_86_i as_000_int_0_un0_n a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ -lds_000_int_0_un3_n a_i_18__n un1_rst_dly_i_m_i_2__n lds_000_int_0_un1_n \ -a_i_16__n lds_000_int_0_un0_n size_dma_i_1__n un1_rst_dly_i_m_i_3__n \ -rw_000_int_0_un3_n size_dma_i_0__n rw_000_int_0_un1_n FPU_SENSE_i \ -un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n CLK_000_D1_i uds_000_int_0_un3_n \ -CLK_000_D0_i un1_rst_dly_i_m_i_5__n uds_000_int_0_un1_n AS_030_000_SYNC_i \ -uds_000_int_0_un0_n AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n \ -sm_amiga_i_i_7__n vma_int_0_un1_n AS_000_i un1_rst_dly_i_m_i_7__n \ -vma_int_0_un0_n CLK_000_PE_i bg_000_0_un3_n nEXP_SPACE_D0_i \ -un1_rst_dly_i_m_i_8__n bg_000_0_un1_n A1_i bg_000_0_un0_n sm_amiga_i_6__n \ -un3_as_030_i ds_000_dma_0_un3_n pos_clk_un6_bg_030_n BERR_i un1_as_030_i \ -ds_000_dma_0_un1_n sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ -RESET_OUT_0_sqmuxa_i pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n \ -un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n \ -RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n \ -RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i \ -pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n un1_rst_dly_i_4__n \ -N_84_i un1_rst_dly_i_5__n N_245_0 a_13__n un1_rst_dly_i_6__n N_85_i \ -un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n un1_rst_dly_i_8__n N_94_i \ -CLK_OUT_PRE_D_i a_11__n pos_clk_un11_clk_000_n_sync_i_n CLK_030_H_i a_10__n \ -AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n VPA_D_i N_160_i \ -cpu_est_i_0__n N_161_i a_8__n cpu_est_i_1__n cpu_est_i_3__n N_155_i a_7__n \ -a_i_24__n N_156_i pos_clk_un7_clk_000_d0_i_n a_6__n sm_amiga_i_0__n N_154_i \ -sm_amiga_i_3__n N_152_i a_5__n CLK_000_NE_i N_153_i cpu_est_i_2__n a_4__n \ -sm_amiga_i_1__n N_150_i sm_amiga_i_4__n N_151_i a_3__n sm_amiga_i_2__n \ -pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n DSACK1_INT_0_sqmuxa_i N_147_i \ -RW_i N_148_i un5_ciin_i DTACK_D0_i N_164_i a_i_31__n N_165_i a_i_29__n N_163_i \ -a_i_30__n N_199_i a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ -a_i_28__n N_197_i a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i \ -UDS_000_INT_i pos_clk_cpu_est_11_0_1__n LDS_000_INT_i N_192_i DS_030_i N_135_i \ -N_224_i N_162_i N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ -DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ -DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i \ -N_6 un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 \ -N_14 un8_ciin_i N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 \ -N_19 AS_030_c N_166_i N_22 N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 \ -RW_000_c N_132_i N_146_i DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i \ -pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n \ -pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n \ -pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n \ -pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 \ -N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 \ -DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_115 N_50_0 \ -pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i \ -N_48_0 N_9_i N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ -a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n \ -N_40_0 N_90 N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i \ -pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 N_22_i a_c_21__n N_33_0 N_135 \ -BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n \ -N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ -pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ -pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ -DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ -a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ -pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n \ -N_131_i_1 pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 \ -pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 \ -N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 \ -N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 N_140 A1_c N_163_1 N_151 \ -N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c un5_ciin_1 N_155 \ -un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 un5_ciin_5 N_142 \ -un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 \ -N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 \ -CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ -pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ -pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ -pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ -pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ -RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 RESET_OUT_0_sqmuxa_5_1 \ -N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n \ -pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n \ -N_72_0_1 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 \ -un22_berr_1_0 N_211 DTACK_c N_116_1 N_116_2 N_209 N_116_3 N_116_4 VPA_c \ -pos_clk_un6_bg_030_1_n N_205 N_115_0_1 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c \ -N_247_i_1 RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 \ -RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n \ -amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n \ -amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n \ -amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n \ -amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ -amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ -amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ -rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ -bgack_030_int_0_un3_n bgack_030_int_0_un1_n AS_030.OE AS_000.OE RW_000.OE \ -DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ -CLK_DIV_OUT.OE DSACK1.OE CIIN.OE pos_clk_un24_bgack_030_int_i_0_x2 \ -cpu_est_0_0_ G_165 G_166 G_167 pos_clk_CYCLE_DMA_5_1_i_x2 \ -pos_clk_CYCLE_DMA_5_0_i_x2 G_149 G_147 G_145 G_143 G_141 G_139 G_137 G_135 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names N_54_0.BLIF IPL_D0_2_.D -0 1 -.names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D -11 1 -.names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D -11 1 -.names N_127_i_1.BLIF N_127_i_2.BLIF SM_AMIGA_5_.D -11 1 -.names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D -11 1 -.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D -11 1 -.names N_119_i_1.BLIF N_119_i_2.BLIF SM_AMIGA_1_.D -11 1 -.names N_137_i.BLIF N_146_i.BLIF SM_AMIGA_0_.D -11 1 -.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D -1- 1 --1 1 -.names N_30_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names N_31_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_1__n.BLIF RST_DLY_0_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_2_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_4__n.BLIF RST_DLY_3_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_5__n.BLIF RST_DLY_4_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_6__n.BLIF RST_DLY_5_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_7__n.BLIF RST_DLY_6_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D -11 1 -.names N_249_i_1.BLIF N_257_0.BLIF CYCLE_DMA_0_.D -11 1 -.names N_248_i_1.BLIF N_257_0.BLIF CYCLE_DMA_1_.D -11 1 -.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D -1- 1 --1 1 -.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D -1- 1 --1 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names N_247_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D -11 1 -.names N_93_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_39_0.BLIF inst_RW_000_DMA.D -0 1 -.names N_40_0.BLIF inst_RW_000_INT.D -0 1 -.names N_41_0.BLIF inst_LDS_000_INT.D -0 1 -.names N_42_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names N_43_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names N_44_0.BLIF inst_AS_000_INT.D -0 1 -.names N_45_0.BLIF inst_DSACK1_INTreg.D -0 1 -.names N_46_0.BLIF inst_A0_DMA.D -0 1 -.names N_48_0.BLIF inst_AS_000_DMA.D -0 1 -.names N_49_0.BLIF inst_DS_000_DMA.D -0 1 -.names N_50_0.BLIF inst_DS_030_D0.D -0 1 -.names N_90.BLIF inst_AS_030_D0.D -0 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D -0 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names N_33_0.BLIF BG_000DFFreg.D -0 1 -.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D -0 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names N_36_0.BLIF inst_VMA_INTreg.D -0 1 -.names N_38_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_257_0.BLIF inst_BGACK_030_INT_D.D -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 -11 1 -.names N_21.BLIF N_21_i -0 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 -11 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names N_250.BLIF as_030_000_sync_0_un3_n -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 -11 1 -.names N_20.BLIF N_20_i -0 1 -.names inst_AS_030_000_SYNC.BLIF N_250.BLIF as_030_000_sync_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_1__n.BLIF un1_rst_dly_i_m_1__n -11 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names N_105_i.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names N_16.BLIF N_16_i -0 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names BGACK_030_INT_i.BLIF N_256_i.BLIF N_88 -11 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ -ds_000_enable_0_un1_n -11 1 -.names N_256_i.BLIF N_256 -0 1 -.names N_13.BLIF N_13_i -0 1 -.names un1_SM_AMIGA_7_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names vcc_n_n - 1 -.names BGACK_030_INT_i.BLIF N_256.BLIF N_89 -11 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n -0 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_91 -11 1 -.names N_12.BLIF N_12_i -0 1 -.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -a0_dma_0_un1_n -11 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_92 -11 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_8.BLIF N_8_i -0 1 -.names N_252.BLIF size_dma_0_1__un3_n -0 1 -.names gnd_n_n -.names N_72.BLIF N_105.BLIF N_96 -11 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF N_252.BLIF size_dma_0_1__un1_n -11 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low -11 1 -.names N_72_0.BLIF N_72 -0 1 -.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_76_i -11 1 -.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names N_105.BLIF N_116.BLIF N_97 -11 1 -.names N_72_0_1.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_72_0 -11 1 -.names N_252.BLIF size_dma_0_0__un3_n -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names N_116_4.BLIF N_116_3.BLIF N_116 -11 1 -.names UDS_000_c.BLIF UDS_000_c_i -0 1 -.names pos_clk_size_dma_6_0__n.BLIF N_252.BLIF size_dma_0_0__un1_n -11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_104 -11 1 -.names LDS_000_c.BLIF LDS_000_c_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names N_76_i.BLIF N_76 -0 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_256_i -11 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n -0 1 -.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF un8_ciin -11 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -pos_clk_un5_bgack_030_int_d_i_n -11 1 -.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n -11 1 -.names un1_SM_AMIGA_7_i.BLIF un1_SM_AMIGA_7 -0 1 -.names N_250_0.BLIF N_250 -0 1 -.names N_104.BLIF N_104_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_252_0 -11 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names un22_berr_1_0.BLIF N_116.BLIF un22_berr -11 1 -.names N_251_0.BLIF N_251 -0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_251_0 -11 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names N_252_0.BLIF N_252 -0 1 -.names BGACK_000_c.BLIF N_76.BLIF pos_clk_un6_bgack_000_0_n -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 -11 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names N_97.BLIF N_97_i -0 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n -11 1 -.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 -11 1 -.names N_96.BLIF N_96_i -0 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names N_105.BLIF un1_SM_AMIGA_7.BLIF DS_000_ENABLE_1_sqmuxa_1 -11 1 -.names N_96_i.BLIF N_97_i.BLIF N_250_0 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n -0 1 -.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 -11 1 -.names N_89.BLIF N_89_i -0 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names N_89_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 -1- 1 --1 1 -.names N_88.BLIF N_88_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_13 -1- 1 --1 1 -.names N_88_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_16 -1- 1 --1 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 -11 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names un1_rst_dly_i_m_1__n.BLIF un1_rst_dly_i_m_i_1__n -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 -1- 1 --1 1 -.names N_157.BLIF N_157_i -0 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names N_158.BLIF N_158_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names N_159.BLIF N_159_i -0 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_257_0 -11 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i -11 1 -.names inst_DSACK1_INTreg.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_93.BLIF N_93_i -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names N_105.BLIF N_105_i -0 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names N_91.BLIF N_91_i -0 1 -.names N_87.BLIF N_87_i -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names N_92.BLIF N_92_i -0 1 -.names N_86.BLIF N_86_i -0 1 -.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_86_i.BLIF N_87_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n -0 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -lds_000_int_0_un1_n -11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names N_115.BLIF rw_000_int_0_un3_n -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_115.BLIF rw_000_int_0_un1_n -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n -0 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n -0 1 -.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n -0 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names A1_c.BLIF A1_i -0 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i -11 1 -.names N_245.BLIF ds_000_dma_0_un3_n -0 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_245.BLIF ds_000_dma_0_un1_n -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n -0 1 -.names N_244.BLIF as_000_dma_0_un3_n -0 1 -.names G_135.BLIF un1_rst_dly_i_1__n -0 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n -11 1 -.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_244.BLIF as_000_dma_0_un1_n -11 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names CLK_EXP_c.BLIF CLK_EXP_c_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_244_0 -11 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n -11 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names N_83.BLIF N_83_i -0 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n -11 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 -11 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names N_84.BLIF N_84_i -0 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names N_245_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_245_0 -11 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names N_85.BLIF N_85_i -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names N_85_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names N_94.BLIF N_94_i -0 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_73_0 -11 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_160.BLIF N_160_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names N_161.BLIF N_161_i -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names N_155.BLIF N_155_i -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names N_156.BLIF N_156_i -0 1 -.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n -0 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_154.BLIF N_154_i -0 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_152.BLIF N_152_i -0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_153.BLIF N_153_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names N_150.BLIF N_150_i -0 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names N_151.BLIF N_151_i -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n -0 1 -.names N_149.BLIF N_149_i -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 -.names N_147.BLIF N_147_i -0 1 -.names RW_c.BLIF RW_i -0 1 -.names N_148.BLIF N_148_i -0 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names N_164.BLIF N_164_i -0 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names N_165.BLIF N_165_i -0 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_163.BLIF N_163_i -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_199.BLIF N_199_i -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n -11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names N_197.BLIF N_197_i -0 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names N_198.BLIF N_198_i -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names N_194.BLIF N_194_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ -pos_clk_cpu_est_11_0_1__n -11 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names N_192.BLIF N_192_i -0 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i -11 1 -.names G_165.BLIF N_224_i -0 1 -.names N_162.BLIF N_162_i -0 1 -.names G_166.BLIF N_225_i -0 1 -.names N_191.BLIF N_191_i -0 1 -.names N_105.BLIF sm_amiga_i_5__n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names G_167.BLIF N_226_i -0 1 -.names N_193.BLIF N_193_i -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF N_105.BLIF DSACK1_INT_1_sqmuxa -11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i -11 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i -11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i -11 1 -.names un6_lds_000.BLIF un6_lds_000_i -0 1 -.names N_195.BLIF N_195_i -0 1 -.names un6_uds_000.BLIF un6_uds_000_i -0 1 -.names N_196.BLIF N_196_i -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names N_195_i.BLIF N_196_i.BLIF N_186_i -11 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_143_0 -11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names un8_ciin.BLIF un8_ciin_i -0 1 -.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_15 -1- 1 --1 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 -11 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_17 -1- 1 --1 1 -.names inst_DS_030_D0.BLIF DS_030_D0_i -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_139_0 -11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names N_166.BLIF N_166_i -0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names N_166_i.BLIF RST_c.BLIF N_137_i -11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 -1- 1 --1 1 -.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i -11 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 -1- 1 --1 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i -11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 -1- 1 --1 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i -11 1 -.names N_146.BLIF N_146_i -0 1 -.names N_144.BLIF N_144_i -0 1 -.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_144_i.BLIF un1_SM_AMIGA_7_i -11 1 -.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n -0 1 -.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n -0 1 -.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ -pos_clk_un23_clk_000_ne_d0_0_n -11 1 -.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n -0 1 -.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n -0 1 -.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -pos_clk_un7_clk_000_pe_0_n -11 1 -.names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF \ -pos_clk_un11_ds_030_d0_i_n -11 1 -.names A0_c.BLIF A0_c_i -0 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names N_25.BLIF N_25_i -0 1 -.names N_25_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names N_24.BLIF N_24_i -0 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names N_23.BLIF N_23_i -0 1 -.names N_23_i.BLIF RST_c.BLIF N_30_0 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 -11 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 -11 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i -0 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 -11 1 -.names N_115_0.BLIF N_115 -0 1 -.names DS_030_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n -0 1 -.names N_3.BLIF N_3_i -0 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n -0 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 -11 1 -.names N_6.BLIF N_6_i -0 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names N_9.BLIF N_9_i -0 1 -.names N_9_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names N_10.BLIF N_10_i -0 1 -.names pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_n -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names N_244_0.BLIF N_244 -0 1 -.names N_14.BLIF N_14_i -0 1 -.names N_245_0.BLIF N_245 -0 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names N_15.BLIF N_15_i -0 1 -.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_80 -1- 1 --1 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names AS_030_i.BLIF RST_c.BLIF N_90 -11 1 -.names N_17.BLIF N_17_i -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_100 -11 1 -.names N_17_i.BLIF RST_c.BLIF N_38_0 -11 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF N_105 -11 1 -.names N_19.BLIF N_19_i -0 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names N_22.BLIF N_22_i -0 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_135_i.BLIF N_135 -0 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names N_133_i.BLIF N_133 -0 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names N_190_i.BLIF N_190 -0 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names N_188_i.BLIF N_188 -0 1 -.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 -11 1 -.names N_189_i.BLIF N_189 -0 1 -.names N_159_i.BLIF RST_c.BLIF N_127_i_2 -11 1 -.names N_136_i.BLIF N_136 -0 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ -pos_clk_un7_clk_000_d0_n -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 -11 1 -.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ -pos_clk_un29_clk_000_ne_d0_n -11 1 -.names N_191_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n -11 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names N_193_i.BLIF N_194_i.BLIF pos_clk_cpu_est_11_0_2_1__n -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n -11 1 -.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n -11 1 -.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF \ -pos_clk_un5_clk_000_pe_n -11 1 -.names N_188.BLIF cpu_est_0_.BLIF N_195_1 -11 1 -.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ -pos_clk_un9_clk_000_ne_n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 -11 1 -.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n -0 1 -.names N_137_i.BLIF N_162_i.BLIF N_131_i_1 -11 1 -.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ -pos_clk_un27_clk_000_ne_d0_n -11 1 -.names N_163_i.BLIF N_164_i.BLIF N_131_i_2 -11 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n -0 1 -.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 -11 1 -.names sm_amiga_i_3__n.BLIF sm_amiga_i_5__n.BLIF N_144 -11 1 -.names N_147_i.BLIF N_148_i.BLIF N_119_i_1 -11 1 -.names N_143.BLIF sm_amiga_i_0__n.BLIF N_146 -11 1 -.names N_149_i.BLIF RST_c.BLIF N_119_i_2 -11 1 -.names N_143_0.BLIF N_143 -0 1 -.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 -11 1 -.names N_139.BLIF sm_amiga_i_2__n.BLIF N_147 -11 1 -.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 -11 1 -.names N_139_0.BLIF N_139 -0 1 -.names N_154_i.BLIF RST_c.BLIF N_123_i_2 -11 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF N_148 -11 1 -.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_1__n.BLIF N_149 -11 1 -.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 -11 1 -.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 -11 1 -.names N_135.BLIF BERR_i.BLIF N_162_1 -11 1 -.names N_140_0.BLIF N_140 -0 1 -.names N_133.BLIF BERR_i.BLIF N_163_1 -11 1 -.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 -11 1 -.names N_133_i.BLIF N_135_i.BLIF N_165_1 -11 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 -11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 -11 1 -.names N_165_1.BLIF N_165_2.BLIF N_165_3 -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 -11 1 -.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 -11 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names N_141_0.BLIF N_141 -0 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 -11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 -11 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 -11 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names N_142_0.BLIF N_142 -0 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 -11 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 -11 1 -.names BERR_i.BLIF N_136_i.BLIF N_164 -11 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names N_132.BLIF SM_AMIGA_0_.BLIF N_166 -11 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names N_132_i.BLIF N_132 -0 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 -11 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n -11 1 -.names N_189_i.BLIF cpu_est_0_.BLIF N_193 -11 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n -11 1 -.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 -11 1 -.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n -11 1 -.names N_190.BLIF cpu_est_3_reg.BLIF N_197 -11 1 -.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ -pos_clk_un9_clk_000_ne_4_n -11 1 -.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 -11 1 -.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n -11 1 -.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n -11 1 -.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 -11 1 -.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -pos_clk_un5_clk_000_pe_3_n -11 1 -.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 -11 1 -.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n -11 1 -.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 -11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 -11 1 -.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n -11 1 -.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 -11 1 -.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 -11 1 -.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 -11 1 -.names N_73_0.BLIF N_73 -0 1 -.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ -RESET_OUT_0_sqmuxa_7_3 -11 1 -.names CLK_030_H_i.BLIF N_73.BLIF N_94 -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_85 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_87_1 -11 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_84 -11 1 -.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_87_2 -11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_83 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n -11 1 -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n -11 1 -.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_104_i.BLIF \ -pos_clk_un24_bgack_030_int_i_0_0_2_n -11 1 -.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_72_0_1 -11 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ -pos_clk_un7_clk_000_d0_1_n -11 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 -.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 -11 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 -11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 -11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 -11 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 -11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 -11 1 -.names N_116_1.BLIF N_116_2.BLIF N_116_4 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 -11 1 -.names N_83_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 -11 1 -.names N_84_i.BLIF RW_000_i.BLIF N_245_0_1 -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names N_94_i.BLIF RST_c.BLIF N_247_i_1 -11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_248_i_1 -11 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 -11 1 -.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_249_i_1 -11 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 -11 1 -.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n -11 1 -.names N_92_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n -11 1 -.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_86 -11 1 -.names N_251.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_93 -11 1 -.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_87_1.BLIF N_87_2.BLIF N_87 -11 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ -1 1 -0 0 -.names BG_000DFFreg.BLIF BG_000 -1 1 -0 0 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -0 0 -.names gnd_n_n.BLIF CLK_DIV_OUT -1 1 -0 0 -.names CLK_EXP_c.BLIF CLK_EXP -1 1 -0 0 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -0 0 -.names inst_DSACK1_INTreg.BLIF DSACK1 -1 1 -0 0 -.names vcc_n_n.BLIF AVEC -1 1 -0 0 -.names cpu_est_3_reg.BLIF E -1 1 -0 0 -.names inst_VMA_INTreg.BLIF VMA -1 1 -0 0 -.names inst_RESET_OUTreg.BLIF RESET -1 1 -0 0 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -0 0 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -0 0 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -0 0 -.names N_80.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -0 0 -.names un5_ciin.BLIF CIIN -1 1 -0 0 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -0 0 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -0 0 -.names cpu_est_0_0_.BLIF cpu_est_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C -1 1 -0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_2_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_3_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_4_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_5_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_6_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF RST_DLY_7_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -0 0 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C -1 1 -0 0 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -0 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -0 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -0 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -0 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -0 0 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -0 0 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -0 0 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -0 0 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_030_D0.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -0 0 -.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C -1 1 -0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -0 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -0 0 -.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C -1 1 -0 0 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -0 0 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -0 0 -.names un3_size.BLIF SIZE_1_ -1 1 -0 0 -.names un6_as_030_i.BLIF AS_030 -1 1 -0 0 -.names un4_as_000_i.BLIF AS_000 -1 1 -0 0 -.names inst_RW_000_INT.BLIF RW_000 -1 1 -0 0 -.names un6_ds_030_i.BLIF DS_030 -1 1 -0 0 -.names un6_uds_000_i.BLIF UDS_000 -1 1 -0 0 -.names un6_lds_000_i.BLIF LDS_000 -1 1 -0 0 -.names inst_A0_DMA.BLIF A0 -1 1 -0 0 -.names gnd_n_n.BLIF BERR -1 1 -0 0 -.names inst_RW_000_DMA.BLIF RW -1 1 -0 0 -.names un4_size.BLIF SIZE_0_ -1 1 -0 0 -.names A_15_.BLIF a_15__n -1 1 -0 0 -.names A_14_.BLIF a_14__n -1 1 -0 0 -.names A_13_.BLIF a_13__n -1 1 -0 0 -.names A_12_.BLIF a_12__n -1 1 -0 0 -.names A_11_.BLIF a_11__n -1 1 -0 0 -.names A_10_.BLIF a_10__n -1 1 -0 0 -.names A_9_.BLIF a_9__n -1 1 -0 0 -.names A_8_.BLIF a_8__n -1 1 -0 0 -.names A_7_.BLIF a_7__n -1 1 -0 0 -.names A_6_.BLIF a_6__n -1 1 -0 0 -.names A_5_.BLIF a_5__n -1 1 -0 0 -.names A_4_.BLIF a_4__n -1 1 -0 0 -.names A_3_.BLIF a_3__n -1 1 -0 0 -.names A_2_.BLIF a_2__n -1 1 -0 0 -.names AS_030.PIN.BLIF AS_030_c -1 1 -0 0 -.names AS_000.PIN.BLIF AS_000_c -1 1 -0 0 -.names RW_000.PIN.BLIF RW_000_c -1 1 -0 0 -.names DS_030.PIN.BLIF DS_030_c -1 1 -0 0 -.names UDS_000.PIN.BLIF UDS_000_c -1 1 -0 0 -.names LDS_000.PIN.BLIF LDS_000_c -1 1 -0 0 -.names SIZE_0_.PIN.BLIF size_c_0__n -1 1 -0 0 -.names SIZE_1_.PIN.BLIF size_c_1__n -1 1 -0 0 -.names A_16_.BLIF a_c_16__n -1 1 -0 0 -.names A_17_.BLIF a_c_17__n -1 1 -0 0 -.names A_18_.BLIF a_c_18__n -1 1 -0 0 -.names A_19_.BLIF a_c_19__n -1 1 -0 0 -.names A_20_.BLIF a_c_20__n -1 1 -0 0 -.names A_21_.BLIF a_c_21__n -1 1 -0 0 -.names A_22_.BLIF a_c_22__n -1 1 -0 0 -.names A_23_.BLIF a_c_23__n -1 1 -0 0 -.names A_24_.BLIF a_c_24__n -1 1 -0 0 -.names A_25_.BLIF a_c_25__n -1 1 -0 0 -.names A_26_.BLIF a_c_26__n -1 1 -0 0 -.names A_27_.BLIF a_c_27__n -1 1 -0 0 -.names A_28_.BLIF a_c_28__n -1 1 -0 0 -.names A_29_.BLIF a_c_29__n -1 1 -0 0 -.names A_30_.BLIF a_c_30__n -1 1 -0 0 -.names A_31_.BLIF a_c_31__n -1 1 -0 0 -.names A0.PIN.BLIF A0_c -1 1 -0 0 -.names A1.BLIF A1_c -1 1 -0 0 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -0 0 -.names BERR.PIN.BLIF BERR_c -1 1 -0 0 -.names BG_030.BLIF BG_030_c -1 1 -0 0 -.names BGACK_000.BLIF BGACK_000_c -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -0 0 -.names CLK_030.BLIF CLK_EXP_c -1 1 -0 0 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -0 0 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -0 0 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -0 0 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -0 0 -.names DTACK.BLIF DTACK_c -1 1 -0 0 -.names VPA.BLIF VPA_c -1 1 -0 0 -.names RST.BLIF RST_c -1 1 -0 0 -.names RW.PIN.BLIF RW_c -1 1 -0 0 -.names FC_0_.BLIF fc_c_0__n -1 1 -0 0 -.names FC_1_.BLIF fc_c_1__n -1 1 -0 0 -.names un3_as_030_i.BLIF AS_030.OE -1 1 -0 0 -.names un1_as_000_i.BLIF AS_000.OE -1 1 -0 0 -.names un1_as_000_i.BLIF RW_000.OE -1 1 -0 0 -.names un3_as_030_i.BLIF DS_030.OE -1 1 -0 0 -.names un1_as_000_i.BLIF UDS_000.OE -1 1 -0 0 -.names un1_as_000_i.BLIF LDS_000.OE -1 1 -0 0 -.names un1_as_030_i.BLIF SIZE_0_.OE -1 1 -0 0 -.names un1_as_030_i.BLIF SIZE_1_.OE -1 1 -0 0 -.names un3_as_030_i.BLIF A0.OE -1 1 -0 0 -.names un22_berr.BLIF BERR.OE -1 1 -0 0 -.names N_100.BLIF RW.OE -1 1 -0 0 -.names gnd_n_n.BLIF CLK_DIV_OUT.OE -1 1 -0 0 -.names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE -1 1 -0 0 -.names un8_ciin_i.BLIF CIIN.OE -1 1 -0 0 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2 -01 1 -10 1 -11 0 -00 0 -.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_ -01 1 -10 1 -11 0 -00 0 -.names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_165 -01 1 -10 1 -11 0 -00 0 -.names IPL_D0_1_.BLIF ipl_c_1__n.BLIF G_166 -01 1 -10 1 -11 0 -00 0 -.names IPL_D0_2_.BLIF ipl_c_2__n.BLIF G_167 -01 1 -10 1 -11 0 -00 0 -.names CYCLE_DMA_1_.BLIF N_220.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 -01 1 -10 1 -11 0 -00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_7.BLIF G_149 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_6_.BLIF N_213.BLIF G_147 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_5_.BLIF N_211.BLIF G_145 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_4_.BLIF N_209.BLIF G_143 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_5.BLIF G_141 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_2_.BLIF N_205.BLIF G_139 -01 1 -10 1 -11 0 -00 0 -.names RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_1.BLIF G_137 -01 1 -10 1 -11 0 -00 0 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF G_135 -01 1 -10 1 -11 0 -00 0 -.end diff --git a/Logic/BUS68030.cmd b/Logic/BUS68030.cmd deleted file mode 100644 index e7c37a0..0000000 --- a/Logic/BUS68030.cmd +++ /dev/null @@ -1,8 +0,0 @@ -STYFILENAME: 68030_tk.sty -PROJECT: BUS68030 -WORKING_PATH: "c:/users/matze/documents/github/68030tk/logic" -MODULE: BUS68030 -VHDL_FILE_LIST: 68030-68000-bus.vhd -OUTPUT_FILE_NAME: BUS68030 -SUFFIX_NAME: edi -PART: M4A5-128/64-10VC diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi deleted file mode 100644 index 21df811..0000000 --- a/Logic/BUS68030.edi +++ /dev/null @@ -1,4716 +0,0 @@ -(edif BUS68030 - (edifVersion 2 0 0) - (edifLevel 0) - (keywordMap (keywordLevel 0)) - (status - (written - (timeStamp 2015 9 24 16 20 54) - (author "Synopsys, Inc.") - (program "Synplify Pro" (version "I-2014.03LC , mapper maplat, Build 923R")) - ) - ) - (external mach - (edifLevel 0) - (technology (numberDefinition )) - (cell AND2 (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port I1 (direction INPUT)) - ) - ) - ) - (cell BI_DIR (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port IO (direction INOUT)) - (port OE (direction INPUT)) - ) - ) - ) - (cell BUFTH (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port OE (direction INPUT)) - ) - ) - ) - (cell DFF (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port Q (direction OUTPUT)) - (port D (direction INPUT)) - (port CLK (direction INPUT)) - ) - ) - ) - (cell IBUF (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - ) - ) - ) - (cell INV (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - ) - ) - ) - (cell OBUF (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - ) - ) - ) - (cell OR2 (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port I1 (direction INPUT)) - ) - ) - ) - (cell XOR2 (cellType GENERIC) - (view prim (viewType NETLIST) - (interface - (port O (direction OUTPUT)) - (port I0 (direction INPUT)) - (port I1 (direction INPUT)) - ) - ) - ) - ) - (library work - (edifLevel 0) - (technology (numberDefinition )) - (cell BUS68030 (cellType GENERIC) - (view behavioral (viewType NETLIST) - (interface - (port (array (rename size "SIZE(1:0)") 2) (direction INOUT)) - (port (array (rename a "A(31:2)") 30) (direction INPUT)) - (port (array (rename ipl_030 "IPL_030(2:0)") 3) (direction OUTPUT)) - (port (array (rename ipl "IPL(2:0)") 3) (direction INPUT)) - (port (array (rename fc "FC(1:0)") 2) (direction INPUT)) - (port AS_030 (direction INOUT)) - (port AS_000 (direction INOUT)) - (port RW_000 (direction INOUT)) - (port DS_030 (direction INOUT)) - (port UDS_000 (direction INOUT)) - (port LDS_000 (direction INOUT)) - (port A0 (direction INOUT)) - (port A1 (direction INPUT)) - (port nEXP_SPACE (direction INPUT)) - (port BERR (direction INOUT)) - (port BG_030 (direction INPUT)) - (port BG_000 (direction OUTPUT)) - (port BGACK_030 (direction OUTPUT)) - (port BGACK_000 (direction INPUT)) - (port CLK_030 (direction INPUT)) - (port CLK_000 (direction INPUT)) - (port CLK_OSZI (direction INPUT)) - (port CLK_DIV_OUT (direction OUTPUT)) - (port CLK_EXP (direction OUTPUT)) - (port FPU_CS (direction OUTPUT)) - (port FPU_SENSE (direction INPUT)) - (port DSACK1 (direction OUTPUT)) - (port DTACK (direction INPUT)) - (port AVEC (direction OUTPUT)) - (port E (direction OUTPUT)) - (port VPA (direction INPUT)) - (port VMA (direction OUTPUT)) - (port RST (direction INPUT)) - (port RESET (direction OUTPUT)) - (port RW (direction INOUT)) - (port AMIGA_ADDR_ENABLE (direction OUTPUT)) - (port AMIGA_BUS_DATA_DIR (direction OUTPUT)) - (port AMIGA_BUS_ENABLE_LOW (direction OUTPUT)) - (port AMIGA_BUS_ENABLE_HIGH (direction OUTPUT)) - (port CIIN (direction OUTPUT)) - ) - (contents - (instance (rename IPL_030DFF_2 "IPL_030DFF[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename IPL_D0_0 "IPL_D0[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename IPL_D0_1 "IPL_D0[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename IPL_D0_2 "IPL_D0[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_3 "SM_AMIGA[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_2 "SM_AMIGA[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_1 "SM_AMIGA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SM_AMIGA_0 "SM_AMIGA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename cpu_est_0 "cpu_est[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename cpu_est_1 "cpu_est[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename cpu_est_2 "cpu_est[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename IPL_030DFF_0 "IPL_030DFF[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename IPL_030DFF_1 "IPL_030DFF[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_0 "RST_DLY[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_1 "RST_DLY[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_2 "RST_DLY[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_3 "RST_DLY[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_4 "RST_DLY[4]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_5 "RST_DLY[5]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_6 "RST_DLY[6]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename RST_DLY_7 "RST_DLY[7]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SIZE_DMA_0 "SIZE_DMA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename SIZE_DMA_1 "SIZE_DMA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_8 "CLK_000_P_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_0 "CLK_000_N_SYNC[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_1 "CLK_000_N_SYNC[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_2 "CLK_000_N_SYNC[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_3 "CLK_000_N_SYNC[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_4 "CLK_000_N_SYNC[4]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_2 "CLK_000_P_SYNC[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_3 "CLK_000_P_SYNC[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_030_H (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RESET_OUT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance DS_000_ENABLE (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance LDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AS_030_000_SYNC (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance DSACK1_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance A0_DMA (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance DS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance DS_030_D0 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AS_030_D0 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance nEXP_SPACE_D0 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance VPA_D (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance DTACK_D0 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance BG_000DFF (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AMIGA_BUS_ENABLE_DMA_LOW (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance VMA_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance UDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance BGACK_030_INT_D (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_000_PE (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_000_NE (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_OUT_PRE_50 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_000_D1 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_000_NE_D0 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_OUT_PRE_D (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance CLK_000_D0 (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AS_030 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance AS_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance RW_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance DS_030 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance UDS_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance LDS_000 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename SIZE_0 "SIZE[0]") (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename SIZE_1 "SIZE[1]") (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename A_2 "A[2]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_3 "A[3]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_4 "A[4]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_5 "A[5]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_6 "A[6]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_7 "A[7]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_8 "A[8]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_9 "A[9]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_10 "A[10]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_11 "A[11]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_12 "A[12]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_13 "A[13]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_14 "A[14]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_15 "A[15]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_16 "A[16]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_17 "A[17]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_18 "A[18]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_19 "A[19]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_20 "A[20]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_21 "A[21]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_22 "A[22]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_23 "A[23]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_24 "A[24]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_25 "A[25]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_26 "A[26]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_27 "A[27]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_28 "A[28]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_29 "A[29]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_30 "A[30]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename A_31 "A[31]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance A0 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance A1 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance nEXP_SPACE (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance BERR (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance BG_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance BG_000 (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance BGACK_030 (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance BGACK_000 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_030 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_000 (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_OSZI (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance CLK_DIV_OUT (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance CLK_EXP (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance FPU_CS (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance FPU_SENSE (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename IPL_030_0 "IPL_030[0]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename IPL_030_1 "IPL_030[1]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename IPL_030_2 "IPL_030[2]") (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance (rename IPL_0 "IPL[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename IPL_1 "IPL[1]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename IPL_2 "IPL[2]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance DSACK1 (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance DTACK (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance AVEC (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance E (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance VPA (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance VMA (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance RST (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance RESET (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance RW (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) - (instance (rename FC_0 "FC[0]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance (rename FC_1 "FC[1]") (viewRef prim (cellRef IBUF (libraryRef mach))) ) - (instance AMIGA_ADDR_ENABLE (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_HIGH (viewRef prim (cellRef OBUF (libraryRef mach))) ) - (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i "pos_clk.CYCLE_DMA_5_1_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_1 "pos_clk.CYCLE_DMA_5_0_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i "pos_clk.CYCLE_DMA_5_0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_168_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_168 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_1 "pos_clk.un37_as_030_d0_i_a2_1_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_2 "pos_clk.un37_as_030_d0_i_a2_1_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_3 "pos_clk.un37_as_030_d0_i_a2_1_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_4 "pos_clk.un37_as_030_d0_i_a2_1_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1 "pos_clk.un37_as_030_d0_i_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2_1 "pos_clk.un6_bg_030_0_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2 "pos_clk.un6_bg_030_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_1 "pos_clk.CYCLE_DMA_5_1_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_5_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_a2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_a2_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_1 "pos_clk.un24_bgack_030_int_i_0_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_2 "pos_clk.un24_bgack_030_int_i_0_o2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_o2 "pos_clk.un24_bgack_030_int_i_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o2_1 "pos_clk.un37_as_030_d0_i_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o2 "pos_clk.un37_as_030_d0_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_d0 "pos_clk.un7_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_3 "pos_clk.un9_clk_000_ne_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_4 "pos_clk.un9_clk_000_ne_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_2_0 "pos_clk.un27_clk_000_ne_d0_2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_0 "SM_AMIGA_nss_i_i_a6_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_1 "pos_clk.un9_clk_000_ne_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_2 "pos_clk.un9_clk_000_ne_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_2 "SM_AMIGA_srsts_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_3 "SM_AMIGA_srsts_i_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_2_3 "SM_AMIGA_srsts_i_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_3 "SM_AMIGA_srsts_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_4 "SM_AMIGA_srsts_i_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_4 "SM_AMIGA_srsts_i[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_6 "SM_AMIGA_srsts_i_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_6 "SM_AMIGA_srsts_i[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_1_0_0 "SM_AMIGA_nss_i_i_a6_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_0 "SM_AMIGA_nss_i_i_a6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_0_1_0 "SM_AMIGA_nss_i_i_a6_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_0_0 "SM_AMIGA_nss_i_i_a6_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_1_0 "SM_AMIGA_nss_i_i_a6_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_2_0 "SM_AMIGA_nss_i_i_a6_2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_3_0 "SM_AMIGA_nss_i_i_a6_2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_1_2 "pos_clk.cpu_est_11_i_a4_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_2 "pos_clk.cpu_est_11_i_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_0_1_2 "pos_clk.cpu_est_11_i_a4_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_0_2 "pos_clk.cpu_est_11_i_a4_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_1_0 "SM_AMIGA_nss_i_i_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_2_0 "SM_AMIGA_nss_i_i_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_3_0 "SM_AMIGA_nss_i_i_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0 "SM_AMIGA_nss_i_i[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_1 "SM_AMIGA_srsts_i_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_2_1 "SM_AMIGA_srsts_i_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1 "SM_AMIGA_srsts_i[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_2 "SM_AMIGA_srsts_i_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BG_000_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un8_bg_030_i "pos_clk.un8_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_5 "SM_AMIGA_srsts_i_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_2_5 "SM_AMIGA_srsts_i_2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_5 "SM_AMIGA_srsts_i[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_ds_030_d0_1 "pos_clk.un11_ds_030_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_ds_030_d0 "pos_clk.un11_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_0_1_0 "SM_AMIGA_nss_i_i_o3_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_0_0 "SM_AMIGA_nss_i_i_o3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_2_1 "pos_clk.cpu_est_11_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_6_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_9_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_10_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_14_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_15_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_INT_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_17_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_23_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_2 "IPL_D0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DTACK_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DTACK_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_030_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_146_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_144_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_7_i_0 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un23_clk_000_ne_d0_i "pos_clk.un23_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_i "pos_clk.un7_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_193_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_i_3 "pos_clk.cpu_est_11_0_o4_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_i_1 "pos_clk.cpu_est_11_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_o4_i_2 "pos_clk.cpu_est_11_i_o4_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_195_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_196_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_0 "SM_AMIGA_srsts_i_o3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_6 "SM_AMIGA_srsts_i_o3_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_4 "SM_AMIGA_srsts_i_o3_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_2 "SM_AMIGA_srsts_i_o3_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_1 "SM_AMIGA_srsts_i_o3_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_166_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_3 "SM_AMIGA_srsts_i_o3_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_i_0 "SM_AMIGA_nss_i_i_o3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_i_0 "SM_AMIGA_srsts_i_o3_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_147_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_148_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_164_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_165_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_163_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_199_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_i_3 "pos_clk.cpu_est_11_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_197_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_198_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_194_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_i_1 "pos_clk.cpu_est_11_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_192_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_0_i_0 "SM_AMIGA_nss_i_i_o3_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_162_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_191_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_85_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_i "pos_clk.DS_000_DMA_4_f0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_94_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_160_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_161_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_155_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_156_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_154_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_152_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_153_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_150_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_151_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_149_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_2 "un1_RST_DLY_i_m_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_3 "un1_RST_DLY_i_m_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_4 "un1_RST_DLY_i_m_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_5 "un1_RST_DLY_i_m_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_6 "un1_RST_DLY_i_m_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_7 "un1_RST_DLY_i_m_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_8 "un1_RST_DLY_i_m_i[8]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_n_sync_i "pos_clk.un14_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_EXP_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_83_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_84_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_89_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_88_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_5 "SM_AMIGA_srsts_i_o3_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_1 "un1_RST_DLY_i_m_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_157_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_158_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_159_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_o2_i "pos_clk.CYCLE_DMA_5_1_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_93_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_87_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_86_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un4_bgack_000_i_o2_i "pos_clk.un4_bgack_000_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o2_i "pos_clk.un37_as_030_d0_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_i_0 "pos_clk.SIZE_DMA_6_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_i "pos_clk.un24_bgack_030_int_i_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_i "pos_clk.un6_bgack_000_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_97_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_96_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_20_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_16_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_13_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_12_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_92_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_91_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_105_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance I_224 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__r "SIZE_DMA_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__m "SIZE_DMA_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__n "SIZE_DMA_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__p "SIZE_DMA_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__p "SIZE_DMA_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_225 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_PE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un4_bgack_000_i_o2 "pos_clk.un4_bgack_000_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_i_a2 "pos_clk.un3_as_030_d0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CLK_000_N_SYNC_2_0 "pos_clk.CLK_000_N_SYNC_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CLK_000_P_SYNC_2_0 "pos_clk.CLK_000_P_SYNC_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_A0_DMA_3_0_a2 "pos_clk.A0_DMA_3_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2 "pos_clk.un37_as_030_d0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_0 "pos_clk.un37_as_030_d0_i_a2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_a2 "pos_clk.un24_bgack_030_int_i_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_1 "pos_clk.SIZE_DMA_6_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0 "pos_clk.SIZE_DMA_6_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i "pos_clk.un37_as_030_d0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_x2 "pos_clk.un24_bgack_030_int_i_0_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_1_5 "SM_AMIGA_srsts_i_a6_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_226 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_5 "SM_AMIGA_srsts_i_a6_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_5 "SM_AMIGA_srsts_i_a6[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_146 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_144 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_142 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_138 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_136 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_1 "pos_clk.SIZE_DMA_6_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_0 "pos_clk.SIZE_DMA_6_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_227 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un2_rw_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_as_000_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_o2 "pos_clk.CYCLE_DMA_5_1_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_135 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_0 "pos_clk.RST_DLY_5_iv[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_1 "un1_RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_1 "un1_RST_DLY_i_m[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_5 "SM_AMIGA_srsts_i_o3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_5 "pos_clk.RST_DLY_5_iv[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_6 "un1_RST_DLY_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_6 "un1_RST_DLY_i_m[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_4 "pos_clk.RST_DLY_5_iv[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_5 "un1_RST_DLY_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_5 "un1_RST_DLY_i_m[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_3 "pos_clk.RST_DLY_5_iv[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_4 "un1_RST_DLY_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_4 "un1_RST_DLY_i_m[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_2 "pos_clk.RST_DLY_5_iv[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_3 "un1_RST_DLY_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_3 "un1_RST_DLY_i_m[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_1 "pos_clk.RST_DLY_5_iv[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_2 "un1_RST_DLY_i_m[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un3_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_149 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_147 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_145 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_143 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_141 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_139 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_137 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_7 "pos_clk.RST_DLY_5_iv[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_8 "un1_RST_DLY_i[8]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_8 "un1_RST_DLY_i_m[8]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_6 "pos_clk.RST_DLY_5_iv[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_7 "un1_RST_DLY_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_7 "un1_RST_DLY_i_m[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0 "pos_clk.DS_000_DMA_4_f0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_as_030_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_a2 "pos_clk.DS_000_DMA_4_f0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_OUT_PRE_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_0 "cpu_est_0[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_x2 "pos_clk.CYCLE_DMA_5_0_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_x2 "pos_clk.CYCLE_DMA_5_1_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_6 "SM_AMIGA_srsts_i_o3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0 "SM_AMIGA_srsts_i_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_1 "pos_clk.cpu_est_11_0_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_0_1 "pos_clk.cpu_est_11_0_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_1_1 "pos_clk.cpu_est_11_0_a4_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_2_1 "pos_clk.cpu_est_11_0_a4_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_3 "pos_clk.cpu_est_11_0_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_0_3 "pos_clk.cpu_est_11_0_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_2 "pos_clk.cpu_est_11_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_o4_2 "pos_clk.cpu_est_11_i_o4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_1 "pos_clk.cpu_est_11_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_3 "pos_clk.cpu_est_11_0_o4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_1_3 "pos_clk.cpu_est_11_0_a4_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_4 "SM_AMIGA_srsts_i_a6_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_6 "SM_AMIGA_srsts_i_a6[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_6 "SM_AMIGA_srsts_i_a6_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_1_0 "SM_AMIGA_nss_i_i_a6_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a3_0 "SM_AMIGA_srsts_i_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0 "SM_AMIGA_srsts_i[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_0 "SM_AMIGA_srsts_i_o3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_0 "SM_AMIGA_nss_i_i_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_3 "SM_AMIGA_srsts_i_o3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o6_0 "SM_AMIGA_srsts_i_o6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_1 "SM_AMIGA_srsts_i_o3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_2 "SM_AMIGA_srsts_i_o3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_4 "SM_AMIGA_srsts_i_o3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_3_0_a6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0 "SM_AMIGA_srsts_i_a6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_1 "SM_AMIGA_srsts_i_a6[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_1 "SM_AMIGA_srsts_i_a6_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_1_1 "SM_AMIGA_srsts_i_a6_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_2 "SM_AMIGA_srsts_i_a6[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_2 "SM_AMIGA_srsts_i_a6_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_3 "SM_AMIGA_srsts_i_a6[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_3 "SM_AMIGA_srsts_i_a6_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_1_3 "SM_AMIGA_srsts_i_a6_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_4 "SM_AMIGA_srsts_i_a6[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance G_165 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_166 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_167 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_228 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_230 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_lds_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_lds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_uds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance G_160 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_90_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_1__r "cpu_est_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_est_0_2__r "cpu_est_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_2__m "cpu_est_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_2__n "cpu_est_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_2__p "cpu_est_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_030_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_INT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un3_ds_030_d0 "pos_clk.un3_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un8_bg_030 "pos_clk.un8_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un6_as_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un8_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_as_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un6_ds_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_uds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_lds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (net BGACK_030_INT (joined - (portRef Q (instanceRef BGACK_030_INT)) - (portRef I0 (instanceRef un1_as_000_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) - (portRef I0 (instanceRef BGACK_030_INT_0_n)) - (portRef I0 (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef BGACK_030)) - )) - (net VCC (joined - (portRef I0 (instanceRef AVEC)) - )) - (net (rename cpu_est_3 "cpu_est[3]") (joined - (portRef Q (instanceRef cpu_est_3)) - (portRef I0 (instanceRef cpu_est_0_3__n)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) - (portRef I0 (instanceRef cpu_est_i_3)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_1)) - (portRef I0 (instanceRef E)) - )) - (net VMA_INT (joined - (portRef Q (instanceRef VMA_INT)) - (portRef I0 (instanceRef VMA_INT_0_n)) - (portRef I0 (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef VMA)) - )) - (net RESET_OUT (joined - (portRef Q (instanceRef RESET_OUT)) - (portRef I0 (instanceRef un3_as_030)) - (portRef I1 (instanceRef un1_as_000_0)) - (portRef I0 (instanceRef RESET_OUT_i)) - (portRef I1 (instanceRef un2_rw_i_a2)) - (portRef I0 (instanceRef RESET)) - )) - (net GND (joined - (portRef I0 (instanceRef AMIGA_ADDR_ENABLE)) - (portRef I0 (instanceRef BERR)) - (portRef I0 (instanceRef CLK_DIV_OUT)) - (portRef OE (instanceRef CLK_DIV_OUT)) - )) - (net un1_amiga_bus_enable_low (joined - (portRef O (instanceRef un1_amiga_bus_enable_low)) - (portRef I0 (instanceRef un1_amiga_bus_enable_low_i)) - )) - (net un6_as_030 (joined - (portRef O (instanceRef un6_as_030_0_a2)) - (portRef I0 (instanceRef un6_as_030_i)) - )) - (net un3_size (joined - (portRef O (instanceRef un3_size)) - (portRef I0 (instanceRef SIZE_1)) - )) - (net un4_size (joined - (portRef O (instanceRef un4_size)) - (portRef I0 (instanceRef SIZE_0)) - )) - (net un5_ciin (joined - (portRef O (instanceRef un5_ciin)) - (portRef I0 (instanceRef un5_ciin_i)) - (portRef I0 (instanceRef CIIN)) - )) - (net un8_ciin (joined - (portRef O (instanceRef un8_ciin)) - (portRef I0 (instanceRef un8_ciin_i)) - )) - (net un4_as_000 (joined - (portRef O (instanceRef un4_as_000)) - (portRef I0 (instanceRef un4_as_000_i)) - )) - (net un1_SM_AMIGA_7 (joined - (portRef O (instanceRef un1_SM_AMIGA_7_i_0)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1)) - )) - (net un21_fpu_cs (joined - (portRef O (instanceRef un21_fpu_cs_0_a2)) - (portRef I0 (instanceRef un21_fpu_cs_i)) - )) - (net un22_berr (joined - (portRef O (instanceRef un22_berr_0_a2)) - (portRef OE (instanceRef BERR)) - )) - (net un6_ds_030 (joined - (portRef O (instanceRef un6_ds_030)) - (portRef I0 (instanceRef un6_ds_030_i)) - )) - (net un6_uds_000 (joined - (portRef O (instanceRef un6_uds_000)) - (portRef I0 (instanceRef un6_uds_000_i)) - )) - (net un6_lds_000 (joined - (portRef O (instanceRef un6_lds_000)) - (portRef I0 (instanceRef un6_lds_000_i)) - )) - (net (rename cpu_est_0 "cpu_est[0]") (joined - (portRef Q (instanceRef cpu_est_0)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) - (portRef I1 (instanceRef cpu_est_0_0)) - (portRef I0 (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_3)) - )) - (net (rename cpu_est_1 "cpu_est[1]") (joined - (portRef Q (instanceRef cpu_est_1)) - (portRef I0 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef cpu_est_0_1__n)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_o4_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_o4_2)) - (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_2)) - )) - (net AS_000_INT (joined - (portRef Q (instanceRef AS_000_INT)) - (portRef I0 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_i)) - )) - (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined - (portRef Q (instanceRef SM_AMIGA_5)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_5)) - (portRef I0 (instanceRef SM_AMIGA_i_5)) - )) - (net AMIGA_BUS_ENABLE_DMA_LOW (joined - (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) - )) - (net AS_030_D0 (joined - (portRef Q (instanceRef AS_030_D0)) - (portRef I0 (instanceRef AS_030_D0_i)) - (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_1)) - )) - (net nEXP_SPACE_D0 (joined - (portRef Q (instanceRef nEXP_SPACE_D0)) - (portRef I0 (instanceRef nEXP_SPACE_D0_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_d0)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_1)) - (portRef OE (instanceRef DSACK1)) - )) - (net DS_030_D0 (joined - (portRef Q (instanceRef DS_030_D0)) - (portRef I0 (instanceRef DS_030_D0_i)) - )) - (net AS_030_000_SYNC (joined - (portRef Q (instanceRef AS_030_000_SYNC)) - (portRef I0 (instanceRef AS_030_000_SYNC_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) - )) - (net BGACK_030_INT_D (joined - (portRef Q (instanceRef BGACK_030_INT_D)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) - )) - (net AS_000_DMA (joined - (portRef Q (instanceRef AS_000_DMA)) - (portRef I0 (instanceRef AS_000_DMA_0_n)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) - (portRef I0 (instanceRef AS_000_DMA_i)) - )) - (net DS_000_DMA (joined - (portRef Q (instanceRef DS_000_DMA)) - (portRef I0 (instanceRef DS_000_DMA_0_n)) - (portRef I0 (instanceRef DS_000_DMA_i)) - )) - (net (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (joined - (portRef Q (instanceRef CYCLE_DMA_0)) - (portRef I1 (instanceRef G_160)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) - )) - (net (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (joined - (portRef Q (instanceRef CYCLE_DMA_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) - )) - (net (rename SIZE_DMA_0 "SIZE_DMA[0]") (joined - (portRef Q (instanceRef SIZE_DMA_0)) - (portRef I0 (instanceRef SIZE_DMA_0_0__n)) - (portRef I0 (instanceRef un4_size)) - (portRef I0 (instanceRef SIZE_DMA_i_0)) - )) - (net (rename SIZE_DMA_1 "SIZE_DMA[1]") (joined - (portRef Q (instanceRef SIZE_DMA_1)) - (portRef I0 (instanceRef SIZE_DMA_0_1__n)) - (portRef I0 (instanceRef SIZE_DMA_i_1)) - (portRef I0 (instanceRef un3_size)) - )) - (net VPA_D (joined - (portRef Q (instanceRef VPA_D)) - (portRef I1 (instanceRef pos_clk_un21_clk_000_ne_d0)) - (portRef I0 (instanceRef VPA_D_i)) - )) - (net UDS_000_INT (joined - (portRef Q (instanceRef UDS_000_INT)) - (portRef I0 (instanceRef UDS_000_INT_0_n)) - (portRef I0 (instanceRef UDS_000_INT_i)) - )) - (net LDS_000_INT (joined - (portRef Q (instanceRef LDS_000_INT)) - (portRef I0 (instanceRef LDS_000_INT_0_n)) - (portRef I0 (instanceRef LDS_000_INT_i)) - )) - (net CLK_OUT_PRE_D (joined - (portRef Q (instanceRef CLK_OUT_PRE_D)) - (portRef I0 (instanceRef CLK_OUT_PRE_D_i)) - )) - (net DTACK_D0 (joined - (portRef Q (instanceRef DTACK_D0)) - (portRef I0 (instanceRef DTACK_D0_i)) - )) - (net CLK_OUT_PRE_50 (joined - (portRef Q (instanceRef CLK_OUT_PRE_50)) - (portRef I0 (instanceRef CLK_OUT_PRE_50_i)) - (portRef D (instanceRef CLK_OUT_PRE_D)) - )) - (net CLK_000_D1 (joined - (portRef Q (instanceRef CLK_000_D1)) - (portRef I0 (instanceRef CLK_000_D1_i)) - (portRef I1 (instanceRef pos_clk_CLK_000_N_SYNC_2_0)) - )) - (net CLK_000_D0 (joined - (portRef Q (instanceRef CLK_000_D0)) - (portRef I0 (instanceRef pos_clk_CLK_000_P_SYNC_2_0)) - (portRef I0 (instanceRef CLK_000_D0_i)) - (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2)) - (portRef D (instanceRef CLK_000_D1)) - )) - (net CLK_000_PE (joined - (portRef Q (instanceRef CLK_000_PE)) - (portRef I0 (instanceRef G_160)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_a2)) - (portRef I1 (instanceRef pos_clk_un4_bgack_000_i_o2)) - (portRef I0 (instanceRef CLK_000_PE_i)) - (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_1)) - )) - (net (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_9)) - (portRef D (instanceRef CLK_000_PE)) - )) - (net CLK_000_NE (joined - (portRef Q (instanceRef CLK_000_NE)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0)) - (portRef I0 (instanceRef CLK_000_NE_i)) - (portRef I0 (instanceRef G_135)) - (portRef I0 (instanceRef G_136)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_2)) - (portRef D (instanceRef CLK_000_NE_D0)) - )) - (net (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_11)) - (portRef D (instanceRef CLK_000_NE)) - )) - (net (rename cpu_est_2 "cpu_est[2]") (joined - (portRef Q (instanceRef cpu_est_2)) - (portRef I0 (instanceRef cpu_est_0_2__n)) - (portRef I0 (instanceRef cpu_est_i_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_o4_2)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0)) - (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_3)) - )) - (net (rename IPL_D0_0 "IPL_D0[0]") (joined - (portRef Q (instanceRef IPL_D0_0)) - (portRef I0 (instanceRef G_165)) - )) - (net (rename IPL_D0_1 "IPL_D0[1]") (joined - (portRef Q (instanceRef IPL_D0_1)) - (portRef I0 (instanceRef G_166)) - )) - (net (rename IPL_D0_2 "IPL_D0[2]") (joined - (portRef Q (instanceRef IPL_D0_2)) - (portRef I0 (instanceRef G_167)) - )) - (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined - (portRef Q (instanceRef SM_AMIGA_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_3)) - (portRef I0 (instanceRef SM_AMIGA_i_3)) - )) - (net CLK_000_NE_D0 (joined - (portRef Q (instanceRef CLK_000_NE_D0)) - (portRef I1 (instanceRef cpu_est_0_3__m)) - (portRef I0 (instanceRef cpu_est_0_3__r)) - (portRef I1 (instanceRef cpu_est_0_2__m)) - (portRef I0 (instanceRef cpu_est_0_2__r)) - (portRef I1 (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_0_1__r)) - (portRef I0 (instanceRef pos_clk_un29_clk_000_ne_d0)) - (portRef I0 (instanceRef cpu_est_0_0)) - )) - (net (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (joined - (portRef O (instanceRef pos_clk_un6_bg_030_0_a2)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_i)) - )) - (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined - (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a3_0)) - (portRef I0 (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_a2)) - )) - (net AMIGA_BUS_ENABLE_DMA_HIGH (joined - (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net DSACK1_INT (joined - (portRef Q (instanceRef DSACK1_INT)) - (portRef I0 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1)) - )) - (net (rename pos_clk_CLK_000_P_SYNC_2_0 "pos_clk.CLK_000_P_SYNC_2[0]") (joined - (portRef O (instanceRef pos_clk_CLK_000_P_SYNC_2_0)) - (portRef D (instanceRef CLK_000_P_SYNC_0)) - )) - (net (rename pos_clk_CLK_000_N_SYNC_2_0 "pos_clk.CLK_000_N_SYNC_2[0]") (joined - (portRef O (instanceRef pos_clk_CLK_000_N_SYNC_2_0)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_d0_1)) - (portRef D (instanceRef CLK_000_N_SYNC_0)) - )) - (net (rename pos_clk_ipl "pos_clk.ipl") (joined - (portRef O (instanceRef G_168)) - (portRef I1 (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__r)) - )) - (net (rename pos_clk_un3_ds_030_d0 "pos_clk.un3_ds_030_d0") (joined - (portRef O (instanceRef pos_clk_un3_ds_030_d0)) - (portRef I1 (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_0_r)) - (portRef I1 (instanceRef LDS_000_INT_0_m)) - (portRef I0 (instanceRef LDS_000_INT_0_r)) - )) - (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined - (portRef Q (instanceRef SM_AMIGA_6)) - (portRef I1 (instanceRef pos_clk_un3_ds_030_d0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_6)) - (portRef I0 (instanceRef SM_AMIGA_i_6)) - )) - (net (rename RST_DLY_0 "RST_DLY[0]") (joined - (portRef Q (instanceRef RST_DLY_0)) - (portRef I1 (instanceRef G_135)) - (portRef I1 (instanceRef G_136)) - )) - (net (rename RST_DLY_1 "RST_DLY[1]") (joined - (portRef Q (instanceRef RST_DLY_1)) - (portRef I1 (instanceRef G_137)) - (portRef I1 (instanceRef G_138)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_5_1)) - )) - (net (rename RST_DLY_2 "RST_DLY[2]") (joined - (portRef Q (instanceRef RST_DLY_2)) - (portRef I1 (instanceRef G_139)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_5)) - )) - (net (rename RST_DLY_3 "RST_DLY[3]") (joined - (portRef Q (instanceRef RST_DLY_3)) - (portRef I1 (instanceRef G_141)) - (portRef I1 (instanceRef G_142)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_7_1)) - )) - (net (rename RST_DLY_4 "RST_DLY[4]") (joined - (portRef Q (instanceRef RST_DLY_4)) - (portRef I1 (instanceRef G_143)) - (portRef I1 (instanceRef G_144)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7_2)) - )) - (net (rename RST_DLY_5 "RST_DLY[5]") (joined - (portRef Q (instanceRef RST_DLY_5)) - (portRef I1 (instanceRef G_145)) - (portRef I1 (instanceRef G_146)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_7_2)) - )) - (net (rename RST_DLY_6 "RST_DLY[6]") (joined - (portRef Q (instanceRef RST_DLY_6)) - (portRef I1 (instanceRef G_147)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_7)) - )) - (net (rename RST_DLY_7 "RST_DLY[7]") (joined - (portRef Q (instanceRef RST_DLY_7)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa)) - (portRef I1 (instanceRef G_149)) - )) - (net (rename pos_clk_un8_bg_030 "pos_clk.un8_bg_030") (joined - (portRef O (instanceRef pos_clk_un8_bg_030_i)) - (portRef I1 (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_r)) - )) - (net (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_0)) - (portRef D (instanceRef CLK_000_P_SYNC_1)) - )) - (net (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_1)) - (portRef D (instanceRef CLK_000_P_SYNC_2)) - )) - (net (rename CLK_000_P_SYNC_2 "CLK_000_P_SYNC[2]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_2)) - (portRef D (instanceRef CLK_000_P_SYNC_3)) - )) - (net (rename CLK_000_P_SYNC_3 "CLK_000_P_SYNC[3]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_3)) - (portRef D (instanceRef CLK_000_P_SYNC_4)) - )) - (net (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_4)) - (portRef D (instanceRef CLK_000_P_SYNC_5)) - )) - (net (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_5)) - (portRef D (instanceRef CLK_000_P_SYNC_6)) - )) - (net (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_6)) - (portRef D (instanceRef CLK_000_P_SYNC_7)) - )) - (net (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_7)) - (portRef D (instanceRef CLK_000_P_SYNC_8)) - )) - (net (rename CLK_000_P_SYNC_8 "CLK_000_P_SYNC[8]") (joined - (portRef Q (instanceRef CLK_000_P_SYNC_8)) - (portRef D (instanceRef CLK_000_P_SYNC_9)) - )) - (net (rename CLK_000_N_SYNC_0 "CLK_000_N_SYNC[0]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_0)) - (portRef D (instanceRef CLK_000_N_SYNC_1)) - )) - (net (rename CLK_000_N_SYNC_1 "CLK_000_N_SYNC[1]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_1)) - (portRef D (instanceRef CLK_000_N_SYNC_2)) - )) - (net (rename CLK_000_N_SYNC_2 "CLK_000_N_SYNC[2]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_2)) - (portRef D (instanceRef CLK_000_N_SYNC_3)) - )) - (net (rename CLK_000_N_SYNC_3 "CLK_000_N_SYNC[3]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_3)) - (portRef D (instanceRef CLK_000_N_SYNC_4)) - )) - (net (rename CLK_000_N_SYNC_4 "CLK_000_N_SYNC[4]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_4)) - (portRef D (instanceRef CLK_000_N_SYNC_5)) - )) - (net (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_5)) - (portRef D (instanceRef CLK_000_N_SYNC_6)) - )) - (net (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_6)) - (portRef D (instanceRef CLK_000_N_SYNC_7)) - )) - (net (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_7)) - (portRef D (instanceRef CLK_000_N_SYNC_8)) - )) - (net (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_8)) - (portRef D (instanceRef CLK_000_N_SYNC_9)) - )) - (net (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_9)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_n_sync)) - (portRef D (instanceRef CLK_000_N_SYNC_10)) - )) - (net (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_10)) - (portRef I0 (instanceRef CLK_000_N_SYNC_i_10)) - (portRef D (instanceRef CLK_000_N_SYNC_11)) - )) - (net RW_000_INT (joined - (portRef Q (instanceRef RW_000_INT)) - (portRef I0 (instanceRef RW_000_INT_0_n)) - (portRef I0 (instanceRef RW_000)) - )) - (net RW_000_DMA (joined - (portRef Q (instanceRef RW_000_DMA)) - (portRef I0 (instanceRef RW_000_DMA_0_n)) - (portRef I0 (instanceRef RW)) - )) - (net (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_i)) - (portRef I1 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_r)) - )) - (net A0_DMA (joined - (portRef Q (instanceRef A0_DMA)) - (portRef I0 (instanceRef A0_DMA_0_n)) - (portRef I0 (instanceRef A0)) - )) - (net DS_000_ENABLE_1_sqmuxa (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i)) - )) - (net CLK_030_H (joined - (portRef Q (instanceRef CLK_030_H)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) - (portRef I0 (instanceRef CLK_030_H_i)) - )) - (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined - (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0)) - (portRef I0 (instanceRef SM_AMIGA_i_1)) - )) - (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined - (portRef Q (instanceRef SM_AMIGA_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_4)) - (portRef I0 (instanceRef SM_AMIGA_i_4)) - )) - (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined - (portRef Q (instanceRef SM_AMIGA_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_2)) - (portRef I0 (instanceRef SM_AMIGA_i_2)) - )) - (net DS_000_ENABLE (joined - (portRef Q (instanceRef DS_000_ENABLE)) - (portRef I0 (instanceRef un6_lds_000_1)) - (portRef I0 (instanceRef DS_000_ENABLE_0_m)) - )) - (net AS_000_INT_1_sqmuxa (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I1 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_r)) - )) - (net DSACK1_INT_1_sqmuxa (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa)) - (portRef I1 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_r)) - )) - (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) - (portRef I0 (instanceRef DS_000_DMA_0_m)) - )) - (net N_3 (joined - (portRef O (instanceRef DS_000_DMA_0_p)) - (portRef I0 (instanceRef N_3_i)) - )) - (net N_4 (joined - (portRef O (instanceRef SIZE_DMA_0_0__p)) - (portRef D (instanceRef SIZE_DMA_0)) - )) - (net N_5 (joined - (portRef O (instanceRef SIZE_DMA_0_1__p)) - (portRef D (instanceRef SIZE_DMA_1)) - )) - (net N_6 (joined - (portRef O (instanceRef AS_000_DMA_0_p)) - (portRef I0 (instanceRef N_6_i)) - )) - (net N_9 (joined - (portRef O (instanceRef DSACK1_INT_0_p)) - (portRef I0 (instanceRef N_9_i)) - )) - (net N_10 (joined - (portRef O (instanceRef AS_000_INT_0_p)) - (portRef I0 (instanceRef N_10_i)) - )) - (net N_14 (joined - (portRef O (instanceRef LDS_000_INT_0_p)) - (portRef I0 (instanceRef N_14_i)) - )) - (net N_15 (joined - (portRef O (instanceRef RW_000_INT_0_p)) - (portRef I0 (instanceRef N_15_i)) - )) - (net N_17 (joined - (portRef O (instanceRef UDS_000_INT_0_p)) - (portRef I0 (instanceRef N_17_i)) - )) - (net N_19 (joined - (portRef O (instanceRef VMA_INT_0_p)) - (portRef I0 (instanceRef N_19_i)) - )) - (net N_22 (joined - (portRef O (instanceRef BG_000_0_p)) - (portRef I0 (instanceRef N_22_i)) - )) - (net N_23 (joined - (portRef O (instanceRef IPL_030_0_0__p)) - (portRef I0 (instanceRef N_23_i)) - )) - (net N_24 (joined - (portRef O (instanceRef IPL_030_0_1__p)) - (portRef I0 (instanceRef N_24_i)) - )) - (net N_25 (joined - (portRef O (instanceRef IPL_030_0_2__p)) - (portRef I0 (instanceRef N_25_i)) - )) - (net N_26 (joined - (portRef O (instanceRef cpu_est_0_0)) - (portRef D (instanceRef cpu_est_0)) - )) - (net N_27 (joined - (portRef O (instanceRef cpu_est_0_1__p)) - (portRef D (instanceRef cpu_est_1)) - )) - (net N_28 (joined - (portRef O (instanceRef cpu_est_0_2__p)) - (portRef D (instanceRef cpu_est_2)) - )) - (net N_29 (joined - (portRef O (instanceRef cpu_est_0_3__p)) - (portRef D (instanceRef cpu_est_3)) - )) - (net N_30 (joined - (portRef O (instanceRef IPL_030_1_i_0)) - (portRef D (instanceRef IPL_030DFF_0)) - )) - (net N_31 (joined - (portRef O (instanceRef IPL_030_1_i_1)) - (portRef D (instanceRef IPL_030DFF_1)) - )) - (net N_32 (joined - (portRef O (instanceRef IPL_030_1_i_2)) - (portRef D (instanceRef IPL_030DFF_2)) - )) - (net N_33 (joined - (portRef O (instanceRef BG_000_1_i)) - (portRef D (instanceRef BG_000DFF)) - )) - (net N_34 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) - (portRef D (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) - )) - (net N_35 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) - (portRef D (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) - )) - (net N_36 (joined - (portRef O (instanceRef VMA_INT_1_i)) - (portRef D (instanceRef VMA_INT)) - )) - (net N_38 (joined - (portRef O (instanceRef UDS_000_INT_1_i)) - (portRef D (instanceRef UDS_000_INT)) - )) - (net N_39 (joined - (portRef O (instanceRef RW_000_DMA_2_i)) - (portRef D (instanceRef RW_000_DMA)) - )) - (net N_40 (joined - (portRef O (instanceRef RW_000_INT_2_i)) - (portRef D (instanceRef RW_000_INT)) - )) - (net N_41 (joined - (portRef O (instanceRef LDS_000_INT_1_i)) - (portRef D (instanceRef LDS_000_INT)) - )) - (net N_42 (joined - (portRef O (instanceRef BGACK_030_INT_1_i)) - (portRef D (instanceRef BGACK_030_INT)) - )) - (net N_43 (joined - (portRef O (instanceRef AS_030_000_SYNC_1_i)) - (portRef D (instanceRef AS_030_000_SYNC)) - )) - (net N_44 (joined - (portRef O (instanceRef AS_000_INT_1_i)) - (portRef D (instanceRef AS_000_INT)) - )) - (net N_45 (joined - (portRef O (instanceRef DSACK1_INT_1_i)) - (portRef D (instanceRef DSACK1_INT)) - )) - (net N_46 (joined - (portRef O (instanceRef A0_DMA_1_i)) - (portRef D (instanceRef A0_DMA)) - )) - (net N_48 (joined - (portRef O (instanceRef AS_000_DMA_1_i)) - (portRef D (instanceRef AS_000_DMA)) - )) - (net N_49 (joined - (portRef O (instanceRef DS_000_DMA_1_i)) - (portRef D (instanceRef DS_000_DMA)) - )) - (net N_50 (joined - (portRef O (instanceRef DS_030_D0_0_i)) - (portRef D (instanceRef DS_030_D0)) - )) - (net N_52 (joined - (portRef O (instanceRef IPL_D0_0_i_0)) - (portRef D (instanceRef IPL_D0_0)) - )) - (net N_53 (joined - (portRef O (instanceRef IPL_D0_0_i_1)) - (portRef D (instanceRef IPL_D0_1)) - )) - (net N_54 (joined - (portRef O (instanceRef IPL_D0_0_i_2)) - (portRef D (instanceRef IPL_D0_2)) - )) - (net N_55 (joined - (portRef O (instanceRef nEXP_SPACE_D0_0_i)) - (portRef D (instanceRef nEXP_SPACE_D0)) - )) - (net N_56 (joined - (portRef O (instanceRef VPA_D_0_i)) - (portRef D (instanceRef VPA_D)) - )) - (net N_57 (joined - (portRef O (instanceRef DTACK_D0_0_i)) - (portRef D (instanceRef DTACK_D0)) - )) - (net N_60 (joined - (portRef O (instanceRef DS_000_ENABLE_1)) - (portRef D (instanceRef DS_000_ENABLE)) - )) - (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined - (portRef Q (instanceRef SM_AMIGA_i_7)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_6)) - (portRef I0 (instanceRef SM_AMIGA_i_i_7)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0)) - )) - (net N_115 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i_0_i)) - (portRef I1 (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_r)) - )) - (net (rename pos_clk_cpu_est_11_1 "pos_clk.cpu_est_11[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_i_1)) - (portRef I0 (instanceRef cpu_est_0_1__m)) - )) - (net (rename pos_clk_cpu_est_11_3 "pos_clk.cpu_est_11[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_i_3)) - (portRef I0 (instanceRef cpu_est_0_3__m)) - )) - (net N_220 (joined - (portRef O (instanceRef G_160)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - )) - (net N_224 (joined - (portRef O (instanceRef G_165)) - (portRef I0 (instanceRef N_224_i)) - )) - (net N_225 (joined - (portRef O (instanceRef G_166)) - (portRef I0 (instanceRef N_225_i)) - )) - (net N_226 (joined - (portRef O (instanceRef G_167)) - (portRef I0 (instanceRef N_226_i)) - )) - (net un6_uds_000_1 (joined - (portRef O (instanceRef un6_lds_000_1)) - (portRef I1 (instanceRef un6_uds_000)) - (portRef I1 (instanceRef un6_lds_000)) - )) - (net (rename pos_clk_un24_bgack_030_int_i_0 "pos_clk.un24_bgack_030_int_i_0") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_i)) - (portRef I0 (instanceRef AS_000_DMA_0_m)) - )) - (net N_244 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_i)) - (portRef I1 (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_r)) - )) - (net N_245 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_i)) - (portRef I1 (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_r)) - )) - (net N_257 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2_i)) - (portRef D (instanceRef BGACK_030_INT_D)) - )) - (net N_80 (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) - )) - (net N_90 (joined - (portRef O (instanceRef AS_030_D0_0_i_a2)) - (portRef I0 (instanceRef N_90_i)) - )) - (net N_100 (joined - (portRef O (instanceRef un2_rw_i_a2)) - (portRef OE (instanceRef RW)) - )) - (net N_105 (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_i_a2)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1)) - (portRef I0 (instanceRef N_105_i)) - )) - (net (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un11_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync_i)) - )) - (net N_78_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - )) - (net N_79_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - )) - (net N_135 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) - )) - (net N_133 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) - )) - (net N_190 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_3)) - )) - (net N_188 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) - )) - (net N_189 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1)) - )) - (net N_136 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_3)) - )) - (net (rename pos_clk_un7_clk_000_d0 "pos_clk.un7_clk_000_d0") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_d0)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_d0_i)) - )) - (net (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un29_clk_000_ne_d0_i)) - )) - (net DSACK1_INT_0_sqmuxa (joined - (portRef O (instanceRef DSACK1_INT_0_sqmuxa)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i)) - )) - (net (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0_i)) - )) - (net (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_i)) - )) - (net (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_i)) - )) - (net (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0_i)) - (portRef I1 (instanceRef pos_clk_un29_clk_000_ne_d0)) - )) - (net (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_i)) - )) - (net (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_n_sync_i)) - (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa)) - )) - (net N_144 (joined - (portRef O (instanceRef un1_SM_AMIGA_3_0_a6)) - (portRef I0 (instanceRef N_144_i)) - )) - (net N_146 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0)) - (portRef I0 (instanceRef N_146_i)) - )) - (net N_143 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0)) - )) - (net N_147 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1)) - (portRef I0 (instanceRef N_147_i)) - )) - (net N_139 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1)) - )) - (net N_148 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_1)) - (portRef I0 (instanceRef N_148_i)) - )) - (net N_149 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_1)) - (portRef I0 (instanceRef N_149_i)) - )) - (net N_150 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_2)) - (portRef I0 (instanceRef N_150_i)) - )) - (net N_140 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_2)) - )) - (net N_151 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_2)) - (portRef I0 (instanceRef N_151_i)) - )) - (net N_152 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_3)) - (portRef I0 (instanceRef N_152_i)) - )) - (net N_153 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_3)) - (portRef I0 (instanceRef N_153_i)) - )) - (net N_154 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_3)) - (portRef I0 (instanceRef N_154_i)) - )) - (net N_155 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_4)) - (portRef I0 (instanceRef N_155_i)) - )) - (net N_141 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_4)) - )) - (net N_156 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_4)) - (portRef I0 (instanceRef N_156_i)) - )) - (net N_160 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_6)) - (portRef I0 (instanceRef N_160_i)) - )) - (net N_142 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_6)) - )) - (net N_161 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_6)) - (portRef I0 (instanceRef N_161_i)) - )) - (net N_164 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) - (portRef I0 (instanceRef N_164_i)) - )) - (net N_166 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a3_0)) - (portRef I0 (instanceRef N_166_i)) - )) - (net N_132 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a3_0)) - )) - (net N_191 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1)) - (portRef I0 (instanceRef N_191_i)) - )) - (net N_192 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) - (portRef I0 (instanceRef N_192_i)) - )) - (net N_193 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) - (portRef I0 (instanceRef N_193_i)) - )) - (net N_194 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) - (portRef I0 (instanceRef N_194_i)) - )) - (net N_197 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_3)) - (portRef I0 (instanceRef N_197_i)) - )) - (net N_198 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) - (portRef I0 (instanceRef N_198_i)) - )) - (net N_195 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_2)) - (portRef I0 (instanceRef N_195_i)) - )) - (net N_196 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) - (portRef I0 (instanceRef N_196_i)) - )) - (net N_162 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0)) - (portRef I0 (instanceRef N_162_i)) - )) - (net N_199 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) - (portRef I0 (instanceRef N_199_i)) - )) - (net N_199_1 (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_1)) - )) - (net N_163 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) - (portRef I0 (instanceRef N_163_i)) - )) - (net N_165 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) - (portRef I0 (instanceRef N_165_i)) - )) - (net N_73 (joined - (portRef O (instanceRef CLK_030_H_2_i_o2_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_a2)) - )) - (net N_94 (joined - (portRef O (instanceRef CLK_030_H_2_i_a2)) - (portRef I0 (instanceRef N_94_i)) - )) - (net N_85 (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) - (portRef I0 (instanceRef N_85_i)) - )) - (net N_84 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) - (portRef I0 (instanceRef N_84_i)) - )) - (net N_83 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i_0_a2)) - (portRef I0 (instanceRef N_83_i)) - )) - (net (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_n_sync_i)) - )) - (net (rename un1_RST_DLY_8 "un1_RST_DLY[8]") (joined - (portRef O (instanceRef G_149)) - (portRef I0 (instanceRef un1_RST_DLY_i_8)) - )) - (net (rename un1_RST_DLY_7 "un1_RST_DLY[7]") (joined - (portRef O (instanceRef G_147)) - (portRef I0 (instanceRef un1_RST_DLY_i_7)) - )) - (net N_213 (joined - (portRef O (instanceRef G_146)) - (portRef I0 (instanceRef G_147)) - )) - (net (rename un1_RST_DLY_6 "un1_RST_DLY[6]") (joined - (portRef O (instanceRef G_145)) - (portRef I0 (instanceRef un1_RST_DLY_i_6)) - )) - (net N_211 (joined - (portRef O (instanceRef G_144)) - (portRef I0 (instanceRef G_145)) - (portRef I0 (instanceRef G_146)) - )) - (net (rename un1_RST_DLY_5 "un1_RST_DLY[5]") (joined - (portRef O (instanceRef G_143)) - (portRef I0 (instanceRef un1_RST_DLY_i_5)) - )) - (net N_209 (joined - (portRef O (instanceRef G_142)) - (portRef I0 (instanceRef G_143)) - (portRef I0 (instanceRef G_144)) - )) - (net (rename un1_RST_DLY_4 "un1_RST_DLY[4]") (joined - (portRef O (instanceRef G_141)) - (portRef I0 (instanceRef un1_RST_DLY_i_4)) - )) - (net (rename un1_RST_DLY_3 "un1_RST_DLY[3]") (joined - (portRef O (instanceRef G_139)) - (portRef I0 (instanceRef un1_RST_DLY_i_3)) - )) - (net N_205 (joined - (portRef O (instanceRef G_138)) - (portRef I0 (instanceRef G_139)) - )) - (net (rename un1_RST_DLY_2 "un1_RST_DLY[2]") (joined - (portRef O (instanceRef G_137)) - (portRef I0 (instanceRef un1_RST_DLY_i_2)) - )) - (net RESET_OUT_0_sqmuxa_1 (joined - (portRef O (instanceRef G_136)) - (portRef I0 (instanceRef G_137)) - (portRef I0 (instanceRef G_138)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5_1)) - )) - (net RESET_OUT_0_sqmuxa (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_i)) - )) - (net RESET_OUT_0_sqmuxa_7 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa)) - (portRef I0 (instanceRef G_149)) - )) - (net RESET_OUT_0_sqmuxa_5 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_5)) - (portRef I0 (instanceRef G_141)) - (portRef I0 (instanceRef G_142)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7_1)) - )) - (net (rename un1_RST_DLY_i_m_8 "un1_RST_DLY_i_m[8]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_8)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_8)) - )) - (net (rename un1_RST_DLY_i_m_7 "un1_RST_DLY_i_m[7]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_7)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_7)) - )) - (net (rename un1_RST_DLY_i_m_6 "un1_RST_DLY_i_m[6]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_6)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_6)) - )) - (net (rename un1_RST_DLY_i_m_5 "un1_RST_DLY_i_m[5]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_5)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_5)) - )) - (net (rename un1_RST_DLY_i_m_4 "un1_RST_DLY_i_m[4]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_4)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_4)) - )) - (net (rename un1_RST_DLY_i_m_3 "un1_RST_DLY_i_m[3]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_3)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_3)) - )) - (net (rename un1_RST_DLY_i_m_2 "un1_RST_DLY_i_m[2]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_2)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_2)) - )) - (net N_86 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) - (portRef I0 (instanceRef N_86_i)) - )) - (net N_93 (joined - (portRef O (instanceRef RESET_OUT_2_i_a2)) - (portRef I0 (instanceRef N_93_i)) - )) - (net N_87 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) - (portRef I0 (instanceRef N_87_i)) - )) - (net (rename un1_RST_DLY_1 "un1_RST_DLY[1]") (joined - (portRef O (instanceRef G_135)) - (portRef I0 (instanceRef un1_RST_DLY_i_1)) - )) - (net N_159 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_5)) - (portRef I0 (instanceRef N_159_i)) - )) - (net N_157 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_5)) - (portRef I0 (instanceRef N_157_i)) - )) - (net N_158 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_5)) - (portRef I0 (instanceRef N_158_i)) - )) - (net (rename un1_RST_DLY_i_m_1 "un1_RST_DLY_i_m[1]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_1)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_1)) - )) - (net N_138 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_5)) - )) - (net N_88 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef N_88_i)) - )) - (net N_256 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - )) - (net N_89 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - (portRef I0 (instanceRef N_89_i)) - )) - (net N_91 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) - (portRef I0 (instanceRef N_91_i)) - )) - (net N_92 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - (portRef I0 (instanceRef N_92_i)) - )) - (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined - (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I0 (instanceRef A0_DMA_0_m)) - )) - (net N_96 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2)) - (portRef I0 (instanceRef N_96_i)) - )) - (net N_72 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2)) - )) - (net N_97 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) - (portRef I0 (instanceRef N_97_i)) - )) - (net N_116 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2_1)) - (portRef I1 (instanceRef un22_berr_0_a2)) - )) - (net N_104 (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_a2)) - (portRef I0 (instanceRef N_104_i)) - )) - (net N_76 (joined - (portRef O (instanceRef pos_clk_un4_bgack_000_i_o2_i)) - (portRef I1 (instanceRef pos_clk_un6_bgack_000_0)) - )) - (net (rename pos_clk_SIZE_DMA_6_1 "pos_clk.SIZE_DMA_6[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) - (portRef I0 (instanceRef SIZE_DMA_0_1__m)) - )) - (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) - (portRef I0 (instanceRef SIZE_DMA_0_0__m)) - )) - (net N_250 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) - )) - (net (rename pos_clk_un6_bgack_000 "pos_clk.un6_bgack_000") (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0_i)) - (portRef I1 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_r)) - )) - (net N_251 (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_i_0_i)) - (portRef I0 (instanceRef RW_000_DMA_0_m)) - )) - (net N_252 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_i)) - (portRef I1 (instanceRef SIZE_DMA_0_0__m)) - (portRef I0 (instanceRef SIZE_DMA_0_0__r)) - (portRef I1 (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef SIZE_DMA_0_1__r)) - )) - (net (rename pos_clk_un5_bgack_030_int_d "pos_clk.un5_bgack_030_int_d") (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) - (portRef I1 (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - )) - (net N_63_i (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_2)) - )) - (net DS_000_ENABLE_1_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) - )) - (net un22_berr_1 (joined - (portRef O (instanceRef un22_berr_0_a2_1)) - (portRef I0 (instanceRef un22_berr_0_a2_1_0)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2)) - )) - (net N_8 (joined - (portRef O (instanceRef A0_DMA_0_p)) - (portRef I0 (instanceRef N_8_i)) - )) - (net N_12 (joined - (portRef O (instanceRef AS_030_000_SYNC_0_p)) - (portRef I0 (instanceRef N_12_i)) - )) - (net N_13 (joined - (portRef O (instanceRef BGACK_030_INT_0_p)) - (portRef I0 (instanceRef N_13_i)) - )) - (net N_16 (joined - (portRef O (instanceRef RW_000_DMA_0_p)) - (portRef I0 (instanceRef N_16_i)) - )) - (net N_20 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) - (portRef I0 (instanceRef N_20_i)) - )) - (net N_21 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - (portRef I0 (instanceRef N_21_i)) - )) - (net N_11 (joined - (portRef O (instanceRef DS_000_ENABLE_0_p)) - (portRef I0 (instanceRef DS_000_ENABLE_1)) - )) - (net un1_amiga_bus_enable_low_i (joined - (portRef O (instanceRef un1_amiga_bus_enable_low_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_LOW)) - )) - (net un21_fpu_cs_i (joined - (portRef O (instanceRef un21_fpu_cs_i)) - (portRef I0 (instanceRef FPU_CS)) - )) - (net BGACK_030_INT_i (joined - (portRef O (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef un1_as_030)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - (portRef I0 (instanceRef un2_rw_i_a2)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0)) - (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - (portRef I1 (instanceRef un1_amiga_bus_enable_low)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) - )) - (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) - (portRef I0 (instanceRef un1_amiga_bus_enable_low)) - )) - (net AS_030_i (joined - (portRef O (instanceRef I_224)) - (portRef I0 (instanceRef AS_030_D0_0_i_a2)) - (portRef I0 (instanceRef un22_berr_0_a2_1)) - (portRef I1 (instanceRef un4_as_000)) - )) - (net AS_000_INT_i (joined - (portRef O (instanceRef AS_000_INT_i)) - (portRef I0 (instanceRef un4_as_000)) - )) - (net N_105_i (joined - (portRef O (instanceRef N_105_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net N_91_i (joined - (portRef O (instanceRef N_91_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - )) - (net N_92_i (joined - (portRef O (instanceRef N_92_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - )) - (net (rename A_i_19 "A_i[19]") (joined - (portRef O (instanceRef A_i_19)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net (rename A_i_18 "A_i[18]") (joined - (portRef O (instanceRef A_i_18)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net (rename A_i_16 "A_i[16]") (joined - (portRef O (instanceRef A_i_16)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - )) - (net (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (joined - (portRef O (instanceRef SIZE_DMA_i_1)) - (portRef I1 (instanceRef un4_size)) - )) - (net (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (joined - (portRef O (instanceRef SIZE_DMA_i_0)) - (portRef I1 (instanceRef un3_size)) - )) - (net FPU_SENSE_i (joined - (portRef O (instanceRef FPU_SENSE_i)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2_1)) - )) - (net CLK_000_D1_i (joined - (portRef O (instanceRef CLK_000_D1_i)) - (portRef I1 (instanceRef pos_clk_CLK_000_P_SYNC_2_0)) - )) - (net CLK_000_D0_i (joined - (portRef O (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef pos_clk_CLK_000_N_SYNC_2_0)) - )) - (net AS_030_000_SYNC_i (joined - (portRef O (instanceRef AS_030_000_SYNC_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_d0_1)) - )) - (net AS_030_D0_i (joined - (portRef O (instanceRef AS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_i_a2)) - (portRef I0 (instanceRef un5_ciin_1)) - )) - (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined - (portRef O (instanceRef SM_AMIGA_i_i_7)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) - )) - (net AS_000_i (joined - (portRef O (instanceRef I_225)) - (portRef I0 (instanceRef un6_ds_030)) - (portRef I1 (instanceRef un6_as_030_0_a2)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - )) - (net CLK_000_PE_i (joined - (portRef O (instanceRef CLK_000_PE_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_5)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0)) - )) - (net nEXP_SPACE_D0_i (joined - (portRef O (instanceRef nEXP_SPACE_D0_i)) - (portRef I0 (instanceRef un8_ciin)) - (portRef I1 (instanceRef un1_as_030)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_2)) - )) - (net A1_i (joined - (portRef O (instanceRef A1_i)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_5)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) - )) - (net BERR_i (joined - (portRef O (instanceRef I_226)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_1)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_5)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) - )) - (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined - (portRef O (instanceRef SM_AMIGA_i_5)) - (portRef I0 (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_4)) - (portRef I1 (instanceRef un1_SM_AMIGA_3_0_a6)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_5)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_1)) - )) - (net RESET_OUT_0_sqmuxa_i (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_i)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_7)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_8)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_2)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_3)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_4)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_5)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_6)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_1)) - (portRef I0 (instanceRef RESET_OUT_2_i_a2)) - )) - (net (rename un1_RST_DLY_i_1 "un1_RST_DLY_i[1]") (joined - (portRef O (instanceRef un1_RST_DLY_i_1)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_1)) - )) - (net RESET_OUT_i (joined - (portRef O (instanceRef RESET_OUT_i)) - (portRef I1 (instanceRef RESET_OUT_2_i_a2)) - )) - (net RW_000_i (joined - (portRef O (instanceRef I_227)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) - (portRef I1 (instanceRef un14_amiga_bus_data_dir_i_0)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - )) - (net (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (joined - (portRef O (instanceRef un1_RST_DLY_i_2)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_2)) - )) - (net (rename un1_RST_DLY_i_3 "un1_RST_DLY_i[3]") (joined - (portRef O (instanceRef un1_RST_DLY_i_3)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_3)) - )) - (net (rename un1_RST_DLY_i_4 "un1_RST_DLY_i[4]") (joined - (portRef O (instanceRef un1_RST_DLY_i_4)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_4)) - )) - (net (rename un1_RST_DLY_i_5 "un1_RST_DLY_i[5]") (joined - (portRef O (instanceRef un1_RST_DLY_i_5)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_5)) - )) - (net (rename un1_RST_DLY_i_6 "un1_RST_DLY_i[6]") (joined - (portRef O (instanceRef un1_RST_DLY_i_6)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_6)) - )) - (net (rename un1_RST_DLY_i_7 "un1_RST_DLY_i[7]") (joined - (portRef O (instanceRef un1_RST_DLY_i_7)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_7)) - )) - (net (rename un1_RST_DLY_i_8 "un1_RST_DLY_i[8]") (joined - (portRef O (instanceRef un1_RST_DLY_i_8)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_8)) - )) - (net CLK_OUT_PRE_D_i (joined - (portRef O (instanceRef CLK_OUT_PRE_D_i)) - (portRef I1 (instanceRef pos_clk_un11_clk_000_n_sync)) - )) - (net (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (joined - (portRef O (instanceRef pos_clk_un11_clk_000_n_sync_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_n_sync)) - )) - (net CLK_030_H_i (joined - (portRef O (instanceRef CLK_030_H_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_a2)) - )) - (net AS_000_DMA_i (joined - (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef un6_as_030_0_a2)) - )) - (net VMA_INT_i (joined - (portRef O (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) - )) - (net VPA_D_i (joined - (portRef O (instanceRef VPA_D_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_2)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) - )) - (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined - (portRef O (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) - (portRef I1 (instanceRef pos_clk_un5_clk_000_pe)) - )) - (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined - (portRef O (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_1)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_1)) - )) - (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined - (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_o4_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_1)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_1)) - )) - (net (rename A_i_24 "A_i[24]") (joined - (portRef O (instanceRef A_i_24)) - (portRef I1 (instanceRef un5_ciin_3)) - )) - (net (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_d0_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) - )) - (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) - )) - (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined - (portRef O (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_2)) - (portRef I0 (instanceRef un1_SM_AMIGA_3_0_a6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) - )) - (net CLK_000_NE_i (joined - (portRef O (instanceRef CLK_000_NE_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_5)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) - )) - (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined - (portRef O (instanceRef cpu_est_i_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) - )) - (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined - (portRef O (instanceRef SM_AMIGA_i_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_1)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0)) - )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) - )) - (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined - (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) - )) - (net (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (joined - (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_3)) - )) - (net DSACK1_INT_0_sqmuxa_i (joined - (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i)) - (portRef I0 (instanceRef DSACK1_INT_0_n)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa)) - )) - (net RW_i (joined - (portRef O (instanceRef I_228)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa)) - )) - (net un5_ciin_i (joined - (portRef O (instanceRef un5_ciin_i)) - (portRef I1 (instanceRef un8_ciin)) - )) - (net DTACK_D0_i (joined - (portRef O (instanceRef DTACK_D0_i)) - (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0)) - )) - (net (rename A_i_31 "A_i[31]") (joined - (portRef O (instanceRef A_i_31)) - (portRef I0 (instanceRef un5_ciin_5)) - )) - (net (rename A_i_29 "A_i[29]") (joined - (portRef O (instanceRef A_i_29)) - (portRef I1 (instanceRef un5_ciin_6)) - )) - (net (rename A_i_30 "A_i[30]") (joined - (portRef O (instanceRef A_i_30)) - (portRef I1 (instanceRef un5_ciin_11)) - )) - (net (rename A_i_27 "A_i[27]") (joined - (portRef O (instanceRef A_i_27)) - (portRef I1 (instanceRef un5_ciin_5)) - )) - (net (rename A_i_28 "A_i[28]") (joined - (portRef O (instanceRef A_i_28)) - (portRef I0 (instanceRef un5_ciin_6)) - )) - (net (rename A_i_25 "A_i[25]") (joined - (portRef O (instanceRef A_i_25)) - (portRef I0 (instanceRef un5_ciin_4)) - )) - (net (rename A_i_26 "A_i[26]") (joined - (portRef O (instanceRef A_i_26)) - (portRef I1 (instanceRef un5_ciin_4)) - )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I0 (instanceRef un6_uds_000)) - )) - (net LDS_000_INT_i (joined - (portRef O (instanceRef LDS_000_INT_i)) - (portRef I0 (instanceRef un6_lds_000)) - )) - (net DS_030_i (joined - (portRef O (instanceRef I_230)) - (portRef I0 (instanceRef DS_030_D0_0)) - (portRef I1 (instanceRef un6_lds_000_1)) - )) - (net N_224_i (joined - (portRef O (instanceRef N_224_i)) - (portRef I1 (instanceRef G_168_1)) - )) - (net N_225_i (joined - (portRef O (instanceRef N_225_i)) - (portRef I1 (instanceRef G_168)) - )) - (net N_226_i (joined - (portRef O (instanceRef N_226_i)) - (portRef I0 (instanceRef G_168_1)) - )) - (net CLK_OUT_PRE_50_i (joined - (portRef O (instanceRef CLK_OUT_PRE_50_i)) - (portRef D (instanceRef CLK_OUT_PRE_50)) - )) - (net N_90_i (joined - (portRef O (instanceRef N_90_i)) - (portRef D (instanceRef AS_030_D0)) - )) - (net DS_000_ENABLE_1_sqmuxa_i (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i)) - (portRef I0 (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef un1_SM_AMIGA_7)) - )) - (net un6_lds_000_i (joined - (portRef O (instanceRef un6_lds_000_i)) - (portRef I0 (instanceRef LDS_000)) - )) - (net un6_uds_000_i (joined - (portRef O (instanceRef un6_uds_000_i)) - (portRef I0 (instanceRef UDS_000)) - )) - (net un6_ds_030_i (joined - (portRef O (instanceRef un6_ds_030_i)) - (portRef I0 (instanceRef DS_030)) - )) - (net DS_000_DMA_i (joined - (portRef O (instanceRef DS_000_DMA_i)) - (portRef I1 (instanceRef un6_ds_030)) - )) - (net un4_as_000_i (joined - (portRef O (instanceRef un4_as_000_i)) - (portRef I0 (instanceRef AS_000)) - )) - (net un8_ciin_i (joined - (portRef O (instanceRef un8_ciin_i)) - (portRef OE (instanceRef CIIN)) - )) - (net un6_as_030_i (joined - (portRef O (instanceRef un6_as_030_i)) - (portRef I0 (instanceRef AS_030)) - )) - (net DS_030_D0_i (joined - (portRef O (instanceRef DS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un3_ds_030_d0)) - )) - (net AS_030_c (joined - (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef I_224)) - )) - (net AS_030 (joined - (portRef AS_030) - (portRef IO (instanceRef AS_030)) - )) - (net AS_000_c (joined - (portRef O (instanceRef AS_000)) - (portRef I0 (instanceRef pos_clk_un4_bgack_000_i_o2)) - (portRef I0 (instanceRef I_225)) - )) - (net AS_000 (joined - (portRef AS_000) - (portRef IO (instanceRef AS_000)) - )) - (net RW_000_c (joined - (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_227)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_2)) - )) - (net RW_000 (joined - (portRef IO (instanceRef RW_000)) - (portRef RW_000) - )) - (net DS_030_c (joined - (portRef O (instanceRef DS_030)) - (portRef I0 (instanceRef I_230)) - )) - (net DS_030 (joined - (portRef DS_030) - (portRef IO (instanceRef DS_030)) - )) - (net UDS_000_c (joined - (portRef O (instanceRef UDS_000)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_a2)) - (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I0 (instanceRef UDS_000_c_i)) - )) - (net UDS_000 (joined - (portRef IO (instanceRef UDS_000)) - (portRef UDS_000) - )) - (net LDS_000_c (joined - (portRef O (instanceRef LDS_000)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_a2)) - (portRef I0 (instanceRef LDS_000_c_i)) - )) - (net LDS_000 (joined - (portRef IO (instanceRef LDS_000)) - (portRef LDS_000) - )) - (net (rename SIZE_c_0 "SIZE_c[0]") (joined - (portRef O (instanceRef SIZE_0)) - (portRef I1 (instanceRef pos_clk_un11_ds_030_d0)) - )) - (net (rename SIZE_0 "SIZE[0]") (joined - (portRef IO (instanceRef SIZE_0)) - (portRef (member size 1)) - )) - (net (rename SIZE_c_1 "SIZE_c[1]") (joined - (portRef O (instanceRef SIZE_1)) - (portRef I0 (instanceRef SIZE_c_i_1)) - )) - (net (rename SIZE_1 "SIZE[1]") (joined - (portRef (member size 0)) - (portRef IO (instanceRef SIZE_1)) - )) - (net (rename A_c_2 "A_c[2]") (joined - (portRef O (instanceRef A_2)) - )) - (net (rename A_2 "A[2]") (joined - (portRef (member a 29)) - (portRef I0 (instanceRef A_2)) - )) - (net (rename A_c_3 "A_c[3]") (joined - (portRef O (instanceRef A_3)) - )) - (net (rename A_3 "A[3]") (joined - (portRef (member a 28)) - (portRef I0 (instanceRef A_3)) - )) - (net (rename A_c_4 "A_c[4]") (joined - (portRef O (instanceRef A_4)) - )) - (net (rename A_4 "A[4]") (joined - (portRef (member a 27)) - (portRef I0 (instanceRef A_4)) - )) - (net (rename A_c_5 "A_c[5]") (joined - (portRef O (instanceRef A_5)) - )) - (net (rename A_5 "A[5]") (joined - (portRef (member a 26)) - (portRef I0 (instanceRef A_5)) - )) - (net (rename A_c_6 "A_c[6]") (joined - (portRef O (instanceRef A_6)) - )) - (net (rename A_6 "A[6]") (joined - (portRef (member a 25)) - (portRef I0 (instanceRef A_6)) - )) - (net (rename A_c_7 "A_c[7]") (joined - (portRef O (instanceRef A_7)) - )) - (net (rename A_7 "A[7]") (joined - (portRef (member a 24)) - (portRef I0 (instanceRef A_7)) - )) - (net (rename A_c_8 "A_c[8]") (joined - (portRef O (instanceRef A_8)) - )) - (net (rename A_8 "A[8]") (joined - (portRef (member a 23)) - (portRef I0 (instanceRef A_8)) - )) - (net (rename A_c_9 "A_c[9]") (joined - (portRef O (instanceRef A_9)) - )) - (net (rename A_9 "A[9]") (joined - (portRef (member a 22)) - (portRef I0 (instanceRef A_9)) - )) - (net (rename A_c_10 "A_c[10]") (joined - (portRef O (instanceRef A_10)) - )) - (net (rename A_10 "A[10]") (joined - (portRef (member a 21)) - (portRef I0 (instanceRef A_10)) - )) - (net (rename A_c_11 "A_c[11]") (joined - (portRef O (instanceRef A_11)) - )) - (net (rename A_11 "A[11]") (joined - (portRef (member a 20)) - (portRef I0 (instanceRef A_11)) - )) - (net (rename A_c_12 "A_c[12]") (joined - (portRef O (instanceRef A_12)) - )) - (net (rename A_12 "A[12]") (joined - (portRef (member a 19)) - (portRef I0 (instanceRef A_12)) - )) - (net (rename A_c_13 "A_c[13]") (joined - (portRef O (instanceRef A_13)) - )) - (net (rename A_13 "A[13]") (joined - (portRef (member a 18)) - (portRef I0 (instanceRef A_13)) - )) - (net (rename A_c_14 "A_c[14]") (joined - (portRef O (instanceRef A_14)) - )) - (net (rename A_14 "A[14]") (joined - (portRef (member a 17)) - (portRef I0 (instanceRef A_14)) - )) - (net (rename A_c_15 "A_c[15]") (joined - (portRef O (instanceRef A_15)) - )) - (net (rename A_15 "A[15]") (joined - (portRef (member a 16)) - (portRef I0 (instanceRef A_15)) - )) - (net (rename A_c_16 "A_c[16]") (joined - (portRef O (instanceRef A_16)) - (portRef I0 (instanceRef A_i_16)) - )) - (net (rename A_16 "A[16]") (joined - (portRef (member a 15)) - (portRef I0 (instanceRef A_16)) - )) - (net (rename A_c_17 "A_c[17]") (joined - (portRef O (instanceRef A_17)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - )) - (net (rename A_17 "A[17]") (joined - (portRef (member a 14)) - (portRef I0 (instanceRef A_17)) - )) - (net (rename A_c_18 "A_c[18]") (joined - (portRef O (instanceRef A_18)) - (portRef I0 (instanceRef A_i_18)) - )) - (net (rename A_18 "A[18]") (joined - (portRef (member a 13)) - (portRef I0 (instanceRef A_18)) - )) - (net (rename A_c_19 "A_c[19]") (joined - (portRef O (instanceRef A_19)) - (portRef I0 (instanceRef A_i_19)) - )) - (net (rename A_19 "A[19]") (joined - (portRef (member a 12)) - (portRef I0 (instanceRef A_19)) - )) - (net (rename A_c_20 "A_c[20]") (joined - (portRef O (instanceRef A_20)) - (portRef I1 (instanceRef un5_ciin_1)) - )) - (net (rename A_20 "A[20]") (joined - (portRef (member a 11)) - (portRef I0 (instanceRef A_20)) - )) - (net (rename A_c_21 "A_c[21]") (joined - (portRef O (instanceRef A_21)) - (portRef I0 (instanceRef un5_ciin_2)) - )) - (net (rename A_21 "A[21]") (joined - (portRef (member a 10)) - (portRef I0 (instanceRef A_21)) - )) - (net (rename A_c_22 "A_c[22]") (joined - (portRef O (instanceRef A_22)) - (portRef I1 (instanceRef un5_ciin_2)) - )) - (net (rename A_22 "A[22]") (joined - (portRef (member a 9)) - (portRef I0 (instanceRef A_22)) - )) - (net (rename A_c_23 "A_c[23]") (joined - (portRef O (instanceRef A_23)) - (portRef I0 (instanceRef un5_ciin_3)) - )) - (net (rename A_23 "A[23]") (joined - (portRef (member a 8)) - (portRef I0 (instanceRef A_23)) - )) - (net (rename A_c_24 "A_c[24]") (joined - (portRef O (instanceRef A_24)) - (portRef I0 (instanceRef A_i_24)) - )) - (net (rename A_24 "A[24]") (joined - (portRef (member a 7)) - (portRef I0 (instanceRef A_24)) - )) - (net (rename A_c_25 "A_c[25]") (joined - (portRef O (instanceRef A_25)) - (portRef I0 (instanceRef A_i_25)) - )) - (net (rename A_25 "A[25]") (joined - (portRef (member a 6)) - (portRef I0 (instanceRef A_25)) - )) - (net (rename A_c_26 "A_c[26]") (joined - (portRef O (instanceRef A_26)) - (portRef I0 (instanceRef A_i_26)) - )) - (net (rename A_26 "A[26]") (joined - (portRef (member a 5)) - (portRef I0 (instanceRef A_26)) - )) - (net (rename A_c_27 "A_c[27]") (joined - (portRef O (instanceRef A_27)) - (portRef I0 (instanceRef A_i_27)) - )) - (net (rename A_27 "A[27]") (joined - (portRef (member a 4)) - (portRef I0 (instanceRef A_27)) - )) - (net (rename A_c_28 "A_c[28]") (joined - (portRef O (instanceRef A_28)) - (portRef I0 (instanceRef A_i_28)) - )) - (net (rename A_28 "A[28]") (joined - (portRef (member a 3)) - (portRef I0 (instanceRef A_28)) - )) - (net (rename A_c_29 "A_c[29]") (joined - (portRef O (instanceRef A_29)) - (portRef I0 (instanceRef A_i_29)) - )) - (net (rename A_29 "A[29]") (joined - (portRef (member a 2)) - (portRef I0 (instanceRef A_29)) - )) - (net (rename A_c_30 "A_c[30]") (joined - (portRef O (instanceRef A_30)) - (portRef I0 (instanceRef A_i_30)) - )) - (net (rename A_30 "A[30]") (joined - (portRef (member a 1)) - (portRef I0 (instanceRef A_30)) - )) - (net (rename A_c_31 "A_c[31]") (joined - (portRef O (instanceRef A_31)) - (portRef I0 (instanceRef A_i_31)) - )) - (net (rename A_31 "A[31]") (joined - (portRef (member a 0)) - (portRef I0 (instanceRef A_31)) - )) - (net A0_c (joined - (portRef O (instanceRef A0)) - (portRef I0 (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef A0_c_i)) - )) - (net A0 (joined - (portRef A0) - (portRef IO (instanceRef A0)) - )) - (net A1_c (joined - (portRef O (instanceRef A1)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) - (portRef I0 (instanceRef A1_i)) - )) - (net A1 (joined - (portRef A1) - (portRef I0 (instanceRef A1)) - )) - (net nEXP_SPACE_c (joined - (portRef O (instanceRef nEXP_SPACE)) - (portRef I0 (instanceRef nEXP_SPACE_c_i)) - )) - (net nEXP_SPACE (joined - (portRef nEXP_SPACE) - (portRef I0 (instanceRef nEXP_SPACE)) - )) - (net BERR_c (joined - (portRef O (instanceRef BERR)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_0)) - (portRef I0 (instanceRef I_226)) - (portRef I1 (instanceRef pos_clk_un3_as_030_d0_i_a2)) - )) - (net BERR (joined - (portRef BERR) - (portRef IO (instanceRef BERR)) - )) - (net BG_030_c (joined - (portRef O (instanceRef BG_030)) - (portRef I0 (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_030_c_i)) - )) - (net BG_030 (joined - (portRef BG_030) - (portRef I0 (instanceRef BG_030)) - )) - (net BG_000_c (joined - (portRef Q (instanceRef BG_000DFF)) - (portRef I0 (instanceRef BG_000_0_n)) - (portRef I0 (instanceRef BG_000)) - )) - (net BG_000 (joined - (portRef O (instanceRef BG_000)) - (portRef BG_000) - )) - (net BGACK_030 (joined - (portRef O (instanceRef BGACK_030)) - (portRef BGACK_030) - )) - (net BGACK_000_c (joined - (portRef O (instanceRef BGACK_000)) - (portRef I0 (instanceRef pos_clk_un6_bgack_000_0)) - (portRef I1 (instanceRef un22_berr_0_a2_1)) - (portRef I0 (instanceRef BGACK_030_INT_0_m)) - )) - (net BGACK_000 (joined - (portRef BGACK_000) - (portRef I0 (instanceRef BGACK_000)) - )) - (net CLK_030 (joined - (portRef CLK_030) - (portRef I0 (instanceRef CLK_030)) - )) - (net CLK_000_c (joined - (portRef O (instanceRef CLK_000)) - (portRef D (instanceRef CLK_000_D0)) - )) - (net CLK_000 (joined - (portRef CLK_000) - (portRef I0 (instanceRef CLK_000)) - )) - (net CLK_OSZI_c (joined - (portRef O (instanceRef CLK_OSZI)) - (portRef CLK (instanceRef A0_DMA)) - (portRef CLK (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) - (portRef CLK (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) - (portRef CLK (instanceRef AS_000_DMA)) - (portRef CLK (instanceRef AS_000_INT)) - (portRef CLK (instanceRef AS_030_000_SYNC)) - (portRef CLK (instanceRef AS_030_D0)) - (portRef CLK (instanceRef BGACK_030_INT)) - (portRef CLK (instanceRef BGACK_030_INT_D)) - (portRef CLK (instanceRef BG_000DFF)) - (portRef CLK (instanceRef CLK_000_D0)) - (portRef CLK (instanceRef CLK_000_D1)) - (portRef CLK (instanceRef CLK_000_NE)) - (portRef CLK (instanceRef CLK_000_NE_D0)) - (portRef CLK (instanceRef CLK_000_N_SYNC_0)) - (portRef CLK (instanceRef CLK_000_N_SYNC_1)) - (portRef CLK (instanceRef CLK_000_N_SYNC_2)) - (portRef CLK (instanceRef CLK_000_N_SYNC_3)) - (portRef CLK (instanceRef CLK_000_N_SYNC_4)) - (portRef CLK (instanceRef CLK_000_N_SYNC_5)) - (portRef CLK (instanceRef CLK_000_N_SYNC_6)) - (portRef CLK (instanceRef CLK_000_N_SYNC_7)) - (portRef CLK (instanceRef CLK_000_N_SYNC_8)) - (portRef CLK (instanceRef CLK_000_N_SYNC_9)) - (portRef CLK (instanceRef CLK_000_N_SYNC_10)) - (portRef CLK (instanceRef CLK_000_N_SYNC_11)) - (portRef CLK (instanceRef CLK_000_PE)) - (portRef CLK (instanceRef CLK_000_P_SYNC_0)) - (portRef CLK (instanceRef CLK_000_P_SYNC_1)) - (portRef CLK (instanceRef CLK_000_P_SYNC_2)) - (portRef CLK (instanceRef CLK_000_P_SYNC_3)) - (portRef CLK (instanceRef CLK_000_P_SYNC_4)) - (portRef CLK (instanceRef CLK_000_P_SYNC_5)) - (portRef CLK (instanceRef CLK_000_P_SYNC_6)) - (portRef CLK (instanceRef CLK_000_P_SYNC_7)) - (portRef CLK (instanceRef CLK_000_P_SYNC_8)) - (portRef CLK (instanceRef CLK_000_P_SYNC_9)) - (portRef CLK (instanceRef CLK_030_H)) - (portRef CLK (instanceRef CLK_OUT_PRE_50)) - (portRef CLK (instanceRef CLK_OUT_PRE_D)) - (portRef CLK (instanceRef CYCLE_DMA_0)) - (portRef CLK (instanceRef CYCLE_DMA_1)) - (portRef CLK (instanceRef DSACK1_INT)) - (portRef CLK (instanceRef DS_000_DMA)) - (portRef CLK (instanceRef DS_000_ENABLE)) - (portRef CLK (instanceRef DS_030_D0)) - (portRef CLK (instanceRef DTACK_D0)) - (portRef CLK (instanceRef IPL_030DFF_0)) - (portRef CLK (instanceRef IPL_030DFF_1)) - (portRef CLK (instanceRef IPL_030DFF_2)) - (portRef CLK (instanceRef IPL_D0_0)) - (portRef CLK (instanceRef IPL_D0_1)) - (portRef CLK (instanceRef IPL_D0_2)) - (portRef CLK (instanceRef LDS_000_INT)) - (portRef CLK (instanceRef RESET_OUT)) - (portRef CLK (instanceRef RST_DLY_0)) - (portRef CLK (instanceRef RST_DLY_1)) - (portRef CLK (instanceRef RST_DLY_2)) - (portRef CLK (instanceRef RST_DLY_3)) - (portRef CLK (instanceRef RST_DLY_4)) - (portRef CLK (instanceRef RST_DLY_5)) - (portRef CLK (instanceRef RST_DLY_6)) - (portRef CLK (instanceRef RST_DLY_7)) - (portRef CLK (instanceRef RW_000_DMA)) - (portRef CLK (instanceRef RW_000_INT)) - (portRef CLK (instanceRef SIZE_DMA_0)) - (portRef CLK (instanceRef SIZE_DMA_1)) - (portRef CLK (instanceRef SM_AMIGA_0)) - (portRef CLK (instanceRef SM_AMIGA_1)) - (portRef CLK (instanceRef SM_AMIGA_2)) - (portRef CLK (instanceRef SM_AMIGA_3)) - (portRef CLK (instanceRef SM_AMIGA_4)) - (portRef CLK (instanceRef SM_AMIGA_5)) - (portRef CLK (instanceRef SM_AMIGA_6)) - (portRef CLK (instanceRef SM_AMIGA_i_7)) - (portRef CLK (instanceRef UDS_000_INT)) - (portRef CLK (instanceRef VMA_INT)) - (portRef CLK (instanceRef VPA_D)) - (portRef CLK (instanceRef cpu_est_0)) - (portRef CLK (instanceRef cpu_est_1)) - (portRef CLK (instanceRef cpu_est_2)) - (portRef CLK (instanceRef cpu_est_3)) - (portRef CLK (instanceRef nEXP_SPACE_D0)) - )) - (net CLK_OSZI (joined - (portRef CLK_OSZI) - (portRef I0 (instanceRef CLK_OSZI)) - )) - (net CLK_DIV_OUT (joined - (portRef O (instanceRef CLK_DIV_OUT)) - (portRef CLK_DIV_OUT) - )) - (net CLK_EXP_c (joined - (portRef O (instanceRef CLK_030)) - (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) - (portRef I0 (instanceRef CLK_EXP_c_i)) - (portRef I0 (instanceRef CLK_EXP)) - )) - (net CLK_EXP (joined - (portRef O (instanceRef CLK_EXP)) - (portRef CLK_EXP) - )) - (net FPU_CS (joined - (portRef O (instanceRef FPU_CS)) - (portRef FPU_CS) - )) - (net FPU_SENSE_c (joined - (portRef O (instanceRef FPU_SENSE)) - (portRef I0 (instanceRef FPU_SENSE_i)) - (portRef I1 (instanceRef un22_berr_0_a2_1_0)) - )) - (net FPU_SENSE (joined - (portRef FPU_SENSE) - (portRef I0 (instanceRef FPU_SENSE)) - )) - (net (rename IPL_030_c_0 "IPL_030_c[0]") (joined - (portRef Q (instanceRef IPL_030DFF_0)) - (portRef I0 (instanceRef IPL_030_0_0__n)) - (portRef I0 (instanceRef IPL_030_0)) - )) - (net (rename IPL_030_0 "IPL_030[0]") (joined - (portRef O (instanceRef IPL_030_0)) - (portRef (member ipl_030 2)) - )) - (net (rename IPL_030_c_1 "IPL_030_c[1]") (joined - (portRef Q (instanceRef IPL_030DFF_1)) - (portRef I0 (instanceRef IPL_030_0_1__n)) - (portRef I0 (instanceRef IPL_030_1)) - )) - (net (rename IPL_030_1 "IPL_030[1]") (joined - (portRef O (instanceRef IPL_030_1)) - (portRef (member ipl_030 1)) - )) - (net (rename IPL_030_c_2 "IPL_030_c[2]") (joined - (portRef Q (instanceRef IPL_030DFF_2)) - (portRef I0 (instanceRef IPL_030_0_2__n)) - (portRef I0 (instanceRef IPL_030_2)) - )) - (net (rename IPL_030_2 "IPL_030[2]") (joined - (portRef O (instanceRef IPL_030_2)) - (portRef (member ipl_030 0)) - )) - (net (rename IPL_c_0 "IPL_c[0]") (joined - (portRef O (instanceRef IPL_0)) - (portRef I0 (instanceRef IPL_030_0_0__m)) - (portRef I1 (instanceRef G_165)) - (portRef I0 (instanceRef IPL_c_i_0)) - )) - (net (rename IPL_0 "IPL[0]") (joined - (portRef (member ipl 2)) - (portRef I0 (instanceRef IPL_0)) - )) - (net (rename IPL_c_1 "IPL_c[1]") (joined - (portRef O (instanceRef IPL_1)) - (portRef I0 (instanceRef IPL_030_0_1__m)) - (portRef I1 (instanceRef G_166)) - (portRef I0 (instanceRef IPL_c_i_1)) - )) - (net (rename IPL_1 "IPL[1]") (joined - (portRef (member ipl 1)) - (portRef I0 (instanceRef IPL_1)) - )) - (net (rename IPL_c_2 "IPL_c[2]") (joined - (portRef O (instanceRef IPL_2)) - (portRef I0 (instanceRef IPL_030_0_2__m)) - (portRef I1 (instanceRef G_167)) - (portRef I0 (instanceRef IPL_c_i_2)) - )) - (net (rename IPL_2 "IPL[2]") (joined - (portRef (member ipl 0)) - (portRef I0 (instanceRef IPL_2)) - )) - (net DSACK1 (joined - (portRef O (instanceRef DSACK1)) - (portRef DSACK1) - )) - (net DTACK_c (joined - (portRef O (instanceRef DTACK)) - (portRef I0 (instanceRef DTACK_c_i)) - )) - (net DTACK (joined - (portRef DTACK) - (portRef I0 (instanceRef DTACK)) - )) - (net AVEC (joined - (portRef O (instanceRef AVEC)) - (portRef AVEC) - )) - (net E (joined - (portRef O (instanceRef E)) - (portRef E) - )) - (net VPA_c (joined - (portRef O (instanceRef VPA)) - (portRef I0 (instanceRef VPA_c_i)) - )) - (net VPA (joined - (portRef VPA) - (portRef I0 (instanceRef VPA)) - )) - (net VMA (joined - (portRef O (instanceRef VMA)) - (portRef VMA) - )) - (net RST_c (joined - (portRef O (instanceRef RST)) - (portRef I1 (instanceRef DSACK1_INT_1)) - (portRef I1 (instanceRef AS_000_INT_1)) - (portRef I1 (instanceRef LDS_000_INT_1)) - (portRef I1 (instanceRef RW_000_INT_2)) - (portRef I1 (instanceRef UDS_000_INT_1)) - (portRef I1 (instanceRef VMA_INT_1)) - (portRef I1 (instanceRef BG_000_1)) - (portRef I1 (instanceRef IPL_030_1_2)) - (portRef I1 (instanceRef IPL_030_1_1)) - (portRef I1 (instanceRef IPL_030_1_0)) - (portRef I1 (instanceRef IPL_D0_0_2)) - (portRef I1 (instanceRef IPL_D0_0_1)) - (portRef I1 (instanceRef IPL_D0_0_0)) - (portRef I1 (instanceRef DTACK_D0_0)) - (portRef I0 (instanceRef VPA_D_0)) - (portRef I0 (instanceRef nEXP_SPACE_D0_0)) - (portRef I1 (instanceRef DS_030_D0_0)) - (portRef I1 (instanceRef DS_000_DMA_1)) - (portRef I1 (instanceRef AS_000_DMA_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o6_0)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_6)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_7)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_1)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_2)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_3)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_4)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_5)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - (portRef I1 (instanceRef RESET_OUT_2_i)) - (portRef I1 (instanceRef AS_030_D0_0_i_a2)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I1 (instanceRef RW_000_DMA_2)) - (portRef I1 (instanceRef BGACK_030_INT_1)) - (portRef I1 (instanceRef AS_030_000_SYNC_1)) - (portRef I1 (instanceRef A0_DMA_1)) - (portRef I1 (instanceRef DS_000_ENABLE_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_2)) - (portRef I1 (instanceRef CLK_030_H_2_i_1)) - )) - (net RST (joined - (portRef RST) - (portRef I0 (instanceRef RST)) - )) - (net RESET (joined - (portRef O (instanceRef RESET)) - (portRef RESET) - )) - (net RW_c (joined - (portRef O (instanceRef RW)) - (portRef I0 (instanceRef I_228)) - )) - (net RW (joined - (portRef IO (instanceRef RW)) - (portRef RW) - )) - (net (rename FC_c_0 "FC_c[0]") (joined - (portRef O (instanceRef FC_0)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) - )) - (net (rename FC_0 "FC[0]") (joined - (portRef (member fc 1)) - (portRef I0 (instanceRef FC_0)) - )) - (net (rename FC_c_1 "FC_c[1]") (joined - (portRef O (instanceRef FC_1)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) - )) - (net (rename FC_1 "FC[1]") (joined - (portRef (member fc 0)) - (portRef I0 (instanceRef FC_1)) - )) - (net AMIGA_ADDR_ENABLE (joined - (portRef O (instanceRef AMIGA_ADDR_ENABLE)) - (portRef AMIGA_ADDR_ENABLE) - )) - (net AMIGA_BUS_DATA_DIR_c (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR)) - )) - (net AMIGA_BUS_DATA_DIR (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR)) - (portRef AMIGA_BUS_DATA_DIR) - )) - (net AMIGA_BUS_ENABLE_LOW (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_LOW)) - (portRef AMIGA_BUS_ENABLE_LOW) - )) - (net AMIGA_BUS_ENABLE_HIGH (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_HIGH)) - (portRef AMIGA_BUS_ENABLE_HIGH) - )) - (net CIIN (joined - (portRef O (instanceRef CIIN)) - (portRef CIIN) - )) - (net N_21_i (joined - (portRef O (instanceRef N_21_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - )) - (net N_34_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) - )) - (net N_20_i (joined - (portRef O (instanceRef N_20_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - )) - (net N_35_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) - )) - (net N_16_i (joined - (portRef O (instanceRef N_16_i)) - (portRef I0 (instanceRef RW_000_DMA_2)) - )) - (net N_39_0 (joined - (portRef O (instanceRef RW_000_DMA_2)) - (portRef I0 (instanceRef RW_000_DMA_2_i)) - )) - (net N_13_i (joined - (portRef O (instanceRef N_13_i)) - (portRef I0 (instanceRef BGACK_030_INT_1)) - )) - (net N_42_0 (joined - (portRef O (instanceRef BGACK_030_INT_1)) - (portRef I0 (instanceRef BGACK_030_INT_1_i)) - )) - (net N_12_i (joined - (portRef O (instanceRef N_12_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_1)) - )) - (net N_43_0 (joined - (portRef O (instanceRef AS_030_000_SYNC_1)) - (portRef I0 (instanceRef AS_030_000_SYNC_1_i)) - )) - (net N_8_i (joined - (portRef O (instanceRef N_8_i)) - (portRef I0 (instanceRef A0_DMA_1)) - )) - (net N_46_0 (joined - (portRef O (instanceRef A0_DMA_1)) - (portRef I0 (instanceRef A0_DMA_1_i)) - )) - (net N_76_i (joined - (portRef O (instanceRef pos_clk_un4_bgack_000_i_o2)) - (portRef I0 (instanceRef pos_clk_un4_bgack_000_i_o2_i)) - )) - (net N_72_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2_i)) - )) - (net UDS_000_c_i (joined - (portRef O (instanceRef UDS_000_c_i)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) - )) - (net LDS_000_c_i (joined - (portRef O (instanceRef LDS_000_c_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) - )) - (net N_256_i (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) - )) - (net (rename pos_clk_un5_bgack_030_int_d_i "pos_clk.un5_bgack_030_int_d_i") (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o2)) - )) - (net (rename pos_clk_un24_bgack_030_int_i_0_0 "pos_clk.un24_bgack_030_int_i_0_0") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_i)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_i)) - (portRef I1 (instanceRef CLK_030_H_2_i)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i)) - )) - (net N_104_i (joined - (portRef O (instanceRef N_104_i)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_2)) - )) - (net N_252_0 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i)) - )) - (net N_251_0 (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_i_0)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0_i)) - )) - (net (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0)) - (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_i)) - )) - (net N_97_i (joined - (portRef O (instanceRef N_97_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i)) - )) - (net N_96_i (joined - (portRef O (instanceRef N_96_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i)) - )) - (net N_250_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i)) - )) - (net N_89_i (joined - (portRef O (instanceRef N_89_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) - )) - (net N_88_i (joined - (portRef O (instanceRef N_88_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) - )) - (net N_138_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_5)) - )) - (net (rename un1_RST_DLY_i_m_i_1 "un1_RST_DLY_i_m_i[1]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_1)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_0 "pos_clk.RST_DLY_5_iv_i[0]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0)) - (portRef D (instanceRef RST_DLY_0)) - )) - (net N_157_i (joined - (portRef O (instanceRef N_157_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_5)) - )) - (net N_158_i (joined - (portRef O (instanceRef N_158_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_5)) - )) - (net N_159_i (joined - (portRef O (instanceRef N_159_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_5)) - )) - (net N_127_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_5)) - (portRef D (instanceRef SM_AMIGA_5)) - )) - (net N_257_0 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - )) - (net un1_as_000_i (joined - (portRef O (instanceRef un1_as_000_0)) - (portRef OE (instanceRef AS_000)) - (portRef OE (instanceRef LDS_000)) - (portRef OE (instanceRef RW_000)) - (portRef OE (instanceRef UDS_000)) - )) - (net N_93_i (joined - (portRef O (instanceRef N_93_i)) - (portRef I0 (instanceRef RESET_OUT_2_i)) - )) - (net N_246_i (joined - (portRef O (instanceRef RESET_OUT_2_i)) - (portRef D (instanceRef RESET_OUT)) - )) - (net N_87_i (joined - (portRef O (instanceRef N_87_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) - )) - (net N_86_i (joined - (portRef O (instanceRef N_86_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) - )) - (net AMIGA_BUS_DATA_DIR_c_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) - )) - (net (rename un1_RST_DLY_i_m_i_2 "un1_RST_DLY_i_m_i[2]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_2)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_1)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_1 "pos_clk.RST_DLY_5_iv_i[1]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_1)) - (portRef D (instanceRef RST_DLY_1)) - )) - (net (rename un1_RST_DLY_i_m_i_3 "un1_RST_DLY_i_m_i[3]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_3)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_2)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_2 "pos_clk.RST_DLY_5_iv_i[2]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_2)) - (portRef D (instanceRef RST_DLY_2)) - )) - (net (rename un1_RST_DLY_i_m_i_4 "un1_RST_DLY_i_m_i[4]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_4)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_3)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_3 "pos_clk.RST_DLY_5_iv_i[3]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_3)) - (portRef D (instanceRef RST_DLY_3)) - )) - (net (rename un1_RST_DLY_i_m_i_5 "un1_RST_DLY_i_m_i[5]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_5)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_4)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_4 "pos_clk.RST_DLY_5_iv_i[4]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_4)) - (portRef D (instanceRef RST_DLY_4)) - )) - (net (rename un1_RST_DLY_i_m_i_6 "un1_RST_DLY_i_m_i[6]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_6)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_5)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_5 "pos_clk.RST_DLY_5_iv_i[5]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_5)) - (portRef D (instanceRef RST_DLY_5)) - )) - (net (rename un1_RST_DLY_i_m_i_7 "un1_RST_DLY_i_m_i[7]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_7)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_6)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_6 "pos_clk.RST_DLY_5_iv_i[6]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_6)) - (portRef D (instanceRef RST_DLY_6)) - )) - (net (rename un1_RST_DLY_i_m_i_8 "un1_RST_DLY_i_m_i[8]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_8)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_7)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_7 "pos_clk.RST_DLY_5_iv_i[7]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_7)) - (portRef D (instanceRef RST_DLY_7)) - )) - (net un3_as_030_i (joined - (portRef O (instanceRef un3_as_030)) - (portRef OE (instanceRef A0)) - (portRef OE (instanceRef AS_030)) - (portRef OE (instanceRef DS_030)) - )) - (net un1_as_030_i (joined - (portRef O (instanceRef un1_as_030)) - (portRef I1 (instanceRef un3_as_030)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) - )) - (net (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (joined - (portRef O (instanceRef CLK_000_N_SYNC_i_10)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync)) - )) - (net (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_n_sync_i)) - (portRef I1 (instanceRef pos_clk_un14_clk_000_n_sync)) - )) - (net (rename pos_clk_un14_clk_000_n_sync_0 "pos_clk.un14_clk_000_n_sync_0") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync_i)) - )) - (net CLK_EXP_c_i (joined - (portRef O (instanceRef CLK_EXP_c_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i)) - )) - (net N_244_0 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_i)) - )) - (net N_83_i (joined - (portRef O (instanceRef N_83_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_1)) - )) - (net N_115_0 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i_0)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_i)) - )) - (net N_84_i (joined - (portRef O (instanceRef N_84_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - )) - (net N_245_0 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_i)) - )) - (net N_85_i (joined - (portRef O (instanceRef N_85_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - )) - (net (rename pos_clk_DS_000_DMA_4_0 "pos_clk.DS_000_DMA_4_0") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) - )) - (net N_94_i (joined - (portRef O (instanceRef N_94_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_1)) - )) - (net N_247_i (joined - (portRef O (instanceRef CLK_030_H_2_i)) - (portRef D (instanceRef CLK_030_H)) - )) - (net N_248_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - (portRef D (instanceRef CYCLE_DMA_1)) - )) - (net N_249_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i)) - (portRef D (instanceRef CYCLE_DMA_0)) - )) - (net N_73_0 (joined - (portRef O (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef CLK_030_H_2_i_o2_i)) - )) - (net N_129_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_6)) - (portRef D (instanceRef SM_AMIGA_6)) - )) - (net N_160_i (joined - (portRef O (instanceRef N_160_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_6)) - )) - (net N_161_i (joined - (portRef O (instanceRef N_161_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_6)) - )) - (net N_125_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_4)) - (portRef D (instanceRef SM_AMIGA_4)) - )) - (net N_155_i (joined - (portRef O (instanceRef N_155_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_4)) - )) - (net N_156_i (joined - (portRef O (instanceRef N_156_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_4)) - )) - (net N_123_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_3)) - (portRef D (instanceRef SM_AMIGA_3)) - )) - (net N_154_i (joined - (portRef O (instanceRef N_154_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_3)) - )) - (net N_152_i (joined - (portRef O (instanceRef N_152_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_3)) - )) - (net N_153_i (joined - (portRef O (instanceRef N_153_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_3)) - )) - (net N_121_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_2)) - (portRef D (instanceRef SM_AMIGA_2)) - )) - (net N_150_i (joined - (portRef O (instanceRef N_150_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_2)) - )) - (net N_151_i (joined - (portRef O (instanceRef N_151_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_2)) - )) - (net N_119_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1)) - (portRef D (instanceRef SM_AMIGA_1)) - )) - (net N_149_i (joined - (portRef O (instanceRef N_149_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_1)) - )) - (net N_147_i (joined - (portRef O (instanceRef N_147_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_1)) - )) - (net N_148_i (joined - (portRef O (instanceRef N_148_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_1)) - )) - (net N_131_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0)) - (portRef D (instanceRef SM_AMIGA_i_7)) - )) - (net N_164_i (joined - (portRef O (instanceRef N_164_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_2_0)) - )) - (net N_165_i (joined - (portRef O (instanceRef N_165_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0)) - )) - (net N_163_i (joined - (portRef O (instanceRef N_163_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_2_0)) - )) - (net N_199_i (joined - (portRef O (instanceRef N_199_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_3)) - )) - (net (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_3)) - )) - (net N_197_i (joined - (portRef O (instanceRef N_197_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_3)) - )) - (net N_198_i (joined - (portRef O (instanceRef N_198_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_3)) - )) - (net N_194_i (joined - (portRef O (instanceRef N_194_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_2_1)) - )) - (net (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_1)) - )) - (net N_192_i (joined - (portRef O (instanceRef N_192_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_1)) - )) - (net N_135_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_i_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) - )) - (net N_162_i (joined - (portRef O (instanceRef N_162_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_1_0)) - )) - (net N_191_i (joined - (portRef O (instanceRef N_191_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_1)) - )) - (net N_193_i (joined - (portRef O (instanceRef N_193_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_2_1)) - )) - (net N_190_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) - )) - (net N_189_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) - )) - (net N_188_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) - )) - (net N_195_i (joined - (portRef O (instanceRef N_195_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_2)) - )) - (net N_196_i (joined - (portRef O (instanceRef N_196_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_2)) - )) - (net N_186_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_2)) - (portRef I0 (instanceRef cpu_est_0_2__m)) - )) - (net N_143_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_0)) - )) - (net N_142_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_6)) - )) - (net N_141_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_4)) - )) - (net N_140_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_2)) - )) - (net N_139_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_1)) - )) - (net N_166_i (joined - (portRef O (instanceRef N_166_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o6_0)) - )) - (net N_137_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o6_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_1_0)) - )) - (net N_136_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_3)) - )) - (net N_133_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) - )) - (net N_132_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_i_0)) - )) - (net N_146_i (joined - (portRef O (instanceRef N_146_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0)) - )) - (net N_117_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0)) - (portRef D (instanceRef SM_AMIGA_0)) - )) - (net N_144_i (joined - (portRef O (instanceRef N_144_i)) - (portRef I1 (instanceRef un1_SM_AMIGA_7)) - )) - (net un1_SM_AMIGA_7_i (joined - (portRef O (instanceRef un1_SM_AMIGA_7)) - (portRef I0 (instanceRef DS_000_ENABLE_0_n)) - (portRef I0 (instanceRef un1_SM_AMIGA_7_i_0)) - )) - (net (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (joined - (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0_i)) - (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0)) - )) - (net (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_i)) - (portRef I1 (instanceRef pos_clk_un23_clk_000_ne_d0)) - )) - (net (rename pos_clk_un23_clk_000_ne_d0_0 "pos_clk.un23_clk_000_ne_d0_0") (joined - (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0_i)) - )) - (net (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_i)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe)) - )) - (net (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe)) - )) - (net (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_i)) - )) - (net (rename pos_clk_un11_ds_030_d0_i "pos_clk.un11_ds_030_d0_i") (joined - (portRef O (instanceRef pos_clk_un11_ds_030_d0)) - (portRef I0 (instanceRef LDS_000_INT_0_m)) - )) - (net A0_c_i (joined - (portRef O (instanceRef A0_c_i)) - (portRef I1 (instanceRef pos_clk_un11_ds_030_d0_1)) - )) - (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined - (portRef O (instanceRef SIZE_c_i_1)) - (portRef I0 (instanceRef pos_clk_un11_ds_030_d0_1)) - )) - (net N_25_i (joined - (portRef O (instanceRef N_25_i)) - (portRef I0 (instanceRef IPL_030_1_2)) - )) - (net N_32_0 (joined - (portRef O (instanceRef IPL_030_1_2)) - (portRef I0 (instanceRef IPL_030_1_i_2)) - )) - (net N_24_i (joined - (portRef O (instanceRef N_24_i)) - (portRef I0 (instanceRef IPL_030_1_1)) - )) - (net N_31_0 (joined - (portRef O (instanceRef IPL_030_1_1)) - (portRef I0 (instanceRef IPL_030_1_i_1)) - )) - (net N_23_i (joined - (portRef O (instanceRef N_23_i)) - (portRef I0 (instanceRef IPL_030_1_0)) - )) - (net N_30_0 (joined - (portRef O (instanceRef IPL_030_1_0)) - (portRef I0 (instanceRef IPL_030_1_i_0)) - )) - (net (rename IPL_c_i_2 "IPL_c_i[2]") (joined - (portRef O (instanceRef IPL_c_i_2)) - (portRef I0 (instanceRef IPL_D0_0_2)) - )) - (net N_54_0 (joined - (portRef O (instanceRef IPL_D0_0_2)) - (portRef I0 (instanceRef IPL_D0_0_i_2)) - )) - (net (rename IPL_c_i_1 "IPL_c_i[1]") (joined - (portRef O (instanceRef IPL_c_i_1)) - (portRef I0 (instanceRef IPL_D0_0_1)) - )) - (net N_53_0 (joined - (portRef O (instanceRef IPL_D0_0_1)) - (portRef I0 (instanceRef IPL_D0_0_i_1)) - )) - (net (rename IPL_c_i_0 "IPL_c_i[0]") (joined - (portRef O (instanceRef IPL_c_i_0)) - (portRef I0 (instanceRef IPL_D0_0_0)) - )) - (net N_52_0 (joined - (portRef O (instanceRef IPL_D0_0_0)) - (portRef I0 (instanceRef IPL_D0_0_i_0)) - )) - (net DTACK_c_i (joined - (portRef O (instanceRef DTACK_c_i)) - (portRef I0 (instanceRef DTACK_D0_0)) - )) - (net N_57_0 (joined - (portRef O (instanceRef DTACK_D0_0)) - (portRef I0 (instanceRef DTACK_D0_0_i)) - )) - (net VPA_c_i (joined - (portRef O (instanceRef VPA_c_i)) - (portRef I1 (instanceRef VPA_D_0)) - )) - (net N_56_0 (joined - (portRef O (instanceRef VPA_D_0)) - (portRef I0 (instanceRef VPA_D_0_i)) - )) - (net nEXP_SPACE_c_i (joined - (portRef O (instanceRef nEXP_SPACE_c_i)) - (portRef I1 (instanceRef nEXP_SPACE_D0_0)) - )) - (net N_55_0 (joined - (portRef O (instanceRef nEXP_SPACE_D0_0)) - (portRef I0 (instanceRef nEXP_SPACE_D0_0_i)) - )) - (net N_50_0 (joined - (portRef O (instanceRef DS_030_D0_0)) - (portRef I0 (instanceRef DS_030_D0_0_i)) - )) - (net N_3_i (joined - (portRef O (instanceRef N_3_i)) - (portRef I0 (instanceRef DS_000_DMA_1)) - )) - (net N_49_0 (joined - (portRef O (instanceRef DS_000_DMA_1)) - (portRef I0 (instanceRef DS_000_DMA_1_i)) - )) - (net N_6_i (joined - (portRef O (instanceRef N_6_i)) - (portRef I0 (instanceRef AS_000_DMA_1)) - )) - (net N_48_0 (joined - (portRef O (instanceRef AS_000_DMA_1)) - (portRef I0 (instanceRef AS_000_DMA_1_i)) - )) - (net N_9_i (joined - (portRef O (instanceRef N_9_i)) - (portRef I0 (instanceRef DSACK1_INT_1)) - )) - (net N_45_0 (joined - (portRef O (instanceRef DSACK1_INT_1)) - (portRef I0 (instanceRef DSACK1_INT_1_i)) - )) - (net N_10_i (joined - (portRef O (instanceRef N_10_i)) - (portRef I0 (instanceRef AS_000_INT_1)) - )) - (net N_44_0 (joined - (portRef O (instanceRef AS_000_INT_1)) - (portRef I0 (instanceRef AS_000_INT_1_i)) - )) - (net N_14_i (joined - (portRef O (instanceRef N_14_i)) - (portRef I0 (instanceRef LDS_000_INT_1)) - )) - (net N_41_0 (joined - (portRef O (instanceRef LDS_000_INT_1)) - (portRef I0 (instanceRef LDS_000_INT_1_i)) - )) - (net N_15_i (joined - (portRef O (instanceRef N_15_i)) - (portRef I0 (instanceRef RW_000_INT_2)) - )) - (net N_40_0 (joined - (portRef O (instanceRef RW_000_INT_2)) - (portRef I0 (instanceRef RW_000_INT_2_i)) - )) - (net N_17_i (joined - (portRef O (instanceRef N_17_i)) - (portRef I0 (instanceRef UDS_000_INT_1)) - )) - (net N_38_0 (joined - (portRef O (instanceRef UDS_000_INT_1)) - (portRef I0 (instanceRef UDS_000_INT_1_i)) - )) - (net N_19_i (joined - (portRef O (instanceRef N_19_i)) - (portRef I0 (instanceRef VMA_INT_1)) - )) - (net N_36_0 (joined - (portRef O (instanceRef VMA_INT_1)) - (portRef I0 (instanceRef VMA_INT_1_i)) - )) - (net N_22_i (joined - (portRef O (instanceRef N_22_i)) - (portRef I0 (instanceRef BG_000_1)) - )) - (net N_33_0 (joined - (portRef O (instanceRef BG_000_1)) - (portRef I0 (instanceRef BG_000_1_i)) - )) - (net BG_030_c_i (joined - (portRef O (instanceRef BG_030_c_i)) - (portRef I0 (instanceRef pos_clk_un8_bg_030)) - )) - (net (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (joined - (portRef O (instanceRef pos_clk_un6_bg_030_i)) - (portRef I1 (instanceRef pos_clk_un8_bg_030)) - )) - (net (rename pos_clk_un8_bg_030_0 "pos_clk.un8_bg_030_0") (joined - (portRef O (instanceRef pos_clk_un8_bg_030)) - (portRef I0 (instanceRef pos_clk_un8_bg_030_i)) - )) - (net N_127_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_5)) - )) - (net N_127_i_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_2_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_5)) - )) - (net (rename pos_clk_un11_ds_030_d0_i_1 "pos_clk.un11_ds_030_d0_i_1") (joined - (portRef O (instanceRef pos_clk_un11_ds_030_d0_1)) - (portRef I0 (instanceRef pos_clk_un11_ds_030_d0)) - )) - (net N_135_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) - )) - (net (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_1_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1)) - )) - (net (rename pos_clk_cpu_est_11_0_2_1 "pos_clk.cpu_est_11_0_2[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_2_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1)) - )) - (net (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_1_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_3)) - )) - (net N_195_1 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_2)) - )) - (net N_196_1 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) - )) - (net N_131_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_3_0)) - )) - (net N_131_i_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_3_0)) - )) - (net N_131_i_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0)) - )) - (net N_119_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1)) - )) - (net N_119_i_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_2_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1)) - )) - (net N_121_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_2)) - )) - (net N_123_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_3)) - )) - (net N_123_i_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_2_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_3)) - )) - (net N_125_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_4)) - )) - (net N_129_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_6)) - )) - (net N_162_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0)) - )) - (net N_163_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) - )) - (net N_165_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) - )) - (net N_165_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) - )) - (net N_165_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) - )) - (net un5_ciin_1 (joined - (portRef O (instanceRef un5_ciin_1)) - (portRef I0 (instanceRef un5_ciin_7)) - )) - (net un5_ciin_2 (joined - (portRef O (instanceRef un5_ciin_2)) - (portRef I1 (instanceRef un5_ciin_7)) - )) - (net un5_ciin_3 (joined - (portRef O (instanceRef un5_ciin_3)) - (portRef I0 (instanceRef un5_ciin_8)) - )) - (net un5_ciin_4 (joined - (portRef O (instanceRef un5_ciin_4)) - (portRef I1 (instanceRef un5_ciin_8)) - )) - (net un5_ciin_5 (joined - (portRef O (instanceRef un5_ciin_5)) - (portRef I0 (instanceRef un5_ciin_9)) - )) - (net un5_ciin_6 (joined - (portRef O (instanceRef un5_ciin_6)) - (portRef I1 (instanceRef un5_ciin_9)) - )) - (net un5_ciin_7 (joined - (portRef O (instanceRef un5_ciin_7)) - (portRef I0 (instanceRef un5_ciin_10)) - )) - (net un5_ciin_8 (joined - (portRef O (instanceRef un5_ciin_8)) - (portRef I1 (instanceRef un5_ciin_10)) - )) - (net un5_ciin_9 (joined - (portRef O (instanceRef un5_ciin_9)) - (portRef I0 (instanceRef un5_ciin_11)) - )) - (net un5_ciin_10 (joined - (portRef O (instanceRef un5_ciin_10)) - (portRef I0 (instanceRef un5_ciin)) - )) - (net un5_ciin_11 (joined - (portRef O (instanceRef un5_ciin_11)) - (portRef I1 (instanceRef un5_ciin)) - )) - (net (rename pos_clk_un9_clk_000_ne_1 "pos_clk.un9_clk_000_ne_1") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne_1)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_4)) - )) - (net (rename pos_clk_un9_clk_000_ne_2 "pos_clk.un9_clk_000_ne_2") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_4)) - )) - (net (rename pos_clk_un9_clk_000_ne_3 "pos_clk.un9_clk_000_ne_3") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne_3)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_ne)) - )) - (net (rename pos_clk_un9_clk_000_ne_4 "pos_clk.un9_clk_000_ne_4") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne_4)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne)) - )) - (net (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_1)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_3)) - )) - (net (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_2)) - (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_3)) - )) - (net (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_3)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe)) - )) - (net (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_1)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) - )) - (net (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) - )) - (net (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_3)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0)) - )) - (net RESET_OUT_0_sqmuxa_7_1 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_1)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7_3)) - )) - (net RESET_OUT_0_sqmuxa_7_2 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_2)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_7_3)) - )) - (net RESET_OUT_0_sqmuxa_7_3 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_3)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7)) - )) - (net RESET_OUT_0_sqmuxa_5_1 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_5_1)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5)) - )) - (net N_87_1 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) - )) - (net N_87_2 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) - )) - (net (rename pos_clk_un24_bgack_030_int_i_0_0_1 "pos_clk.un24_bgack_030_int_i_0_0_1") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) - )) - (net (rename pos_clk_un24_bgack_030_int_i_0_0_2 "pos_clk.un24_bgack_030_int_i_0_0_2") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_2)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) - )) - (net N_72_0_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2)) - )) - (net (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_d0_1)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_d0)) - )) - (net un21_fpu_cs_1 (joined - (portRef O (instanceRef un21_fpu_cs_0_a2_1)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2)) - )) - (net un22_berr_1_0 (joined - (portRef O (instanceRef un22_berr_0_a2_1_0)) - (portRef I0 (instanceRef un22_berr_0_a2)) - )) - (net N_116_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_116_2 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_116_3 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net N_116_4 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined - (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_1)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2)) - )) - (net N_115_0_1 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i_0_1)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0)) - )) - (net N_245_0_1 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i)) - )) - (net N_247_i_1 (joined - (portRef O (instanceRef CLK_030_H_2_i_1)) - (portRef I0 (instanceRef CLK_030_H_2_i)) - )) - (net N_248_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - )) - (net N_249_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) - )) - (net (rename pos_clk_ipl_1 "pos_clk.ipl_1") (joined - (portRef O (instanceRef G_168_1)) - (portRef I0 (instanceRef G_168)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un1 "AMIGA_BUS_ENABLE_DMA_LOW_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un0 "AMIGA_BUS_ENABLE_DMA_LOW_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) - )) - (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined - (portRef O (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_n)) - )) - (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined - (portRef O (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined - (portRef O (instanceRef RW_000_DMA_0_n)) - (portRef I1 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined - (portRef O (instanceRef BGACK_030_INT_0_r)) - (portRef I1 (instanceRef BGACK_030_INT_0_n)) - )) - (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined - (portRef O (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined - (portRef O (instanceRef BGACK_030_INT_0_n)) - (portRef I1 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_r)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_n)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined - (portRef O (instanceRef DS_000_ENABLE_0_r)) - (portRef I1 (instanceRef DS_000_ENABLE_0_n)) - )) - (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined - (portRef O (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined - (portRef O (instanceRef DS_000_ENABLE_0_n)) - (portRef I1 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined - (portRef O (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_n)) - )) - (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined - (portRef O (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_p)) - )) - (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined - (portRef O (instanceRef A0_DMA_0_n)) - (portRef I1 (instanceRef A0_DMA_0_p)) - )) - (net (rename SIZE_DMA_0_1__un3 "SIZE_DMA_0_1_.un3") (joined - (portRef O (instanceRef SIZE_DMA_0_1__r)) - (portRef I1 (instanceRef SIZE_DMA_0_1__n)) - )) - (net (rename SIZE_DMA_0_1__un1 "SIZE_DMA_0_1_.un1") (joined - (portRef O (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef SIZE_DMA_0_1__p)) - )) - (net (rename SIZE_DMA_0_1__un0 "SIZE_DMA_0_1_.un0") (joined - (portRef O (instanceRef SIZE_DMA_0_1__n)) - (portRef I1 (instanceRef SIZE_DMA_0_1__p)) - )) - (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined - (portRef O (instanceRef SIZE_DMA_0_0__r)) - (portRef I1 (instanceRef SIZE_DMA_0_0__n)) - )) - (net (rename SIZE_DMA_0_0__un1 "SIZE_DMA_0_0_.un1") (joined - (portRef O (instanceRef SIZE_DMA_0_0__m)) - (portRef I0 (instanceRef SIZE_DMA_0_0__p)) - )) - (net (rename SIZE_DMA_0_0__un0 "SIZE_DMA_0_0_.un0") (joined - (portRef O (instanceRef SIZE_DMA_0_0__n)) - (portRef I1 (instanceRef SIZE_DMA_0_0__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) - )) - (net (rename cpu_est_0_1__un3 "cpu_est_0_1_.un3") (joined - (portRef O (instanceRef cpu_est_0_1__r)) - (portRef I1 (instanceRef cpu_est_0_1__n)) - )) - (net (rename cpu_est_0_1__un1 "cpu_est_0_1_.un1") (joined - (portRef O (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_0_1__p)) - )) - (net (rename cpu_est_0_1__un0 "cpu_est_0_1_.un0") (joined - (portRef O (instanceRef cpu_est_0_1__n)) - (portRef I1 (instanceRef cpu_est_0_1__p)) - )) - (net (rename cpu_est_0_2__un3 "cpu_est_0_2_.un3") (joined - (portRef O (instanceRef cpu_est_0_2__r)) - (portRef I1 (instanceRef cpu_est_0_2__n)) - )) - (net (rename cpu_est_0_2__un1 "cpu_est_0_2_.un1") (joined - (portRef O (instanceRef cpu_est_0_2__m)) - (portRef I0 (instanceRef cpu_est_0_2__p)) - )) - (net (rename cpu_est_0_2__un0 "cpu_est_0_2_.un0") (joined - (portRef O (instanceRef cpu_est_0_2__n)) - (portRef I1 (instanceRef cpu_est_0_2__p)) - )) - (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined - (portRef O (instanceRef cpu_est_0_3__r)) - (portRef I1 (instanceRef cpu_est_0_3__n)) - )) - (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined - (portRef O (instanceRef cpu_est_0_3__m)) - (portRef I0 (instanceRef cpu_est_0_3__p)) - )) - (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined - (portRef O (instanceRef cpu_est_0_3__n)) - (portRef I1 (instanceRef cpu_est_0_3__p)) - )) - (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined - (portRef O (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef IPL_030_0_0__n)) - )) - (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined - (portRef O (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__p)) - )) - (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined - (portRef O (instanceRef IPL_030_0_0__n)) - (portRef I1 (instanceRef IPL_030_0_0__p)) - )) - (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined - (portRef O (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_1__n)) - )) - (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined - (portRef O (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined - (portRef O (instanceRef IPL_030_0_1__n)) - (portRef I1 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined - (portRef O (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_2__n)) - )) - (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined - (portRef O (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__p)) - )) - (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined - (portRef O (instanceRef IPL_030_0_2__n)) - (portRef I1 (instanceRef IPL_030_0_2__p)) - )) - (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined - (portRef O (instanceRef DSACK1_INT_0_r)) - (portRef I1 (instanceRef DSACK1_INT_0_n)) - )) - (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined - (portRef O (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined - (portRef O (instanceRef DSACK1_INT_0_n)) - (portRef I1 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined - (portRef O (instanceRef AS_000_INT_0_r)) - (portRef I1 (instanceRef AS_000_INT_0_n)) - )) - (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined - (portRef O (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_p)) - )) - (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined - (portRef O (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef AS_000_INT_0_p)) - )) - (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined - (portRef O (instanceRef LDS_000_INT_0_r)) - (portRef I1 (instanceRef LDS_000_INT_0_n)) - )) - (net (rename LDS_000_INT_0_un1 "LDS_000_INT_0.un1") (joined - (portRef O (instanceRef LDS_000_INT_0_m)) - (portRef I0 (instanceRef LDS_000_INT_0_p)) - )) - (net (rename LDS_000_INT_0_un0 "LDS_000_INT_0.un0") (joined - (portRef O (instanceRef LDS_000_INT_0_n)) - (portRef I1 (instanceRef LDS_000_INT_0_p)) - )) - (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined - (portRef O (instanceRef RW_000_INT_0_r)) - (portRef I1 (instanceRef RW_000_INT_0_n)) - )) - (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined - (portRef O (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_p)) - )) - (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined - (portRef O (instanceRef RW_000_INT_0_n)) - (portRef I1 (instanceRef RW_000_INT_0_p)) - )) - (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined - (portRef O (instanceRef UDS_000_INT_0_r)) - (portRef I1 (instanceRef UDS_000_INT_0_n)) - )) - (net (rename UDS_000_INT_0_un1 "UDS_000_INT_0.un1") (joined - (portRef O (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_0_p)) - )) - (net (rename UDS_000_INT_0_un0 "UDS_000_INT_0.un0") (joined - (portRef O (instanceRef UDS_000_INT_0_n)) - (portRef I1 (instanceRef UDS_000_INT_0_p)) - )) - (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined - (portRef O (instanceRef VMA_INT_0_r)) - (portRef I1 (instanceRef VMA_INT_0_n)) - )) - (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined - (portRef O (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_p)) - )) - (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined - (portRef O (instanceRef VMA_INT_0_n)) - (portRef I1 (instanceRef VMA_INT_0_p)) - )) - (net (rename BG_000_0_un3 "BG_000_0.un3") (joined - (portRef O (instanceRef BG_000_0_r)) - (portRef I1 (instanceRef BG_000_0_n)) - )) - (net (rename BG_000_0_un1 "BG_000_0.un1") (joined - (portRef O (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_p)) - )) - (net (rename BG_000_0_un0 "BG_000_0.un0") (joined - (portRef O (instanceRef BG_000_0_n)) - (portRef I1 (instanceRef BG_000_0_p)) - )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) - )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined - (portRef O (instanceRef AS_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined - (portRef O (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined - (portRef O (instanceRef AS_000_DMA_0_n)) - (portRef I1 (instanceRef AS_000_DMA_0_p)) - )) - ) - (property orig_inst_of (string "BUS68030")) - ) - ) - ) - (design BUS68030 (cellRef BUS68030 (libraryRef work))) -) diff --git a/Logic/BUS68030.naf b/Logic/BUS68030.naf deleted file mode 100644 index ed194d9..0000000 --- a/Logic/BUS68030.naf +++ /dev/null @@ -1,75 +0,0 @@ -AS_030 b -AS_000 b -RW_000 b -DS_030 b -UDS_000 b -LDS_000 b -SIZE[1] b -SIZE[0] b -A[31] i -A[30] i -A[29] i -A[28] i -A[27] i -A[26] i -A[25] i -A[24] i -A[23] i -A[22] i -A[21] i -A[20] i -A[19] i -A[18] i -A[17] i -A[16] i -A[15] i -A[14] i -A[13] i -A[12] i -A[11] i -A[10] i -A[9] i -A[8] i -A[7] i -A[6] i -A[5] i -A[4] i -A[3] i -A[2] i -A0 b -A1 i -nEXP_SPACE i -BERR b -BG_030 i -BG_000 o -BGACK_030 o -BGACK_000 i -CLK_030 i -CLK_000 i -CLK_OSZI i -CLK_DIV_OUT o -CLK_EXP o -FPU_CS o -FPU_SENSE i -IPL_030[2] o -IPL_030[1] o -IPL_030[0] o -IPL[2] i -IPL[1] i -IPL[0] i -DSACK1 b -DTACK b -AVEC o -E o -VPA i -VMA o -RST i -RESET o -RW b -FC[1] i -FC[0] i -AMIGA_ADDR_ENABLE o -AMIGA_BUS_DATA_DIR o -AMIGA_BUS_ENABLE_LOW o -AMIGA_BUS_ENABLE_HIGH o -CIIN o diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index f5fad56..40811d7 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Thu Sep 24 16:20:44 2015 +#-- Written on Sat Oct 10 21:59:34 2015 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm deleted file mode 100644 index e73cf46..0000000 --- a/Logic/BUS68030.srm +++ /dev/null @@ -1,3733 +0,0 @@ -%%% protect protected_file -f "c:\isplever\synpbase\lib\vhd\std.vhd"; #file 0 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\isplever\synpbase\lib\vhd\snps_haps_pkg.vhd"; #file 1 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\isplever\synpbase\lib\vhd\std1164.vhd"; #file 2 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\isplever\synpbase\lib\vhd\numeric.vhd"; #file 3 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\isplever\synpbase\lib\vhd\umr_capim.vhd"; #file 4 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\isplever\synpbase\lib\vhd\arith.vhd"; #file 5 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\isplever\synpbase\lib\vhd\unsigned.vhd"; #file 6 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -f "c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd"; #file 7 -af .is_vhdl 1; -af .child_list "-1"; -af .parent_list "-1"; -VNAME 'mach.MACH_DFF.prim'; # view id 0 -VNAME 'mach.DFF.prim'; # view id 1 -VNAME 'mach.BI_DIR.prim'; # view id 2 -VNAME 'mach.IBUF.prim'; # view id 3 -VNAME 'mach.OBUF.prim'; # view id 4 -VNAME 'mach.BUFTH.prim'; # view id 5 -VNAME 'mach.AND2.prim'; # view id 6 -VNAME 'mach.INV.prim'; # view id 7 -VNAME 'mach.OR2.prim'; # view id 8 -VNAME 'mach.XOR2.prim'; # view id 9 -VNAME 'work.BUS68030.behavioral'; # view id 10 -@ERMRlENORBvq]w_7wsRbH -l;N3ORCV8HMCF8V;R4 -RNP3#8H#PFDCRlC4N; -PHR3#Hbsl;R4 -RNP3M#$_MDH HCs8lR"N3OEv]qB_w7w3Hbsl -";F;RT -7HR;R -HB;pi -RNH#_$MHD#OFRO 4o; -bpRBiN; -b$R#MF_bsD0VNRo#cH; -R -);H;R1 -hHRmwaQQ; ) -RoMk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM;M -NRN3#PMC_CV0_D#No46R.nb; -R:fjjMRHPMRkjMRkj;R1 -fbRjR:jHRMPkRM4kRM4)b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -fbRjR:j8sVV#RRTTRR7BRpikRM4k;Mj -RobBN; -b$R#MF_bsD0VNRo#co; -b;R) -RNb#_$Mb0FsVoDN#.Rd(;nU -Rob1N; -b$R#MF_bsD0VNRo#dn.(UM; -RNRlO7ERwbwRs;Hl -RNP3bH#sRHl4N; -P#R3$DM_HCM sRH8"OlNEw37ws3bH;l" -TFRRjkM;R -H7H; -RiBp;M -oRjkM;M -NRN3#PMC_CV0_D#No46R.ns; -R:fjjNRlOvERq_B]7RwwblsHR1QhcT -S=jkM -=S77B -SpBi=pSi -)B=eB1 -S=BeB -mShaQQw t)=h -7;bjRf:0jRsRkC0CskRBeB;R -bfjj:RDVN#VCRNCD#R7th;R -MROlNEQRA_)7QRHbslN; -PHR3#Hbsl;R4 -RNP3M#$_MDH HCs8lR"N3OEA7Q_Qb)3s"Hl;R -FmH; -R;Qj -QLRmMRk4N; -HHR3#8bNR -4;N#HR$0M_s0H#NR0C4o; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -Hm - ;okMRM -4;N3MR#CNP_0MC_NVDoR#4.;6n -fbRjR:j0CskRk0sCBReBb; -R:fjjNRVDR#CV#NDChRt7b; -R:fjjsR0HMRkj9rjR4kMRRQjm - ;ombRzjar9N; -b$R#MF_bsD0VNRo#Ub; -R:fjjkRLVRRmmMRk4M; -RNRlOQERARzwblsH;P -NR#3HblsHR -4;N3PR#_$MD HMC8sHRN"lOQE3A3zwblsH"F; -R -m;HjRQ;H -NR#3HbRN84b; -R:fjjkRLVRRmmjRQ;R -bfjj:Rk0sCsR0keCRB -B;bjRf:VjRNCD#RDVN#tCRh -7;MlRRNROEmwAzRHbslN; -PHR3#Hbsl;R4 -RNP3M#$_MDH HCs8lR"N3OEmwAz3Hbsl -";F;Rm -RNH3bH#N48R;R -HQ -j;bjRf:LjRkmVRRQmRjb; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -RMRlENORwAzab]Rs;Hl -RNP3bH#sRHl4N; -P#R3$DM_HCM sRH8"OlNEz3Aw3a]blsH"F; -RmmRr;j9 -RNH3bH#N48R;H -NRM#$_H0s#00NC;R4 -RobmN; -b$R#MF_bsD0VNRo#UH; -R;Qj -mHR b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -fbRjR:j0RsHkrMjjm9RrRj9QmjR o; -bzRma9rj;b -NRM#$_sbF0NVDoU#R;R -MROlNEhRq7b.Rs;Hl -RNP3bH#sRHl4N; -P#R3$DM_HCM sRH8"OlNEh3q7b.3s"Hl;R -FmH; -R;Qj -QHR4b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -fbRjR:jNPM8RmmRRRQjQ -4;MlRRNROEQRheblsH;P -NR#3HblsHR -4;N3PR#_$MD HMC8sHRN"lOQE3hbe3s"Hl;R -FmH; -R;Qj -fbRjR:jHRMPmRRmQ -j;bjRf:0jRsRkC0CskRBeB;R -bfjj:RDVN#VCRNCD#R7th;R -MROlNE)Rm.sRbH -l;N3PRHs#bH4lR;P -NR$3#MH_DMs CH"8RlENO3.m)3Hbsl -";F;Rm -QHRjH; -R;Q4 -fbRjR:j0CskRk0sCBReBb; -R:fjjNRVDR#CV#NDChRt7b; -R:fjjsRFPRRmmjRQR;Q4 -RMRlENOR)Xm.sRbH -l;N3PRHs#bH4lR;P -NR$3#MH_DMs CH"8RlENO3)Xm.s3bH;l" -mFR;R -HQ -j;H4RQ;R -bfjj:Rk0sCsR0keCRB -B;bjRf:VjRNCD#RDVN#tCRh -7;bjRf:GjRFRsPmRRmQQjR4@; - - - - -ftell; -@E@MR@4(:d::(44d:cIRRFRs Anz1UjjdRELCNFPHs;ND -RNP3MDHCRMF6 -j;N3PRHE#P84DR;P -NR#3H_8PED;R4 -RNP3ONsEDVHC;R( -RNP38lFkVDCHRDC(N; -P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\F\8OCklM\0#\0oHE\kL\jnUd j0\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; -POR3DMCNk#b_0.Cb_l0HC3Rjj.d46;jj -RNP3CODNbMk_C#0b04_HRlCj43j66n.jN; -POR3F0M#N_M0sRCo"qAtBji_dQj_hua_)4 R"N; -P#R30Dl0H0#0HRlCjj3jjjjj;P -NRHFsoM_H#F0_VAR"zU1nj"dj;P -NRs3FHNohl"CRAnz1Ujjd"N; -P#R3$lM_#_s##HC08;Rj -RNP3FVDI0_#NR0C{P -NRD3VFbI_s#Fb_bNbD8HCR -4;N3PRVIDF_HkMJVkHHRC84N; -PVR3D_FIbCNsMH0_bN#_#o#HMRC84N; -PVR3D_FIDbFF#s_LFM CR -j;}N; -P$R#M#_HlCHG8MDNo;R4 -RNP3M#$_#lV_FoskHb_8;Rj -RNP3M#$_lMkOsEN#cRU( -.;N3PR#_$MD HMC8sHR7"{ U6jn-w67.4 -gc(7U-AjBq-B(nAUg dA}dd"N; -POR38#L_NRPC{P -NRM#$_VsCCMsCOOC_D FORN{ -P$R1#l0CRN{ -P#R3$sM_CDVOF_O 0C$bR -g;}}; -;; -} -RNP3#FLF8b0FRMC4L; -R(@@::4n4n:4:qn:1d_jj1Rq_jjd;H -NR03sDs_FHNoMl"CRqj1_d;j" -RNH#_$M0#sH0CN0R -4;oqbR1d_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::4(4(:4:qn:1j_jj1Rq_jjj;H -NR03sDs_FHNoMl"CRqj1_j;j" -RNH#_$M0#sH0CN0R -4;oqbR1j_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::4U4U:4:)n:Wj_jjWR)_jjj;H -NR03sDs_FHNoMl"CR)jW_j;j" -RNH#_$M0#sH0CN0R -4;o)bRWj_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::4g4g:4:7n:1d_jj1R7_jjd;H -NR03sDs_FHNoMl"CR7j1_d;j" -RNH#_$M0#sH0CN0R -4;o7bR1d_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::.j4j:.:z(:7j1_jzjR7j1_j -j;N3HRs_0DFosHMCNlR7"z1j_jj -";N#HR$0M_s0H#NR0C4o; -b7Rz1j_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::.444:.:p(:7j1_jpjR7j1_j -j;N3HRs_0DFosHMCNlR7"p1j_jj -";N#HR$0M_s0H#NR0C4o; -b7Rp1j_jjN; -b$R#MF_bsD0VNRo#UL; -R(@@::..4.:.:1c:QrZ 49:jRZ1Q :r4j19RQrZ 49:j;H -NR03sDs_FHNoMl"CR1 QZ"N; -HCR38NHVs$sNMCNlRH'#x;C' -RNH#_$M0#sH0CN0R -4;o1bRQrZ j -9;N#bR$bM_FVs0D#NoR -U;o1bRQrZ 4 -9;N#bR$bM_FVs0D#NoR -U;H@R@(d:.:.4:d::4q4rd:R.9q4rd:R.9q4rd:;.9 -RNH3Ds0_HFsolMNCqR""N; -HCR38NHVs$sNMCNlR''N;R -L@:@(.4c:::.c.j:qR;qj -RNH3Ds0_HFsolMNCqR"j -";N#HR$0M_s0H#NR0C4o; -bjRq;b -NRM#$_sbF0NVDoU#R;R -H@:@(.46:::.6.4:qR;q4 -RNH3Ds0_HFsolMNCqR"4 -";H@R@(n:.:.4:nj:4:XM uu_1qRB Mu X_q1uB - ;N3HRs_0DFosHMCNlR "MX1u_u qB"L; -R(@@::.(4(:.:Ac: R))A) );H -NR03sDs_FHNoMl"CRA) )"N; -H$R#Ms_0HN#004CR;b -oR)A )N; -b$R#MF_bsD0VNRo#UH; -R(@@::.U4U:.:An:td_jjtRA_jjd;H -NR03sDs_FHNoMl"CRAjt_d;j" -@FR@.(:g::4.ng::_AtjRjjAjt_j -j;N3HRs_0DFosHMCNlRt"A_jjj"F; -R(@@::dj4j:d:Ag:tiqB_jjdRqAtBji_d -j;N3HRs_0DFosHMCNlRt"Aq_Bij"dj;R -H@:@(d44:::d4gt:Aq_BijRjjABtqij_jjN; -HsR30FD_sMHoNRlC"qAtBji_j;j" -@HR@d(:.::4d(.::iBp_jjdRiBp_jjd;H -NR03sDs_FHNoMl"CRB_pij"dj;R -H@:@(d4d:::dd(p:Bij_jjpRBij_jjN; -HsR30FD_sMHoNRlC"iBp_jjj"H; -R(@@::dc4c:d:BU:pmi_1RZQB_pimQ1Z;H -NR03sDs_FHNoMl"CRB_pimQ1Z"F; -R(@@::d646:d::44B_pi7_QemRzaB_pi7_Qem;za -RNH3Ds0_HFsolMNCBR"p7i_Qme_z;a" -@FR@d(:n::4d(n::iBp_u XRiBp_u X;H -NR03sDs_FHNoMl"CRB_pi "Xu;R -F@:@(d4(:::d(nu:wz1_BRzwu_;B1 -RNH3Ds0_HFsolMNCwR"uBz_1 -";H@R@(U:d:d4:U::gw_uz11 h uRwz _1h;1 -RNH3Ds0_HFsolMNCwR"u1z_ h1"F; -R(@@::dg4g:d:Q(:ujp_d.jr:Rj9Q_upjrdj.9:jRpQu_jjdrj.:9N; -HsR30FD_sMHoNRlC"pQu_jjd"N; -HCR38NHVs$sNMCNlRb'HDd_jj -';H@R@(j:c:c4:j::dQrup.9:jRpQurj.:9uRQp:r.j -9;N3HRs_0DFosHMCNlRu"Qp -";N3HRCV8HNNss$lMNCHR'b;D' -@FR@c(:4::4cn4::q71BRi47B1qi -4;N3HRs_0DFosHMCNlR1"7q4Bi"N; -H#R3DsbFHHo8sHR"M0Fk"N; -HbR3FNs0Ds8HRk"F0 -";H@R@(.:c:c4:.::67BaqiaR7q;Bi -RNH3Ds0_HFsolMNC7R"aiqB"N; -HFR3s8HoH'sRHkMF0 -';F@R@(d:c:c4:d::cqBe R qeBN; -HsR30FD_sMHoNRlC" qeB -";F@R@(c:c:c4:c::4 ;R -RNH3Ds0_HFsolMNC R""H; -R(@@::c646:c:ed:ueqRu -q;N3HRs_0DFosHMCNlRu"eq -";F@R@(n:c:c4:n::deRvqe;vq -RNH3Ds0_HFsolMNCeR"v;q" -@HR@c(:(::4cd(::a)1Ra)1;H -NR03sDs_FHNoMl"CR)"1a;R -F@:@(c4U:::cU6 :)1R a) 1aN; -HsR30FD_sMHoNRlC"1) ;a" -@LR@c(:g::4c.g::R)W) -W;N3HRs_0DFosHMCNlRW")"N; -H$R#Ms_0HN#004CR;b -oR;)W -RNb#_$Mb0FsVoDN#;RU -@HR@6(:4::46.4::rwB49:jRrwB49:jRrwB49:j;H -NR03sDs_FHNoMl"CRw;B" -RNH3HC8VsNsNN$Ml'CRV;O' -@FR@6(:.::464.:(v:qQ_tqq)77_q hARp qtvQq7_q7 )_hpqA N; -HsR30FD_sMHoNRlC"Qqvtqq_7_7) Ahqp; " -@FR@6(:d::464d:Uv:qQ_tqA_z17qqa_)7QRQqvtAq_z71_q_aq7;Q) -RNH3Ds0_HFsolMNCqR"vqQt_1Az_a7qqQ_7) -";F@R@(c:6:64:cj:.:QqvtAq_z 1_hpqA m_pWvRqQ_tqA_z1 Ahqpp _m -W;N3HRs_0DFosHMCNlRv"qQ_tqA_z1 Ahqpp _m;W" -@FR@6(:6::46.6:4v:qQ_tqA_z1 Ahqp] _QRt]qtvQqz_A1h_ q Ap_t]Q]N; -HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA Q_]t;]" -@FR@6(:n::46cn::QBQhQRBQ -h;N3HRs_0DFosHMCNlRQ"BQ;h" -RoMk_M4NolHNk_L#M_CNCLD_IDF;M -NRN3#PMC_CV0_D#No46R.no; -MMRkn#_N_jjd;M -NRN3#PMC_CV0_D#No46R.no; -MMRkdH_#x -C;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_Mc#CHx;M -NRN3#PMC_CV0_D#No46R.no; -MMRk6H_OH -M;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_MUOMHH;M -NRN3#PMC_CV0_D#No46R.no; -MMRkc#_N_jjj;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_1_Qqvt(q_;M -NRN3#PMC_CV0_D#No46R.no; -MMRk.V4_bOk_#N; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRM_..LsCs;M -NRN3#PMC_CV0_D#No46R.no; -MMRkn#_8_jjd;M -NRN3#PMC_CV0_D#No46R.no; -MMRkn8_k#j_jjN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMDn_8j#_j -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_d -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_ -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_g -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_jN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4c -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4( -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_gN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.. -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_dN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.c -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.n -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_(N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.U -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_gN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d. -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_dN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dc -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dn -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_UN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dg -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_jN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;c4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_.N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cd -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_cN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;c6 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_nN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cU -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_gN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_.N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6d -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_cN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;66 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_nN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6( -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_jN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;nd -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_cN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;n6 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_nN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;n( -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_UN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;ng -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR(h_jN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_644;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh.;.j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_. -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._.6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_n..;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh.;cc -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_c -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh6_.(N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRQqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_q7v_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_j7j_vjq_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_q7v_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMABtqid_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqAtBji_dQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MtRAq_Bij_djQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1d_jjj_jjY_1hjB_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjd_jjj_h1YB3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_djj_jj1BYh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j j_hpqA 3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj Ahqpj _34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q hA_p jM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_qj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRZ1Q v_7q__j4k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1 QZ_q7v_4j__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRQ_Z 7_vqj__43jkM;M -NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__3j_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRZ1Q v_7q__jjk_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1 QZ_q7v_jj__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__43dkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j4k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__43jkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j.k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__.34kM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j.k_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__d3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__jdk_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__d3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__jjk_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__j34kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__jjk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__43dkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__43jkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j.k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__.34kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j.k_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7q4Bi_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;opMR7j1_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rp1j_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jjQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjh_Qa3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jjQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;ozMR7j1_jQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rz1j_jjh_Qa3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1z7_jjj_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oeMRvQq_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_Atj_jjjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtj_jj3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_Atj_jjjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;b@R@j::44::4.j+4:k0sCjRf:0jRsRkC0CskRBeB;R -b@:@j4::44+:.4Vj:NCD#R:fjjNRVDR#CV#NDChRt7b; -R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:RFoE#10Rvv_qQrtqj9:(Rnh_d_,hnhc,_,n6hn_n,nh_(_,hnhU,_,nghj_(;b -oRjmr9N; -b$R#MF_bsD0VNRo#n -c;ombRr;49 -RNb#_$Mb0FsVoDN#cRn;b -oR.mr9N; -b$R#MF_bsD0VNRo#n -c;ombRr;d9 -RNb#_$Mb0FsVoDN#cRn;b -oRcmr9N; -b$R#MF_bsD0VNRo#n -c;ombRr;69 -RNb#_$Mb0FsVoDN#cRn;b -oRnmr9N; -b$R#MF_bsD0VNRo#n -c;ombRr;(9 -RNb#_$Mb0FsVoDN#cRn;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(g4ddd(4g;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -H#R30CN0_blNboHMRR"RRjjjjjjj4>R-RjjjjjjjjR\MRjRjjjjj4-jR>jRjjjjj4M4\RjRRjjjj4Rjj-j>Rjjjj4\j4MRRRjjjj4jjjRR->jjjj44jj\RMRRjjj4jjjj>R-Rjjj4jjj4R\MRjRj4jjjj-jR>jRj4jjjjM4\RjRR4jjjjRjj-j>R4jjjj\j4MRRR4jjjjjjjRR->4jjjj4jj\;M" -@sR@4(:ddg:(d:4gg:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djw.wr9T -S=pQu_jjd_.Or97 -S=dh_.B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"pQu_jjd"N; -HkR3MNVsOM_H8RCG.s; -R(@@:g4d::d(4:dgd4g+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7jjS9 -Tu=Qpj_7r -j9Sh7=_ -6.SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCQR"u7p_j -";N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jQ_up7.jr:Rj9fjj:ROlNEwR7wsRbHQlRu7p_j9r4 -=STQ_up74jr97 -S=6h_dB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"pQu_"7j;H -NRM3kVOsN_8HMC4GR;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7j.S9 -Tu=Qpj_7r -.9Sh7=_ -6cSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCQR"u7p_j -";N3HRksMVNHO_MG8CR -.;N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQqr_H(S9 -Tv=1_QqvtHq_r -(9Sh7=_44d_SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d(gd44dg"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('N; -HHR3M#P_FOksC1R'vv_qQrtq(;9' -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtnqr9T -S=_1vqtvQq9rn -=S7h._4g -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddg(g4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:4g(:d:g4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -69S1T=vv_qQrtq6S9 -7_=h4_.(HB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4g4d(d"g4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtcqr9T -S=_1vqtvQq9rc -=S7h._46 -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddg(g4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:4g(:d:g4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -d9S1T=vv_qQrtqdS9 -7_=h4_.dHB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4g4d(d"g4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt.qr9T -S=_1vqtvQq9r. -=S7h._44 -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddg(g4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:4g(:d:g4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -49S1T=vv_qQrtq4S9 -7_=h4_4gHB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4g4d(d"g4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtjqr9T -S=_1vqtvQq9rj -=S7h4_4( -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddg(g4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:4g(:d:g4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#j0r9T -S=kOb_0C#r -j9Sh7=_ -.nSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCOR"bCk_#;0" -RNH3VkMs_NOHCM8G;Rj -@sR@4(:ddg:(d:4gg:d+:4jO_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#04S9 -Tb=Ok#_C09r4 -=S7h(_. -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRO_bkC"#0;H -NRM3kVOsN_8HMC4GR;R -s@:@(4:dgd4(:ddg:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r -.9SOT=bCk_#.0r97 -S=.h_UB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"kOb_0C#"N; -HkR3MNVsOM_H8RCG.s; -R(@@:g4d::d(4:dgd4g+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09rd -=STO_bkCr#0dS9 -7_=h.Sg -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRb"Ok#_C0 -";N3HRksMVNHO_MG8CR -d;s@R@(d:4g(:d:g4d:+dg4Qj:ujp_d.jr:Rj9fjj:ROlNEwR7wsRbHQlRujp_dwj7w9rj -=STQ_upj_djO9rj -=S7hj_d -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRQ_upj"dj;H -NRM3kVOsN_8HMCjGR;R -s@:@(4:dgd4(:ddg:gj+4:pQu_jjdrj.:9jRf:ljRNROE7RwwblsHRpQu_jjd7rww4S9 -Tu=Qpd_jjr_O4S9 -7_=hdS4 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRu"Qpd_jj -";N3HRksMVNHO_MG8CR -4;s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rg -=STB_pij_jjhY_1hgBr97 -S=iBp_jjj_1h_YrhBUS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -g;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h4BrjS9 -Tp=Bij_jj__h1BYhr94j -=S7B_pij_jjhY_1hgBr9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG4 -j;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h4Br4S9 -Tp=Bij_jj__h1BYhr944 -=S7B_pij_jjhY_1h4BrjS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR;44 -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -j9S)T=17a_pjYr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -j9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;Rj -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -49S)T=17a_p4Yr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -49SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -.9S)T=17a_p.Yr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -.9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;R. -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -d9S)T=17a_pdYr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -d9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;Rd -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -c9S)T=17a_pcYr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -c9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;Rc -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -69S)T=17a_p6Yr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -69SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;R6 -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -n9S)T=17a_pnYr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -n9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;Rn -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -(9S)T=17a_p(Yr97 -S=#bF_ OD\13)ap_7Y__6HHP_r -(9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3VkMs_NOHCM8G;R( -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:BBYp7 _v4qr:Rj9fjj:ROlNEwR7wsRbHBlRY Bp_q7vr -j9SBT=Y Bp_q7vr -j9Sh7=_g.c_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRY"BB_p 7"vq;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+jY:BB_p 7rvq49:jR:fjjNRlO7ERwbwRsRHlBpYB v_7q9r4 -=STBpYB v_7q9r4 -=S7hc_.U -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"Y Bp_q7v"N; -HkR3MNVsOM_H8RCG4N; -H#R3$HM_MPH0N"DRj -";s@R@(d:4g(:d:g4d:+dg41j:Q_Z 7rvq49:jR:fjjNRlO7ERwbwRsRHl1 QZ_q7vr -j9S1T=Q_Z 7rvqjS9 -7_=hcB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"Z1Q v_7q -";N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4j1 QZ_q7vrj4:9jRf:ljRNROE7RwwblsHRZ1Q v_7q9r4 -=ST1 QZ_q7vr -49Sh7=_S6 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRQ"1Z7 _v;q" -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhB6S9 -Tp=Bij_jj__u1BYhr -69SB7=pji_juj__h1YB9rc -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC6GR;H -NR$3#MM_HHN0PDjR""s; -R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -n9SBT=pji_juj__h1YB9rn -=S7B_pij_jjuY_1h6Br9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGnN; -H#R3$HM_MPH0N"DRj -";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9r( -=STB_pij_jjuY_1h(Br97 -S=iBp_jjj_1u_YrhBnS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -(;N3HR#_$MH0MHPRND";j" -@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1hUBr9T -S=iBp_jjj_1u_YrhBUS9 -7p=Bij_jj__u1BYhr -(9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;RU -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhBgS9 -Tp=Bij_jj__u1BYhr -g9SB7=pji_juj__h1YB9rU -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCgGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr -j9SBT=pji_jhj__h1YB9rj -=S7b_F#O\D 3iBp_jjj_1h_Y_hB.9rj -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr -49SBT=pji_jhj__h1YB9r4 -=S7B_pij_jjhY_1hjBr9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG4N; -H#R3$HM_MPH0N"DRj -";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9r. -=STB_pij_jjhY_1h.Br97 -S=iBp_jjj_1h_YrhB4S9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -.;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1hdBr9T -S=iBp_jjj_1h_YrhBdS9 -7p=Bij_jj__h1BYhr -.9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rd -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBcS9 -Tp=Bij_jj__h1BYhr -c9SB7=pji_jhj__h1YB9rd -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCcGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr -69SBT=pji_jhj__h1YB9r6 -=S7B_pij_jjhY_1hcBr9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG6N; -H#R3$HM_MPH0N"DRj -";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rn -=STB_pij_jjhY_1hnBr97 -S=iBp_jjj_1h_YrhB6S9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -n;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h(Br9T -S=iBp_jjj_1h_YrhB(S9 -7p=Bij_jj__h1BYhr -n9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R( -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBUS9 -Tp=Bij_jj__h1BYhr -U9SB7=pji_jhj__h1YB9r( -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCUGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -j9SBT=pji_juj__h1YB9rj -=S7b_F#O\D 3iBp_jjj_1u_Y_hB.9rj -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -49SBT=pji_juj__h1YB9r4 -=S7B_pij_jjuY_1hjBr9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG4N; -H#R3$HM_MPH0N"DRj -";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9r. -=STB_pij_jjuY_1h.Br97 -S=iBp_jjj_1u_YrhB4S9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -.;N3HR#_$MH0MHPRND";j" -@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1hdBr9T -S=iBp_jjj_1u_YrhBdS9 -7p=Bij_jj__u1BYhr -.9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rd -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhBcS9 -Tp=Bij_jj__u1BYhr -c9SB7=pji_juj__h1YB9rd -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCcGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+jp:Bid_jjR_]fjj:ROlNEwR7wsRbHBlRpji_d]j_ -=STB_pij_dj]7 -S=.h_cH(_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_dj] -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4j) 1az_majRf:ljRNROE7RwwblsHR1) ma_zSa -T =)1_ am -zaSh7=_n.c_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR ")1_ am"za;H -NRM3H_FDFb;Rc -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:_71j_jj Ahqpf RjR:jlENORw7wRHbsl1R7_jjj_q hA -p S7T=1j_jjh_ q Ap -=S7hj_n -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR7j1_j j_hpqA -";N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)jW_j7j_vfqRjR:jlENORw7wRHbslWR)_jjj_q7v -=ST)jW_j7j_vSq -7_=hdSg -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRW")_jjj_q7v"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4)j:Wj_jjh_QajRf:ljRNROE7RwwblsHR_)Wj_jjQ -haS)T=Wj_jjh_Qa7 -S=ch_jB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_)Wj_jjQ"ha;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j7:p1j_jjh_QajRf:ljRNROE7RwwblsHR1p7_jjj_aQh -=STp_71j_jjQ -haSh7=_ -c4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCpR"7j1_jQj_h;a" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:qAtBji_dQj_hfaRjR:jlENORw7wRHbsltRAq_Bij_djQ -haSAT=tiqB_jjd_aQh -=S7h._c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRABtqid_jjh_Qa -";N3HRHDM_FRFb4N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4qj:1d_jjj_jjY_1hfBRjR:jlENORw7wRHbsl1Rq_jjd_jjj_h1YBT -S=_q1j_djj_jj1BYh -=S7hd_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqj1_djj_j1j_Y"hB;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j1:q_jjj_aQhR:fjjNRlO7ERwbwRsRHlqj1_jQj_hSa -T1=q_jjj_aQh -=S7hc_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqj1_jQj_h;a" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:q71B_i4QRhafjj:ROlNEwR7wsRbH7lR1iqB4h_QaT -S=q71B_i4Q -haSh7=_ -c6SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"1iqB4h_Qa -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jq7j_vfqRjR:jlENORw7wRHbsljRq_q7v -=STq7j_vSq -7_=hcSn -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRj"q_q7v"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4qj:1j_jjv_7qjRf:ljRNROE7RwwblsHR_q1j_jj7 -vqSqT=1j_jjv_7q7 -S=ch_UB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_q1j_jj7"vq;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j1:7_jjj_q7vR:fjjNRlO7ERwbwRsRHl7j1_j7j_vSq -T1=7_jjj_q7v -=S7hg_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR7j1_j7j_v;q" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_71j_dj7fjRjR:jlENORw7wRHbsl1R7_jjd_ -7jS7T=1d_jjj_7 -=S7hj_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR7j1_d7j_j -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jqj1_d7j_jjRf:ljRNROE7RwwblsHR_q1j_dj7Sj -T1=q_jjd_ -7jSh7=__gjHB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_q1j_dj7;j" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:XM uu_1q_B 7fjRjR:jlENORw7wRHbsl RMX1u_u qB_ -7jSMT= _Xu1Buq j_7 -=S7h6_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRMu X_q1uB7 _j -";N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4je_uq7jRf:ljRNROE7RwwblsHRqeu_S7 -Tu=eq -_7Sh7=_ -6nSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCeR"u7q_"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg47j:aiqB_R7jfjj:ROlNEwR7wsRbH7lRaiqB_ -7jS7T=aiqB_ -7jSh7=_ -6(SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"aiqB_"7j;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+jt:A_jjjR:fjjNRlO7ERwbwRsRHlAjt_jwj7wT -S=_Atj_jjO7 -S=dh_dB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_Atj"jj;H -NRM3H_FDFb;Rj -@sR@4(:ddg:(d:4gg:d+:4jqtvQqz_A1h_ q Ap_q7v_t]Q]jRf:ljRNROE7RwwblsHRQqvtAq_z 1_hpqA v_7qQ_]tS] -Tv=qQ_tqA_z1 Ahqp7 _v]q_Q -t]Sh7=_ -dcSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vq]]Qt"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4qj:vqQt_1Az_q hA_p 7_vqpRmWfjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA_p 7_vqp -mWSqT=vqQt_1Az_q hA_p 7_vqp -mWSh7=_ -d6SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vqp"mW;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+jv:eqh_QajRf:ljRNROE7RwwblsHRqev_aQh -=STe_vqQ -haSh7=_ -dnSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCeR"vQq_h;a" -RNH3_HMDbFFR -d;N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jz_71j_jjQRhafjj:ROlNEwR7wsRbHzlR7j1_jQj_hSa -T7=z1j_jjh_Qa7 -S=dh_UB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"1z7_jjj_aQh"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4Aj:tiqB_jjd_aQh_f7RjR:jlENORw7wRHbsltRAq_Bij_djQ_ha7T -S=qAtBji_dQj_h7a_ -=S7h6_.(B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"qAtBji_dQj_h7a_"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:464:n:64d:+(64Bj:pji_juj_ jRf:ljRNROE7RwwblsHRiBp_jjj_ -u SBT=pji_juj_ 7 -S=iBp_jjj_1u_YrhBgS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj _u"N; -H#R3$HM_MPH0N"DRj -";N3HR8_kbMCNl#BR"pji_juj__h1YBjr49"Rj;R -s@:@(4:d(d4c:dd(:nj+4:iBp_jjj_Rh fjj:ROlNEwR7wsRbHBlRpji_jhj_ T -S=iBp_jjj_ -h SB7=pji_jhj__h1YB4r49B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_"h ;H -NR$3#MM_HHN0PDjR""s; -R(@@:.4c::dc4:c.d4n+jp:Biz_ma)_u j_6R:fjjNRlO7ERwbwRsRHlB_pim_zau_) 6Sj -Tp=Biz_ma)_u j_6 -=S7B_pim_zau_) 6Hj_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pim_zau_) 6;j" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:djd4c:ddj:nj+4:iBp_jjj_R74fjj:ROlNEwR7wsRbHBlRpji_j7j_4T -S=iBp_jjj_ -74SB7=pji_j7j_jB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_"74;H -NR$3#MM_HHN0PD4R""s; -R(@@:U4d::dc4:dUd4n+jp:Bij_jj _h_R7jfjj:ROlNEwR7wsRbHBlRpji_jhj_ j_7 -=STB_pij_jjh7 _j7 -S=iBp_jjj_ -h SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj_ j_7"N; -H#R3$HM_MPH0N"DRj -";s@R@(.:46U:d:64.:+cj4Bj:pmi_zua_)7 _R:fjjNRlO7ERwbwRsRHlB_pim_zau_) 7T -S=iBp_amz_ u)_S7 -7p=Biz_ma)_u j_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pim_zau_) 7 -";N3HR#_$MH0MHPRND";4" -@sR@4(:.dU:c.:4Un:d+:4jB_pij_jj7fjRjR:jlENORw7wRHbslpRBij_jjj_7 -=STB_pij_jj7Sj -7p=Bij_jj -_OSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_j7j_j -";N3HR#_$MH0MHPRND";4" -fsRjR:jlENOR_AQ7RQ)blsHR_q1j -djSqm=1d_jj -_OS=Qjk_MnNj#_dHj_ -mSQ=_q1j -djS=m k_MdNj#_dHj_;b -oR;Qm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHR_q1j -jjSqm=1j_jj -_OS=Qjk_McNj#_jHj_ -mSQ=_q1j -jjS=m k_M4Nj#_jHj_;b -oR;Qm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHR_)Wj -jjS)m=Wj_jj -_OS=Qj)jW_jQj_hSa -Q)m=Wj_jjm -S M=k4#_N_jjj_ -H;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbH7lR1d_jjm -S=_71j_djOQ -SjM=kn#_8_jjd_SH -Q7m=1d_jjm -S M=kd#_N_jjd_ -H;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbHzlR7j1_jSj -m7=z1j_jj -_OS=Qjk_Mnk_8#j_jjHQ -Sm7=z1j_jjm -S M=k4#_N_jjj_ -H;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbHplR7j1_jSj -m7=p1j_jj -_OS=Qjk_MnD_8#j_jjHQ -Sm7=p1j_jjm -S M=k4#_N_jjj_ -H;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbH1lRQrZ jS9 -mQ=1ZO _r -j9S=Qjk_Mc#CHx -mSQ=Z1Q 9rj - Sm=4kM__N#j_djHo; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbslQR1Z4 r9m -S=Z1Q r_O4S9 -Qkj=M#d_H -xCS=Qm1 QZr -49S=m k_M4Nj#_dHj_;b -oR;Qm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENORzQAwsRbHqlRr -.9Sqm=_.Or9Q -Sjr=q. -9;sjRf:ljRNROEQwAzRHbslrRqdS9 -m_=qO9rd -jSQ=dqr9s; -R:fjjNRlOQERARzwblsHRcqr9m -S=Oq_r -c9S=Qjq9rc;R -sfjj:ROlNEARQzbwRsRHlq9r6 -=Smqr_O6S9 -Qqj=r;69 -fsRjR:jlENORzQAwsRbHqlRr -n9Sqm=_nOr9Q -Sjr=qn -9;sjRf:ljRNROEQwAzRHbslrRq(S9 -m_=qO9r( -jSQ=(qr9s; -R:fjjNRlOQERARzwblsHRUqr9m -S=Oq_r -U9S=Qjq9rU;R -sfjj:ROlNEARQzbwRsRHlq9rg -=Smqr_OgS9 -Qqj=r;g9 -fsRjR:jlENORzQAwsRbHqlRr94j -=Smqr_O4 -j9S=Qjqjr49s; -R:fjjNRlOQERARzwblsHR4qr4S9 -m_=qO4r49Q -Sjr=q4;49 -fsRjR:jlENORzQAwsRbHqlRr94. -=Smqr_O4 -.9S=Qjq.r49s; -R:fjjNRlOQERARzwblsHR4qrdS9 -m_=qOdr49Q -Sjr=q4;d9 -fsRjR:jlENORzQAwsRbHqlRr94c -=Smqr_O4 -c9S=Qjqcr49s; -R:fjjNRlOQERARzwblsHR4qr6S9 -m_=qO6r49Q -Sjr=q4;69 -fsRjR:jlENORzQAwsRbHqlRr94n -=Smqr_O4 -n9S=Qjqnr49s; -R:fjjNRlOQERARzwblsHR4qr(S9 -m_=qO(r49Q -Sjr=q4;(9 -fsRjR:jlENORzQAwsRbHqlRr94U -=Smqr_O4 -U9S=QjqUr49s; -R:fjjNRlOQERARzwblsHR4qrgS9 -m_=qOgr49Q -Sjr=q4;g9 -fsRjR:jlENORzQAwsRbHqlRr9.j -=Smqr_O. -j9S=Qjqjr.9s; -R:fjjNRlOQERARzwblsHR.qr4S9 -m_=qO4r.9Q -Sjr=q.;49 -fsRjR:jlENORzQAwsRbHqlRr9.. -=Smqr_O. -.9S=Qjq.r.9s; -R:fjjNRlOQERARzwblsHR.qrdS9 -m_=qOdr.9Q -Sjr=q.;d9 -fsRjR:jlENORzQAwsRbHqlRr9.c -=Smqr_O. -c9S=Qjqcr.9s; -R:fjjNRlOQERARzwblsHR.qr6S9 -m_=qO6r.9Q -Sjr=q.;69 -fsRjR:jlENORzQAwsRbHqlRr9.n -=Smqr_O. -n9S=Qjqnr.9s; -R:fjjNRlOQERARzwblsHR.qr(S9 -m_=qO(r.9Q -Sjr=q.;(9 -fsRjR:jlENORzQAwsRbHqlRr9.U -=Smqr_O. -U9S=QjqUr.9s; -R:fjjNRlOQERARzwblsHR.qrgS9 -m_=qOgr.9Q -Sjr=q.;g9 -fsRjR:jlENORzQAwsRbHqlRr9dj -=Smqr_Od -j9S=Qjqjrd9s; -R:fjjNRlOQERARzwblsHRdqr4S9 -m_=qO4rd9Q -Sjr=qd;49 -fsRjR:jlENOR_AQ7RQ)blsHR -qjSqm=j -_OS=Qjq7j_vSq -Qqm=jm -S M=kd#_N_jjd_ -H;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOQERARzwblsHR -q4Sqm=4 -_OS=Qjq -4;sjRf:ljRNROEQwAzRHbsl RMX1u_u qB -=SmMu X_q1uBO _ -jSQ=XM uu_1q;B -fsRjR:jlENOR_AQ7RQ)blsHR)A )m -S=)A ) -_OS=Qjt -h7S=QmA) ) - Sm=.kM.C_Ls -s;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOQERARzwblsHR_Atj -djSAm=td_jj -_OS=QjAjt_d -j;sjRf:ljRNROEmwAzRHbsltRA_jjj -=SmAjt_jSj -QAj=tj_jj;_O -fsRjR:jlENORzmAwsRbHAlRtiqB_jjd -=SmABtqid_jjQ -Sjt=Aq_Bij_djQ;ha -fsRjR:jlENORzQAwsRbHAlRtiqB_jjj -=SmABtqij_jj -_OS=QjABtqij_jjs; -R:fjjNRlOQERARzwblsHRiBp_jjd -=SmB_pi _XuOQ -Sjp=Bid_jjs; -R:fjjNRlOQERARzwblsHRiBp_jjj -=SmB_pij_jjOQ -Sjp=Bij_jjs; -R:fjjNRlOQERARzwblsHRiBp_Zm1Qm -S=iBp_Zm1Q -_OS=QjB_pimQ1Z;R -sfjj:ROlNEzRAwRa]blsHRiBp_e7Q_amz -=SmB_pi7_Qem -zaS=Qjt -h7S=m t;h7 -RobmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOmERARzwblsHRiBp_u X -=SmB_pi -XuS=QjB_pi _XuOs; -R:fjjNRlOmERARzwblsHRzwu_ -B1Swm=uBz_1Q -SjM=k.V4_bOk_#;_H -fsRjR:jlENORzQAwsRbHwlRu1z_ h1 -=Smw_uz11 h -_OS=Qjw_uz11 h s; -R:fjjNRlOmERARzwblsHRpQu_jjdr -j9SQm=ujp_djjr9Q -Sju=Qpd_jjr_Oj -9;sjRf:ljRNROEmwAzRHbsluRQpd_jj9r4 -=SmQ_upjrdj4S9 -QQj=ujp_dOj_r;49 -fsRjR:jlENORzmAwsRbHQlRujp_d.jr9m -S=pQu_jjdr -.9S=QjQ_upj_djO9r.;R -sfjj:ROlNEARQzbwRsRHlQrupjS9 -mu=Qpr_OjS9 -QQj=ujpr9s; -R:fjjNRlOQERARzwblsHRpQur -49SQm=uOp_r -49S=QjQrup4 -9;sjRf:ljRNROEQwAzRHbsluRQp9r. -=SmQ_upO9r. -jSQ=pQur;.9 -fsRjR:jlENORwAzab]RsRHl7B1qiS4 -m1=7q4Bi -jSQ=q71B_i4Q -haS=m Mu X_q1uB7 _jo; -b;Rm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENORzQAwsRbH7lRaiqB -=Sm7Baqi -_OS=Qj7Baqis; -R:fjjNRlOmERARzwblsHR qeBm -S= qeBQ -SjB=eBs; -R:fjjNRlOmERARzwblsHRS -m -= S=QjO_bkCr#0d -9;sjRf:ljRNROEQwAzRHbsluReqm -S=qeu_SO -Qej=u -q;sjRf:ljRNROEmwAzRHbslvReqm -S=qev -jSQ=qev_aQh;R -sfjj:ROlNEARQzbwRsRHl) -1aS)m=1Oa_ -jSQ=a)1;R -sfjj:ROlNEARmzbwRsRHl) 1am -S=1) Sa -Q)j= a1 _amz;R -sfjj:ROlNEQRA_)7QRHbslWR) -=Sm)OW_ -jSQ=_)Wj_jj7 -vqS=Qm)SW -mh =_j4j;b -oR;Qm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENORzQAwsRbHwlRB9rj -=SmwOB_r -j9S=QjwjBr9s; -R:fjjNRlOQERARzwblsHRrwB4S9 -mB=w_4Or9Q -SjB=wr;49 -fsRjR:jlENORzmAwsRbHqlRvqQt_7q7)h_ q Ap -=SmqtvQq7_q7 )_hpqA Q -Sjh=t7s; -R:fjjNRlOmERARzwblsHRQqvtAq_z71_q_aq7 -Q)Sqm=vqQt_1Az_a7qqQ_7)Q -Sjv=qQ_tqA_z17qqa_)7Q_ -O;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 Ahqpp _mSW -mv=qQ_tqA_z1 Ahqpp _mSW -Qkj=MN4_lNHo_#Lk_NCML_DCD_FIHs; -R:fjjNRlOmERARzwblsHRQqvtAq_z 1_hpqA Q_]tS] -mv=qQ_tqA_z1 Ahqp] _Q -t]S=Qjhj_U;R -sfjj:ROlNEzRAwRa]blsHRQBQhm -S=QBQhQ -SjM=k6H_OHSM -mk =MOU_H_HMHo; -b;Rm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__64 -_HShm=_U.c_SH -Qhj=_U.c_4H_ -4SQ=.h_6j(_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__S4 -m_=h._cgH -_4S=Qjqj1_jHj_ -4SQ=(h_g;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__6j -_HShm=_g.c_SH -Qhj=_g.c_4H_ -4SQ=.h_6j(_;R -sfjj:ROlNEhRq7b.RsRHltn_4U -_4Sbm=FO#_D3 \H_bD4Q -Sj_=h._.nHQ -S4_=h._.cHs; -R:fjjNRlOqERhR7.blsHR4t_nSU -mF=b#D_O H\3bSD -Qbj=FO#_D3 \H_bD4Q -S4_=h._.6Hs; -R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs__ -N.Skm=M_..LsCs -jSQ=.kM.C_Ls4s__Sj -Qh4=_n44;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_44_ -=Smh4_4n -_4S=QjwOB_r -j9S=Q4wOB_r;49 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 -_.Shm=_n44_S. -Qqj=_4Or(S9 -Qq4=_4Hrn -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__Sd -m_=h4_4ndQ -Sj_=qHUr49Q -S4_=qHgr49s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.__4cm -S=4h_4cn_ -jSQ=4h_44n_ -4SQ=4h_4.n_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_S4 -m_=h4 -4nS=Qjh4_4n -_cS=Q4h4_4n;_d -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLjo_djj___N.4m -S=#bF_ OD\M3kno_L_jjd_S4 -QMj= _Xu1Buq j_7 -4SQ=_q1j_dj7 -j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_od_jj__jNS. -mF=b#D_O k\3MLn_od_jjQ -SjF=b#D_O k\3MLn_od_jj -_4S=Q4B_pij_jj7 -j;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvt6q__jH__S4 -m_=h4_46j -_4S=Qjhd_U_SH -Q14=vv_qQ_tqH9r6;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_H6__Sj -m_=h4_46jQ -Sj_=h4_46j -_4S=Q41qv_vqQt_(Hr9s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_4H_ -=Smhc_.6__j4Q -Sj_=hUHc_ -4SQ=_)Wj_jjHs; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_SH -m_=h._c6jQ -Sj_=h._c6j -_4S=Q4b_F#O\D 3.kMco_LN_O j_djH_M0H__jjs; -R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__4H_ -=Smhc_.(__H4Q -Sj_=hgHc_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslpRBid_jj__]. -_HShm=_(.c_SH -Qhj=_(.c_4H_ -4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH__ -j;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__4H_ -=Smhc_.U__H4Q -Sj1=q_jjj_SH -Qh4=__(UHs; -R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6 -_4S)m= a1 _amz_#j_JGlkN__64Q -Sj =)1_ am_zajJ_#lNkG_S4 -Q)4=17a_p4Yr9s; -R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6m -S=1) ma_zja__l#Jk_GN6Q -Sj =)1_ am_zajJ_#lNkG_46_ -4SQ=a)1_Y7pr;.9 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj._N_4j_ -=Smh(_U_S4 -Qqj=1j_jj -_HS=Q4ABtqid_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj._N_.j_ -=Smh(_U_S. -Q)j=Wj_jj -_OS=Q4Mu X_q1uB7 _j;_H -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj._N_Sj -m_=hUS( -Qhj=__U(4Q -S4_=hU.(_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jF4._ -=Smb_F#O\D 3.kMco_LN_O j_djH_M0H__jj -_4S=Qjqj1_jHj_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jF.._ -=Smb_F#O\D 3.kMco_LN_O j_djH_M0H__jj -_.S=Qjhd_n_SH -Qh4=_c4j_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.cLOoN d_jjM_H0__Hj._F -=Smb_F#O\D 3.kMco_LN_O j_djH_M0H__jjQ -SjF=b#D_O k\3M_.cLOoN d_jjM_H0__Hj__j4Q -S4F=b#D_O k\3M_.cLOoN d_jjM_H0__Hj__j.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_. -_4Shm=__(.j -_4S=Qj1qv_vqQt_HH_r -(9S=Q4Mu X_q1uB7 _js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_.m -S=(h_. -_jS=Qjh._(_4j_ -4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jj84j_ -=Smb_F#O\D 3(kM_ OD_jjj__8j4Q -SjF=b#D_O B\3pji_jhj__h1YBr_.jS9 -Qq4=1d_jjj_jjY_1hHB_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3(kM_ OD_jjj_ -8jSbm=FO#_D3 \k_M(O_D j_jj8Sj -Qbj=FO#_D3 \k_M(O_D j_jj84j_ -4SQ=XM uu_1q_B 7 -j;sjRf:ljRNROEq.h7RHbslMRk.V4_bOk_#__jN4._ -=Smk4M._kVb__O#4Q -Sju=wz _1h_1 HQ -S4_=h4;4n -fsRjR:jlENOR7qh.sRbHklRM_.4V_bkOj#__ -N.Skm=M_.4V_bkOS# -Qkj=M_.4V_bkO4#_ -4SQ=.kM.C_Ls4s_;R -sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jN4.__Sj -mM=k.L._C_ss4 -_jS=Qjk.M._sLCs -_4S=Q4w_uz11 h ;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjMdC_ -=Smb_F#O\D 3gkM_ OD_jjj__MCdQ -Sjb=Ok#_C09rj -4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjMcC_ -=Smb_F#O\D 3gkM_ OD_jjj__MCcQ -SjF=b#D_O k\3MOg_Dj _jMj_C -_4S=Q4b_F#O\D 3gkM_ OD_jjj__MC.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_M -=Smb_F#O\D 3gkM_ OD_jjj_ -MCS=Qjb_F#O\D 3gkM_ OD_jjj__MCcQ -S4F=b#D_O k\3MOg_Dj _jMj_C;_d -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjb4C_ -=Smb_F#O\D 36kM_ OD_jjj__bC4Q -Sjb=Ok#_C0r_HdS9 -QB4=pji_juj_ s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b_S. -mF=b#D_O k\3MO6_Dj _jbj_C -_.S=QjO_bkCr#04S9 -QO4=bCk_#.0r9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b_Sd -mF=b#D_O k\3MO6_Dj _jbj_C -_dS=Qjb_F#O\D 36kM_ OD_jjj__bC4Q -S4F=b#D_O k\3MO6_Dj _jbj_C;_. -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjbSC -mF=b#D_O k\3MO6_Dj _jbj_CQ -SjF=b#D_O k\3MO6_Dj _jbj_C -_dS=Q4O_bkC_#0H9rj;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM(D_O j_jjC_M__8j4m -S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_S4 -QOj=bCk_#d0r9Q -S4_=h4_gg4s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8_j._ -=Smb_F#O\D 3.kM(D_O j_jjC_M__8j.Q -Sjv=eqh_Qa -_HS=Q4e_uq7;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(M._ OD_jjj__MC8dj_ -=Smb_F#O\D 3.kM(D_O j_jjC_M__8jdQ -SjF=b#D_O k\3M_.(O_D j_jjM8C_j -_4S=Q4b_F#O\D 3.kM(D_O j_jjC_M__8j.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8 -=Smb_F#O\D 3.kM(D_O j_jjC_M_ -8jS=Qjb_F#O\D 3.kM(D_O j_jjC_M__8jdQ -S4b=Ok#_C09r.;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S4 -m =)1_ am_zajJ_#lNkG_4(_ -jSQ=1) ma_zja__l#Jk_GN6Q -S41=)ap_7Y9rd;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S. -m =)1_ am_zajJ_#lNkG_.(_ -jSQ=a)1_Y7pr -c9S=Q4)_1a7rpY6 -9;sjRf:ljRNROEq.h7RHbsl R)1_ am_zajJ_#lNkG_d(_ -=Sm) 1az_ma__j#kJlG(N__Sd -Q)j= a1 _amz_#j_JGlkN__(4Q -S4 =)1_ am_zajJ_#lNkG_.(_;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N_ -=Sm) 1az_ma__j#kJlG(N_ -jSQ=1) ma_zja__l#Jk_GN( -_dS=Q4)_1a7rpYn -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__NH_nr_.jS9 -m_=h4 -n6S=Qjhn_46 -_dS=Q4b_F#O\D 3(kM_ OD_jjj__8jHs; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_4Skm=MO6_H_HM4Q -Sj1=q_jjd__7jHQ -S4_=qOjr.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_.Skm=MO6_H_HM.Q -Sj_=qO4r.9Q -S4_=qO.r.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_dSkm=MO6_H_HMdQ -Sj_=qOdr.9Q -S4_=qHcr.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_cSkm=MO6_H_HMcQ -Sj_=qH6r.9Q -S4_=qHnr.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_6Skm=MO6_H_HM6Q -Sj_=qH4rd9Q -S4_=qH(r.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_nSkm=MO6_H_HMnQ -Sj_=qHUr.9Q -S4_=qHgr.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_(Skm=MO6_H_HM(Q -SjM=k6H_OH4M_ -4SQ=6kM_HOHM;_. -fsRjR:jlENOR7qh.sRbHklRMO6_H_HMUm -S=6kM_HOHM -_US=Qjk_M6OMHH_Sd -Qk4=MO6_H_HMcs; -R:fjjNRlOqERhR7.blsHR6kM_HOHM -_gSkm=MO6_H_HMgQ -SjM=k6H_OH6M_ -4SQ=6kM_HOHM;_n -fsRjR:jlENOR7qh.sRbHklRMO6_H_HM4Sj -mM=k6H_OH4M_jQ -SjM=k6H_OH(M_ -4SQ=6kM_HOHM;_U -fsRjR:jlENOR7qh.sRbHklRMO6_H_HM4S4 -mM=k6H_OH4M_4Q -SjM=k6H_OHgM_ -4SQ=Hq_r9dj;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH -=Smk_M6OMHH -jSQ=6kM_HOHMj_4 -4SQ=6kM_HOHM4_4;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MC4m -S=#bF_ OD\M3kgD_O j_jjC_M_S4 -QOj=bCk_#H0_r -49S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MC.m -S=#bF_ OD\M3kgD_O j_jjC_M_S. -QBj=pji_jhj_ Q -S4u=eq__7Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9r. -=Smh._44 -_HS=Qjh._44__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49rd -=Smh._4d__H4Q -Sj_=h4_6.HQ -S4_=h4_6dHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_.dS9 -m_=h4_.dH -_.S=Qjh6_4c -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rd -=Smh._4d -_HS=Qjh._4d__H4Q -S4_=h4_.dH;_. -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49rc -=Smh._46__H4Q -Sj_=h4_66HQ -S4_=h4_6nHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rc -=Smh._46 -_HS=Qjh._46__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49rn -=Smh._4g__H4Q -Sj_=h4_njHQ -S4_=h4_n4Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rn -=Smh._4g -_HS=Qjh._4g__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HN4n__jjr9m -S=4h_n4._ -jSQ=4h_dS6 -QA4= _))Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_Nr -j9Shm=_.4n -jSQ=4h_n4._ -4SQ=iBp_jjj__u Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_N_4j_r -j9Shm=_d4n_S4 -Qhj=_d4d -4SQ=)A );_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HNjn_r -j9Shm=_d4n -jSQ=4h_n4d_ -4SQ=iBp_jjj__h Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_N_4._r -j9Shm=_64n_S4 -Qhj=_d4d_SH -Qh4=_64d_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__NH_n__..9rj -=Smhn_46 -_.S=Qj1qv_vqQt_jHr9Q -S4v=1_QqvtHq_r;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HN.n__jdr9m -S=4h_nd6_ -jSQ=4h_n46_ -4SQ=4h_n.6_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44j9r4 -=Smb_F#O\D 3kOb_0C#__44j9r4 -jSQ=#bF_ OD\b3Ok#_C04_4_4j_r -49S=Q4b_F#O\D 3kOb_0C#__44jr_.4 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j49rd -=Smb_F#O\D 3kOb_0C#__44jr_4dS9 -Qhj=_g4g_SH -Qh4=_(4g_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4r_jdS9 -mF=b#D_O O\3bCk_#40_4r_jdS9 -Qbj=FO#_D3 \O_bkC_#04j4__d4r9Q -S4_=h4_gUHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_NH_cr_4.S9 -m_=h4_g64Q -Sj_=h4 -UUS=Q4O_bkCr#0j -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__HN.cr9m -S=4h_gS6 -Qhj=_64g_S4 -QO4=bCk_#H0_r;d9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04H4___Ncjr_4.S9 -m_=h4_gn4Q -Sjb=Ok#_C09r4 -4SQ=kOb_0C#_jHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_NH_cr_j.S9 -m_=h4 -gnS=Qjhg_4n -_4S=Q4O_bkC_#0H9r.;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__j4r9m -S=4h_dH4__S4 -Qhj=_(4d_SH -Qh4=_.4n_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__.H_r -j9Shm=_44d_.H_ -jSQ=4h_nHd_ -4SQ=4h_nHc_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jdr9m -S=4h_dH4__Sd -Qhj=_44d_4H_ -4SQ=4h_dH4__ -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jHr9m -S=4h_dH4_ -jSQ=4h_dH4__Sd -Qh4=_64n_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_4H_r -49Shm=_g44_4H_ -jSQ=4h_cH(_ -4SQ=4h_cHU_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__4.r9m -S=4h_4Hg__S. -Qhj=_g4c_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#_r -49Shm=_g44_SH -Qhj=_g44_4H_ -4SQ=4h_4Hg__ -.;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_4H_r -.9Shm=_44._4H_ -jSQ=4h_6Hj_ -4SQ=4h_6H4_;R -sfjj:ROlNEhRQesRbHelRvQq_h4a__SH -m_=hdSn -Qhj=__dnjs; -R:fjjNRlOQERhbeRsRHlh._._SH -m_=h.H._ -jSQ=.h_.s; -R:fjjNRlOQERhbeRsRHlAjt_j4j__SH -m_=hdSd -Qhj=__ddjs; -R:fjjNRlOQERhbeRsRHlAjt_dOj__SH -mt=A_jjd_HO_ -jSQ=_Atj_djOs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3nkM__Loj_djHm -S=#bF_ OD\M3kno_L_jjd_SH -Qbj=FO#_D3 \k_MnLjo_d -j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kUo_L_jjd_SH -mF=b#D_O k\3MLU_od_jjQ -SjF=b#D_O k\3MLU_od_jj;_j -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49r6 -=Smh._4(__H4Q -Sj_=h4_6(HQ -S4_=h4_6UHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_.6S9 -m_=h4_.(H -_.S=Qjh6_4g -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9r6 -=Smh._4( -_HS=Qjh._4(__H4Q -S4_=h4_.(H;_. -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k4M4__8#j_dj84j_ -=Smb_F#O\D 34kM4#_8_jjd__8jH -_4S=Qj1 QZ_HO_r -49S=Q4qOj__ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_448j#_d8j_jm -S=#bF_ OD\M3k484_#d_jjj_8_SH -Qbj=FO#_D3 \k4M4__8#j_dj8Hj__S4 -Q14=Q_Z O9rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH___Fdjr_4jS9 -m_=h4_d6H -_4S=Qj1qv_vqQt_.Hr9Q -S4v=1_QqvtHq_r;c9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HFjd_r -j9Shm=_64d_SH -Qhj=_64d_4H_ -4SQ=_1vqtvQqr_Hn -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j49r4 -=Smb_F#O\D 3kOb_0C#__44jr_44S9 -Qhj=_44g_SH -Qh4=_.4g_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j.9r4 -=Smb_F#O\D 3kOb_0C#__44jr_.4S9 -Qhj=_d4g_SH -Qh4=_c4g_ -H;sjRf:ljRNROEQRheblsHRdh__SH -m_=hd -_HS=Qjh;_d -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_H4_ -=Smhg_c -jSQ=ch_g;_j -fsRjR:jlENOReQhRHbsl_Rhn -_HShm=_Hn_ -jSQ=nh_;R -sfjj:ROlNEhRQesRbHqlR1j_jjv_7q__4Hm -S=ch_UQ -Sj_=hcjU_;R -sfjj:ROlNEhRQesRbHhlR_Hg_ -=Smh__gHQ -Sj_=hgs; -R:fjjNRlOQERhbeRsRHl7B1qiQ4_h4a__SH -m_=hcS6 -Qhj=__c6js; -R:fjjNRlOQERhbeRsRHlhj_4_SH -m_=h4Hj_ -jSQ=4h_js; -R:fjjNRlOQERhbeRsRHlqj1_jQj_h4a__SH -m_=hcSc -Qhj=__ccjs; -R:fjjNRlOQERhbeRsRHlhc_4_SH -m_=h4Hc_ -jSQ=4h_cs; -R:fjjNRlOQERhbeRsRHlp_71j_jjQ_ha4 -_HShm=_ -c4S=Qjh4_c_ -j;sjRf:ljRNROEQRheblsHR4h_6 -_HShm=__46HQ -Sj_=h4 -6;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_ha. -_HShm=_ -cjS=Qjhj_c_ -j;sjRf:ljRNROEQRheblsHR4h_( -_HShm=__4(HQ -Sj_=h4 -(;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_H4_ -=SmhU_d -jSQ=dh_U;_j -fsRjR:jlENOReQhRHbsl_Rh4Hg_ -=Smhg_4_SH -Qhj=_;4g -fsRjR:jlENOReQhRHbsl_Rh.Hd_ -=Smhd_._SH -Qhj=_;.d -fsRjR:jlENOReQhRHbsluRQpd_jj__4H9rj -=Smhj_d -jSQ=dh_j;_j -fsRjR:jlENOReQhRHbsluRQp__OH9r. -=SmQ_upOr_H.S9 -QQj=uOp_r;.9 -fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r -.9Shm=_ -6cS=Qjhc_6_ -j;sjRf:ljRNROEQRheblsHRpQu_HO_r -49SQm=uOp__4Hr9Q -Sju=Qpr_O4 -9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H4S9 -m_=h6Sd -Qhj=__6djs; -R:fjjNRlOQERhbeRsRHlQ_upOr_HjS9 -mu=Qp__OH9rj -jSQ=pQu_jOr9s; -R:fjjNRlOQERhbeRsRHlQ_up7jj__jHr9m -S=6h_.Q -Sj_=h6j._;R -sfjj:ROlNEhRQesRbH7lRaiqB_HO_ -=Sm7Baqi__OHQ -Sja=7q_BiOs; -R:fjjNRlOQERhbeRsRHl7Baqij_7_Hj_ -=Smh(_6 -jSQ=6h_(;_j -fsRjR:jlENOReQhRHbsluReq__OHm -S=qeu_HO_ -jSQ=qeu_ -O;sjRf:ljRNROEQRheblsHRqeu_j7__SH -m_=h6Sn -Qhj=__6njs; -R:fjjNRlOQERhbeRsRHlMu X_q1uBO __SH -m =MX1u_u qB_HO_ -jSQ=XM uu_1q_B Os; -R:fjjNRlOQERhbeRsRHlMu X_q1uB7 _j__jHm -S=6h_6Q -Sj_=h6j6_;R -sfjj:ROlNEhRQesRbH7lR1d_jjj_7_Hj_ -=Smhj_6 -jSQ=6h_j;_j -fsRjR:jlENOReQhRHbsl_Rh4_cnHm -S=4h_cHn_ -jSQ=4h_c -n;sjRf:ljRNROEQRheblsHR4h_cHc_ -=Smhc_4c -_HS=Qjhc_4cs; -R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_H(__Sj -mM=k4v_1_Qqvt(q_ -jSQ=4kM__1vqtvQq__(Hs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kM4D_O j_jjC_M__8jHm -S=#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH -Qbj=FO#_D3 \k4M._ OD_jjj__MC8 -j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8_SH -mF=b#D_O k\3M_.(O_D j_jjM8C_j -_HS=Qjb_F#O\D 3.kM(D_O j_jjC_M_;8j -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.dO_D j_jjM8C_j -_HSbm=FO#_D3 \kdM._ OD_jjj__MC8Sj -Qbj=FO#_D3 \kdM._ OD_jjj__MC8jj_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k_M6O_D j_jjbHC_ -=Smb_F#O\D 36kM_ OD_jjj__bCHQ -SjF=b#D_O k\3MO6_Dj _jbj_Cs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3gkM_ OD_jjj__MCHm -S=#bF_ OD\M3kgD_O j_jjC_M_SH -Qbj=FO#_D3 \k_MgO_D j_jjM -C;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k(D_O j_jjC_b_SH -mF=b#D_O k\3MO(_Dj _jbj_CQ -SjF=b#D_O k\3MO(_Dj _jbj_C;_j -fsRjR:jlENOReQhRHbsljRq_HO_ -=SmqOj__SH -Qqj=j;_O -fsRjR:jlENOReQhRHbslQR1ZO __4Hr9m -S=Z1Q __OH9r4 -jSQ=Z1Q r_O4 -9;sjRf:ljRNROEQRheblsHR.h_6 -_HShm=__.6HQ -Sj_=h. -6;sjRf:ljRNROEQRheblsHRpQu_jjd_H4_r -.9Shm=_ -d.S=Qjh._d_ -j;sjRf:ljRNROEQRheblsHR.h_c -_HShm=__.cHQ -Sj_=h. -c;sjRf:ljRNROEQRheblsHRpQu_jjd_H4_r -49Shm=_ -d4S=Qjh4_d_ -j;sjRf:ljRNROEQRheblsHR4h_gHd_ -=Smhg_4d -_HS=Qjhg_4ds; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3kOb_0C#__44jc_F_dHr9m -S=4h_gSj -Qhj=_j4g_ -H;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_Fj_cr_H4S9 -m_=h4 -UgS=QjhU_4g;_H -fsRjR:jlENOReQhRHbslFRb#D_O O\3bCk_#40_4__HFHc_r -.9Shm=_U4U -jSQ=4h_UHU_;R -sfjj:ROlNEhRQesRbHhlR_64g_SH -m_=h4_g6HQ -Sj_=h4;g6 -fsRjR:jlENOReQhRHbsl_Rh4_gnHm -S=4h_gHn_ -jSQ=4h_g -n;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_jHr9m -S=4h_cSd -Qhj=_d4c_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_nHr9m -S=4h_cS. -Qhj=_.4c_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_cHr9m -S=4h_cS4 -Qhj=_44c_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_.Hr9m -S=4h_cSj -Qhj=_j4c_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_4Hr9m -S=4h_dSg -Qhj=_g4d_ -j;sjRf:ljRNROEQRheblsHR4h_nHn_ -=Smhn_4n -_HS=Qjhn_4ns; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#___FdH9rd -=Smhd_4nQ -Sj_=h4_dnHs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH___FdH9rj -=Smhd_4dQ -Sj_=h4_ddHs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#___Fdjr_HjS9 -m_=h4 -d.S=Qjhd_4.;_H -fsRjR:jlENOReQhRHbsl_Rh4_c(Hm -S=4h_cH(_ -jSQ=4h_c -(;sjRf:ljRNROEQRheblsHR4h_cHU_ -=Smhc_4U -_HS=Qjhc_4Us; -R:fjjNRlOQERhbeRsRHlhn_4c -_HShm=_c4n_SH -Qhj=_c4n;R -sfjj:ROlNEhRQesRbHhlR_64n_SH -m_=h4_n6HQ -Sj_=h4;n6 -fsRjR:jlENOReQhRHbsl_Rh4_ndHm -S=4h_nHd_ -jSQ=4h_n -d;sjRf:ljRNROEQRheblsHR4h_gHg_ -=Smhg_4g -_HS=Qjhg_4gs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3kOb_0C#__44jr_HdS9 -mF=b#D_O O\3bCk_#40_49rd -jSQ=#bF_ OD\b3Ok#_C04_4_djr9s; -R:fjjNRlOQERhbeRsRHlhg_4( -_HShm=_(4g_SH -Qhj=_(4g;R -sfjj:ROlNEhRQesRbHhlR_U4g_SH -m_=h4_gUHQ -Sj_=h4;gU -fsRjR:jlENOReQhRHbsl_Rh4_gcHm -S=4h_gHc_ -jSQ=4h_g -c;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_Hj_r -49Sbm=FO#_D3 \O_bkC_#0444r9Q -SjF=b#D_O O\3bCk_#40_4r_j4 -9;sjRf:ljRNROEQRheblsHR4h_gH._ -=Smhg_4. -_HS=Qjhg_4.s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH___Fdjr_HjS9 -m_=h4 -d6S=Qjhd_46;_H -fsRjR:jlENOReQhRHbsl_Rh4_n.Hm -S=4h_nH._ -jSQ=4h_n -.;sjRf:ljRNROEQRheblsHR4h_gH4_ -=Smhg_44 -_HS=Qjhg_44s; -R:fjjNRlOQERhbeRsRHl7j1_j7j_v.q__l#Jk_GNH -_HShm=_6.c -jSQ=.h_cj6_;R -sfjj:ROlNEhRQesRbHhlR__U6Hm -S=Uh_6 -_HS=Qjh6_U;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \7j1_j7j_vcq___Vjj -_HSbm=FO#_D3 \7j1_j7j_vcq_ -jSQ=#bF_ OD\137_jjj_q7v_jc_;R -sfjj:ROlNEhRQesRbHhlR__gcHm -S=gh_c -_HS=Qjhc_g;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__H.___F.Hm -S=(h_dQ -Sj_=h(jd_;R -sfjj:ROlNEhRQesRbHhlR_j4n_SH -m_=h4_njHQ -Sj_=h4;nj -fsRjR:jlENOReQhRHbsl_Rh4_n4Hm -S=4h_nH4_ -jSQ=4h_n -4;sjRf:ljRNROEQRheblsHR4h_6H6_ -=Smh6_46 -_HS=Qjh6_46s; -R:fjjNRlOQERhbeRsRHlh6_4n -_HShm=_n46_SH -Qhj=_n46;R -sfjj:ROlNEhRQesRbHhlR_c46_SH -m_=h4_6cHQ -Sj_=h4;6c -fsRjR:jlENOReQhRHbsl_Rh4_6.Hm -S=4h_6H._ -jSQ=4h_6 -.;sjRf:ljRNROEQRheblsHR4h_6Hd_ -=Smh6_4d -_HS=Qjh6_4ds; -R:fjjNRlOQERhbeRsRHlh6_4j -_HShm=_j46_SH -Qhj=_j46;R -sfjj:ROlNEhRQesRbHhlR_446_SH -m_=h4_64HQ -Sj_=h4;64 -fsRjR:jlENOReQhRHbsl_Rh4_cgHm -S=4h_cHg_ -jSQ=4h_c -g;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__.Hr9m -S=4kM_a)1_Y7p_lH__.Hr9Q -SjM=k41_)ap_7Y__Hl9r.;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -d9Skm=M)4_17a_pHY__Hl_r -d9S=Qjk_M4)_1a7_pYHr_ld -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__cHr9m -S=4kM_a)1_Y7p_lH__cHr9Q -SjM=k41_)ap_7Y__Hl9rc;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -69Skm=M)4_17a_pHY__Hl_r -69S=Qjk_M4)_1a7_pYHr_l6 -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__nHr9m -S=4kM_a)1_Y7p_lH__nHr9Q -SjM=k41_)ap_7Y__Hl9rn;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -(9Skm=M)4_17a_pHY__Hl_r -(9S=Qjk_M4)_1a7_pYHr_l( -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__UHr9m -S=4kM_a)1_Y7p_lH__UHr9Q -SjM=k41_)ap_7Y__Hl9rU;R -sfjj:ROlNEhRQesRbHBlRpji_jhj__h1YBr_H4 -j9SBm=pji_jhj__h1YBr_H4 -j9S=QjB_pij_jjhY_1h4Brj -9;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kgD_O j_jj__M#O$M_SH -mF=b#D_O k\3MOg_Dj _jMj__M#$O -_HS=Qjb_F#O\D 3gkM_ OD_jjj_#M_$;MO -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_4cO_D j_jjM$_#MHO_ -=Smb_F#O\D 34kMcD_O j_jj__M#O$M -jSQ=#bF_ OD\M3k4Oc_Dj _jMj__M#$O;_j -fsRjR:jlENOReQhRHbslpRBiX_ u__OHm -S=iBp_u X_HO_ -jSQ=iBp_u X_ -O;sjRf:ljRNROEQRheblsHR_q1j_jj7_vq4J_#lNkG_HH_ -=Smhc_.cQ -Sj_=h._ccjs; -R:fjjNRlOQERhbeRsRHlhd_U_SH -m_=hUHd_ -jSQ=Uh_ds; -R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_H6__Hj_ -=Smh4_46Q -Sj_=h4_46js; -R:fjjNRlOQERhbeRsRHlhc_U_SH -m_=hUHc_ -jSQ=Uh_cs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jH -_HShm=_j.6 -jSQ=.h_6jj_;R -sfjj:ROlNEhRQesRbHhlR__UgHm -S=Uh_g -_HS=Qjhg_U;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Hj_r -j9Sbm=FO#_D3 \1 QZ_q7v_jnr9Q -SjF=b#D_O 1\3Q_Z 7_vqnr_jj -9;sjRf:ljRNROEQRheblsHRUh_U -_HShm=__UUHQ -Sj_=hU -U;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__4Hr9m -S=#bF_ OD\Q31Z7 _vnq_r -49S=Qjb_F#O\D 3Z1Q v_7q__nj9r4;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r -69Shm=_U4d -jSQ=4h_djU_;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -49Skm=M)4_17a_pHY__Hl_r -49S=Qjk_M4)_1a7_pYHr_l4 -9;sjRf:ljRNROEQRheblsHR4h_6H(_ -=Smh6_4( -_HS=Qjh6_4(s; -R:fjjNRlOQERhbeRsRHlh6_4U -_HShm=_U46_SH -Qhj=_U46;R -sfjj:ROlNEhRQesRbHhlR_g46_SH -m_=h4_6gHQ -Sj_=h4;6g -fsRjR:jlENOReQhRHbslFRb#D_O B\3Y Bp_q7v_46__FH_. -_HShm=_(.6 -jSQ=.h_6j(_;R -sfjj:ROlNEhRQesRbHhlR__gdHm -S=gh_d -_HS=Qjhd_g;R -sfjj:ROlNEhRQesRbHhlR__U(Hm -S=Uh_( -_HS=Qjh(_U;R -sfjj:ROlNEhRQesRbHhlR__UnHm -S=Uh_n -_HS=Qjhn_U;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_a7qqQ_7)__jj -_HSqm=vqQt_1Az_a7qqQ_7) -_OS=QjqtvQqz_A1q_7a7q_QO)__ -j;sjRf:ljRNROEQRheblsHRUh__SH -m_=hU -_HS=Qjh;_U -fsRjR:jlENOReQhRHbsljRq_q7v_H4_ -=Smhn_c -jSQ=ch_n;_j -fsRjR:jlENOReQhRHbslFRb#D_O k\3MLc_o NO_jjj_FH_. -_HShm=_ -(nS=Qjhn_(_ -H;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_. -_HShm=_ -(.S=Qjh._(_ -j;sjRf:ljRNROEQRheblsHR1z7_jjj_HO_ -=Smz_71j_jjO -_HS=Qjz_71j_jjOs; -R:fjjNRlOQERhbeRsRHlp_71j_jjO -_HSpm=7j1_jOj__SH -Qpj=7j1_jOj_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Fj_.r_HjS9 -m_=h. -6nS=Qjh6_.n;_H -fsRjR:jlENOReQhRHbslQR1Z7 _vdq__l#Jk_GNH._F_SH -mF=b#D_O k\3ML6_o NO_jjd_0HM_S8 -Qbj=FO#_D3 \k_M6LOoN d_jjM_H0__8Hs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jFH._ -=Smb_F#O\D 3.kMco_LN_O j_djH_M0H -_jS=Qjb_F#O\D 3.kMco_LN_O j_djH_M0H__jjs; -R:fjjNRlOQERhbeRsRHlhj_4c -_HShm=_c4j_SH -Qhj=_c4j;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_HH_ -=Smh6_..Q -Sj_=h._6.js; -R:fjjNRlOQERhbeRsRHlkcM4_HNloLN_k8#_N_0N8_HsH__jHm -S=.h_6S4 -Qhj=_4.6_ -j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kno_LN_O j_jjj -_HSbm=FO#_D3 \k_MnLOoN j_jjQ -SjF=b#D_O k\3MLn_o NO_jjj_ -j;sjRf:ljRNROEQRheblsHRgh_( -_HShm=__g(HQ -Sj_=hg -(;sjRf:ljRNROEQRheblsHRgh_n -_HShm=__gnHQ -Sj_=hg -n;sjRf:ljRNROEQRheblsHR.h_4 -_HShm=__.4HQ -Sj_=h. -4;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qQ_]t4]__SH -m_=hdSc -Qhj=__dcjs; -R:fjjNRlOQERhbeRsRHlhj_._SH -m_=h.Hj_ -jSQ=.h_js; -R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_H4_ -=Smh6_d -jSQ=dh_6;_j -fsRjR:jlENOReQhRHbsl_Rh4Hn_ -=Smhn_4_SH -Qhj=_;4n -fsRjR:jlENOReQhRHbslWR)_jjj_q7v_H._ -=Smhg_d -jSQ=dh_g;_j -fsRjR:jlENOReQhRHbsl_Rh4Hd_ -=Smhd_4_SH -Qhj=_;4d -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_ha4 -_HShm=_ -c.S=Qjh._c_ -j;sjRf:ljRNROEQRheblsHR4h_. -_HShm=__4.HQ -Sj_=h4 -.;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_H4_ -=Smhd_c -jSQ=ch_d;_j -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm -S=qAtBji_dQj_hHa_ -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW -_HSqm=vqQt_1Az_q hA_p 7_vqp_mWHQ -Sjv=qQ_tqA_z1 Ahqp7 _vpq_m -W;sjRf:ljRNROEq.h7RHbslMRk4l_NH_oNL_k#CLMNDDC_FSI -mM=k4l_NH_oNL_k#CLMNDDC_FSI -Qqj=vqQt_1Az_q hA_p 7_vqp_mWHQ -S4t=Aq_Bij_djQ_haHs; -R:fjjNRlOQERhbeRsRHlk_M4NolHNk_L#M_CNCLD_IDF_SH -mM=k4l_NH_oNL_k#CLMNDDC_FHI_ -jSQ=4kM_HNloLN_kC#_MDNLCF_DIs; -R:fjjNRlOQERhbeRsRHlk4M._kVb__O#Hm -S=.kM4b_Vk#_O_SH -Qkj=M_.4V_bkO -#;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]4m -S=dh_c -_jS=Qjh4_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA -_4Shm=_ -njS=Qjh4_4 -4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHRgh_. -_HShm=__g.HQ -Sj_=hg -.;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3Ss -mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kdQ -SjF=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j -3lSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MS4 -Qhj=__g.HQ -S4F=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j -3MSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSj -Qqj=vqQt_1Az_q hA_p 7_vq]]Qt -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM;R -sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_bj3 -=Smh4_. -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;R -sfjj:ROlNEhRQesRbHhlR__g4Hm -S=gh_4 -_HS=Qjh4_g;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj -3sSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3kdQ -SjF=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sl -mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM -jSQ=gh_4 -_HS=Q4b_F#O\D 36kM_NLoOj _dHj_M80_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_Mj3 -=SmqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MSj -Qqj=vqQt_1Az_q hA_p 7_vqp -mWS=Q4qtvQqz_A1h_ q Ap_q7v_Wpm_kj3M -d;sjRf:ljRNROEmR).blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jbm -S=.h_jQ -Sjv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM -4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Mj -fsRjR:jlENOReQhRHbslWR)_jjj_q7v_sj3 -=Sm)jW_j7j_vjq_3dkM -jSQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; -R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj -3lS)m=Wj_jjv_7q3_jk -M4S=Qjh6_.4Q -S4F=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_Mj3 -=Sm)jW_j7j_vjq_3jkM -jSQ=_)Wj_jj7 -vqS=Q4)jW_j7j_vjq_3dkM;R -sfjj:ROlNE)Rm.sRbH)lRWj_jjv_7q3_jbm -S=4h_nQ -SjW=)_jjj_q7v_kj3MS4 -Q)4=Wj_jjv_7q3_jk;Mj -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haj -3sSAm=tiqB_jjd_aQh_kj3MSd -Qbj=FO#_D3 \k_MnLOoN j_jjs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl -mt=Aq_Bij_djQ_hajM3k4Q -Sjt=Aq_Bij_jjOQ -S4F=b#D_O k\3MLn_o NO_jjj;R -sfjj:ROlNEhRq7b.RsRHlABtqid_jjh_Qa3_jMm -S=qAtBji_dQj_hja_3jkM -jSQ=qAtBji_dQj_hSa -QA4=tiqB_jjd_aQh_kj3M -d;sjRf:ljRNROEmR).blsHRqAtBji_dQj_hja_3Sb -m_=h4Sd -QAj=tiqB_jjd_aQh_kj3MS4 -QA4=tiqB_jjd_aQh_kj3M -j;sjRf:ljRNROEQRheblsHR4h_jH6_ -=Smhj_46 -_HS=Qjhj_46s; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBj -3sSqm=1d_jjj_jjY_1hjB_3dkM -jSQ=.h_6 -j;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm -S=_q1j_djj_jj1BYh_kj3MS4 -Qqj=1d_jjj_jjY_1hSB -Qh4=_j.6;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hBj -3MSqm=1d_jjj_jjY_1hjB_3jkM -jSQ=4h_jH6_ -4SQ=_q1j_djj_jj1BYh_kj3M -d;sjRf:ljRNROEmR).blsHR_q1j_djj_jj1BYh_bj3 -=Smh._4 -jSQ=_q1j_djj_jj1BYh_kj3MS4 -Qq4=1d_jjj_jjY_1hjB_3jkM;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_sj3 -=Sm7j1_j j_hpqA 3_jk -MdS=Qj7j1_j j_hpqA __4#kJlG4N_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jlm -S=_71j_jj Ahqpj _34kM -jSQ=_71j_jj AhqpS -Q74=1j_jjh_ q Ap_#4_JGlkN;_4 -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_Mj3 -=Sm7j1_j j_hpqA 3_jk -MjS=Qjk_M41qv_vqQt_H(_ -4SQ=_71j_jj Ahqpj _3dkM;R -sfjj:ROlNE)Rm.sRbH7lR1j_jjh_ q Ap_bj3 -=Smh4_4 -jSQ=_71j_jj Ahqpj _34kM -4SQ=_71j_jj Ahqpj _3jkM;R -sfjj:ROlNEhRQesRbHqlRjv_7q3_jsm -S=_qj7_vqjM3kdQ -SjF=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbsljRq_q7v_lj3 -=Smq7j_vjq_34kM -jSQ=#bF_ OD\j3q_q7v_Sd -Qb4=FO#_D3 \k_M6LOoN d_jjM_H0;_8 -fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jMm -S=_qj7_vqjM3kjQ -Sjj=q_q7v -4SQ=_qj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHlq7j_vjq_3Sb -m_=hUQ -Sjj=q_q7v_kj3MS4 -Qq4=jv_7q3_jk;Mj -fsRjR:jlENOReQhRHbsl_RQ. -.cSqm=1d_jj -_HS=Qjqj1_dOj_;R -sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa -_HSqm=1j_jjh_Qa -_HS=Qjqj1_jQj_h -a;sjRf:ljRNROEq.h7RHbslMRkc#_N_jjj -=Smk_McNj#_jSj -Qqj=1j_jjh_Qa -_HS=Q4qj1_dHj_;R -sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jN4._ -=Smk.M._sLCs -_4S=Qjqj1_dHj_ -4SQ=qAtBji_jOj_;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqH9rj -=Sm1 QZ_q7v_jHr9Q -SjQ=1Z7 _vjqr9s; -R:fjjNRlOqERhR7.blsHRdkM_x#HCm -S=dkM_x#HCQ -SjQ=1Z7 _v4qr9Q -S4Q=1Z7 _vHq_r;j9 -fsRjR:jlENOReQhRHbslQR1Z7 _vHq_r -49S1m=Q_Z 7_vqH9r4 -jSQ=Z1Q v_7q9r4;R -sfjj:ROlNEhRq7b.RsRHlk_Mc#CHx -=Smk_Mc#CHx -jSQ=Z1Q v_7q9rj -4SQ=Z1Q v_7qr_H4 -9;sjRf:ljRNROEQRheblsHRHq_r94n -=Smqr_H4 -n9S=Qjqr_O4;n9 -fsRjR:jlENOReQhRHbsl_RqHgr49m -S=Hq_r94g -jSQ=Oq_r94g;R -sfjj:ROlNEhRQesRbHqlR_4HrUS9 -m_=qHUr49Q -Sj_=qOUr49s; -R:fjjNRlOQERhbeRsRHl1 QZ_q7v_4j__ -3sS1m=Q_Z 7_vqj__43dkM -jSQ=.h_6 -.;sjRf:ljRNROEq.h7RHbslQR1Z7 _vjq__34_lm -S=Z1Q v_7q__j4k_3MS4 -Qbj=FO#_D3 \1 QZ_q7v_4nr9Q -S4_=h.;6. -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__43SM -mQ=1Z7 _vjq__34_k -MjS=Qj1 QZ_q7vr -49S=Q41 QZ_q7v_4j__M3kds; -R:fjjNRlOmER)b.RsRHl1 QZ_q7v_4j__ -3bShm=_S6 -Q1j=Q_Z 7_vqj__434kM -4SQ=Z1Q v_7q__j4k_3M -j;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 -=Sm1 QZ_q7v_jj__M3kdQ -Sj_=h.;6. -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl -mQ=1Z7 _vjq__3j_k -M4S=Qjb_F#O\D 3Z1Q v_7qr_njS9 -Qh4=_..6;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_jj__ -3MS1m=Q_Z 7_vqj__j3jkM -jSQ=Z1Q v_7q9rj -4SQ=Z1Q v_7q__jjk_3M -d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 -=Smh -_cS=Qj1 QZ_q7v_jj__M3k4Q -S4Q=1Z7 _vjq__3j_k;Mj -fsRjR:jlENOR7qh.sRbHqlRjv_7q -_4Shm=__cnjQ -Sj_=hU -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_S4 -m_=hcjd_ -jSQ=4h_. -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_h4a_ -=Smh._c_Sj -Qhj=__4dHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q -_.Shm=__dgjQ -Sj_=h4Hn_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_m4W_ -=Smh6_d_Sj -Qhj=__.jHQ -S41=)a;_O -fsRjR:jlENOReQhRHbsl RMX1u_u qB__7jHm -S=XM uu_1q_B 7Hj_ -jSQ=XM uu_1q_B 7 -j;sjRf:ljRNROEQRheblsHR.Q_.S6 -m1=q_jjj_SH -Qqj=1j_jj;_O -fsRjR:jlENOReQhRHbslpRBij_jj _u_SH -mp=Bij_jj _u_SH -QBj=pji_juj_ s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kco_LN_O j_jjH._F -=Smhn_(_SH -Qqj=1j_jj -_OS=Q4B_pij_jju - ;sjRf:ljRNROEQRheblsHR_1vqtvQq__HH9r( -=Sm1qv_vqQt_HH_r -(9S=Qj1qv_vqQt_(Hr9s; -R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ -3sSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_lm -S=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3MS4 -Q1j=vv_qQ_tqHr_H(S9 -QA4=tiqB_jjd_aQh;R -sfjj:ROlNEhRq7b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ -3MSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3jkM -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] -Qk4=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM;R -sfjj:ROlNE)Rm.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3Sb -m_=hUSj -Qkj=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j34kM -4SQ=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3M -j;sjRf:ljRNROEQRheblsHR_q1j_dj7Hj_ -=Smqj1_d7j_j -_HS=Qjqj1_d7j_js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kd#_N_jjd__8jH._N -=Smhj_46Q -Sj1=q_jjd__7jHQ -S4 =A)O)_;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hHB_ -=Smqj1_djj_j1j_Y_hBHQ -Sj1=q_jjd_jjj_h1YBs; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GN4m -S=_71j_jj Ahqp4 __l#Jk_GN4Q -Sj_=h4 -j6S=Q4k_M41qv_vqQt_ -(;sjRf:ljRNROEQRheblsHRiBp_jjj__7jHm -S=iBp_jjj__7jHQ -Sjp=Bij_jjj_7;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3iBp_jjj_1h_Y_hB.9rj -=Smb_F#O\D 3iBp_jjj_1h_Y_hB.9rj -jSQ=iBp_jjj__7jHQ -S4p=Bij_jj4_7;R -sfjj:ROlNEhRQesRbHBlRpji_j7j_4 -_HSBm=pji_j7j_4 -_HS=QjB_pij_jj7 -4;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3pji_juj__h1YBr_.jS9 -mF=b#D_O B\3pji_juj__h1YBr_.jS9 -QBj=pji_j7j_jQ -S4p=Bij_jj4_7_ -H;sjRf:ljRNROEQRheblsHRzwu_h1 1H _ -=Smw_uz11 h -_HS=Qjw_uz11 h ;_O -fsRjR:jlENOReQhRHbsl4Rq_SH -m4=q_SH -Qqj=4;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_t]Q]__dH._N -=Smh._g -jSQ=_q4HQ -S4t=Aq_Bij_djQ_haHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\j3q_q7v_jd__ -N.Sbm=FO#_D3 \q7j_vdq_ -jSQ=qAtBji_dQj_hHa_ -4SQ=1z7_jjj_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HNS. -m_=hgSn -Qhj=_ -(.S=Q4hj_46s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_. -_jShm=_ -g(S=Qjhj_46Q -S4_=h4;4n -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__Nj_.m -S=4h_jSc -Qpj=7j1_jOj_ -4SQ=1z7_jjj_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj9r4 -=Smb_F#O\D 3Z1Q v_7q__nj9r4 -jSQ=Uh_U -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj_r -j9Sbm=FO#_D3 \1 QZ_q7v_jn_r -j9S=Qjhg_U_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jHm -S=.h_6jj_ -jSQ=gh_n -_HS=Q4h(_g_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_o NO_jjj_Sj -mF=b#D_O k\3MLn_o NO_jjj_Sj -QAj=tiqB_jjj_SO -Qh4=_;(n -fsRjR:jlENOR7qh.sRbHklRM_4cNolHNk_L#N_808N_HHs__Sj -m_=h._64jQ -Sjt=Aq_Bij_djQ_haHQ -S4W=)_jjj_ -H;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNHm -S=.h_6j._ -jSQ=a)1_SO -Qb4=FO#_D3 \k_M6LOoN d_jjM_H0__8Hs; -R:fjjNRlOXERmR).blsHR#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH__ -G.Shm=__ndHQ -SjY=BB_p 7rvqjS9 -QB4=Y Bp_q7vr;49 -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqdJ_#lNkG_FH_.m -S=#bF_ OD\M3k6o_LN_O j_djH_M08 -_HS=QjABtqid_jjh_QaQ -S4t=Aq_Bij_djQ_ha7s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj__rF.jS9 -m_=h._6nHQ -Sj7=p1j_jj__OHQ -S47=z1j_jj__OHs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_6Hr9m -S=_1vqtvQqr_H6S9 -Q1j=vv_qQrtq6 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_46S9 -m_=h4 -6gS=QjB_pij_jjuH _ -4SQ=_1vqtvQqr_H6 -9;sjRf:ljRNROEQRheblsHR.Q_.Sn -m =A)H)_ -jSQ=)A );_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNjn_r -69Shm=_U46 -jSQ=)A ) -_HS=Q41qv_vqQtr;69 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -n9S1m=vv_qQ_tqH9rn -jSQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNn6S9 -m_=h4 -6(S=Qjhd_4UQ -S4v=1_QqvtHq_r;n9 -fsRjR:jlENOR7qh.sRbHtlR_n4c -=Smh4_.dQ -Sj_=h. -44S=Q4)_1a7rpY6 -9;sjRf:ljRNROEq.h7RHbsl_Rt4 -ccShm=_4.4 -jSQ=.h_jSg -Q)4=17a_pcYr9s; -R:fjjNRlOqERhR7.blsHR4t_cS. -m_=h. -jgS=Qj) 1az_ma__j#kJlG6N_ -4SQ=a)1_Y7pr;d9 -fsRjR:jlENOR7qh.sRbHtlR_U4d -=Smhj_.6Q -Sj =)1_ am_zajJ_#lNkG_S4 -Q)4=17a_p4Yr9s; -R:fjjNRlOqERhR7.blsHR4t_dSn -m =)1_ am_zajJ_#lNkG_S4 -QBj=pji_jhj_ Q -S41=)ap_7Y9rj;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jN4.r9m -S=Uh_UQ -Sjt=Aq_Bij_djQ_haHQ -S4_=h._6nHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj__rN.jS9 -m_=hUSg -QAj=tiqB_jjd_aQh_SH -Qh4=_n.6;R -sfjj:ROlNEhRq7b.RsRHlqj1_d7j_j__jH._N -=Smhj_g -jSQ=_q1j_djHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd__ -N.Shm=_ -g4S=QjqO4_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRQesRbHQlR_(.. -=Sm)jW_jHj_ -jSQ=_)Wj_jjOs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)j__jNS. -m_=hUSn -QAj=tiqB_jjd_aQh -4SQ=_)Wj_jjHs; -R:fjjNRlOqERhR7.blsHR1) ma_z.a__NH_.m -S=gh_dQ -Sj =)1_ am_zajJ_#lNkG_SH -Q)4= a1 _amz_ -H;sjRf:ljRNROEq.h7RHbslMRk.I_s_NH_.m -S=4h_jSj -QAj=tiqB_jjd_aQh_SH -Q)4= a1 _amz;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__Sj -mv=qQ_tqA_z17qqa_)7Q_jO_ -jSQ=Uh_n -_HS=Q4h(_U_ -H;sjRf:ljRNROEq.h7RHbsl R)1_ am_za. -_HShm=_n.c_SH -Qhj=__gdHQ -S41=)a;_O -fsRjR:jlENOReQhRHbsl R)1_ am_zaHm -S=1) ma_zHa_ -jSQ=1) ma_z -a;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjj_Sj -mM=k4#_N_jjj_SH -QAj=tiqB_jjd_aQh -4SQ=1) ma_z -a;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__FH_.m -S=.h_6j(_ -jSQ=qAtBji_dQj_hHa_ -4SQ=a)1_ -O;sjRf:ljRNROEX.m)RHbsl_Rt4 -d6Skm=M)4_17a_p4Yr9Q -Sjp=Bij_jj _h -4SQ=a)1_Y7pr;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr -j9Sbm=FO#_D3 \)_1a7_pY6P_H_jHr9Q -Sj1=)a -_OS=Q4k_M4)_1a7_pYH__lH9r4;R -sfjj:ROlNEhRQesRbH)lR a1 _amz_#j_JGlkN -_HS)m= a1 _amz_#j_JGlkN -_HS=Qj) 1az_ma__j#kJlG -N;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_4Hr9m -S=4kM_a)1_Y7p_4Hr9Q -SjM=k41_)ap_7Y9r4;R -sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_l4S9 -mM=k41_)ap_7Y__Hl9r4 -jSQ=1) ma_zja__l#Jk_GNHQ -S4M=k41_)ap_7Yr_H4 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d9r6 -=Smhd_4U -_jS=QjB_pij_jjhH _ -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9r6 -=Smb_F#O\D 3a)1_Y7p_H6_Pr_H6S9 -Q)j=1Oa_ -4SQ=4kM_a)1_Y7p_lH__nHr9s; -R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9rn -=Smk_M4)_1a7_pYH9rn -jSQ=4kM_a)1_Y7pr;n9 -fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__nlr9m -S=4kM_a)1_Y7p_lH_r -n9S=Qj) 1az_ma__j#kJlGHN_ -4SQ=4kM_a)1_Y7p_nHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\13)ap_7Y__6HcPr9m -S=#bF_ OD\13)ap_7Y__6HHP_r -c9S=Qj)_1aOQ -S4M=k41_)ap_7Y__Hlr_H6 -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_6Hr9m -S=4kM_a)1_Y7p_6Hr9Q -SjM=k41_)ap_7Y9r6;R -sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_l6S9 -mM=k41_)ap_7Y__Hl9r6 -jSQ=1) ma_zja__l#Jk_GNHQ -S4M=k41_)ap_7Yr_H6 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHPdS9 -mF=b#D_O )\317a_p6Y___HPH9rd -jSQ=a)1_SO -Qk4=M)4_17a_pHY__Hl_r;c9 -fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_HcS9 -mM=k41_)ap_7Yr_HcS9 -Qkj=M)4_17a_pcYr9s; -R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r -c9Skm=M)4_17a_pHY__clr9Q -Sj =)1_ am_zajJ_#lNkG_SH -Qk4=M)4_17a_pHY_r;c9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr -.9Sbm=FO#_D3 \)_1a7_pY6P_H_.Hr9Q -Sj1=)a -_OS=Q4k_M4)_1a7_pYH__lH9rd;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r -d9Skm=M)4_17a_pHY_r -d9S=Qjk_M4)_1a7rpYd -9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9rd -=Smk_M4)_1a7_pYHr_ldS9 -Q)j= a1 _amz_#j_JGlkN -_HS=Q4k_M4)_1a7_pYH9rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9r4 -=Smb_F#O\D 3a)1_Y7p_H6_Pr_H4S9 -Q)j=1Oa_ -4SQ=4kM_a)1_Y7p_lH__.Hr9s; -R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9r. -=Smk_M4)_1a7_pYH9r. -jSQ=4kM_a)1_Y7pr;.9 -fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__.lr9m -S=4kM_a)1_Y7p_lH_r -.9S=Qj) 1az_ma__j#kJlGHN_ -4SQ=4kM_a)1_Y7p_.Hr9s; -R:fjjNRlOqERhR7.blsHRdkM__N#j -djSkm=MNd_#d_jj -_HS=Qj) 1az_maQ -S4M=k4#_N_jjd_ -H;sjRf:ljRNROEX.m)RHbsl_Rt4 -cgSkm=M)4_17a_pUYr9Q -Sj =)1_ am_zajJ_#lNkG_S( -Q)4=17a_p(Yr9s; -R:fjjNRlOXERmR).blsHR4t_cS( -mM=k41_)ap_7Y9r( -jSQ=.h_4Sd -Q)4=17a_pnYr9s; -R:fjjNRlOXERmR).blsHR4t_cS6 -mM=k41_)ap_7Y9rn -jSQ=.h_4S4 -Q)4=17a_p6Yr9s; -R:fjjNRlOXERmR).blsHR4t_cSd -mM=k41_)ap_7Y9r6 -jSQ=.h_jSg -Q)4=17a_pcYr9s; -R:fjjNRlOXERmR).blsHR4t_cS4 -mM=k41_)ap_7Y9rc -jSQ=1) ma_zja__l#Jk_GN6Q -S41=)ap_7Y9rd;R -sfjj:ROlNEmRX)b.RsRHltd_4gm -S=4kM_a)1_Y7pr -d9S=Qjhj_.6Q -S41=)ap_7Y9r.;R -sfjj:ROlNEmRX)b.RsRHltd_4(m -S=4kM_a)1_Y7pr -.9S=Qj) 1az_ma__j#kJlG4N_ -4SQ=a)1_Y7pr;49 -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_#j_JGlkNm -S=1) ma_zja__l#Jk -GNS=Qj) 1az_ma__j#kJlG(N_ -4SQ=a)1_Y7pr;(9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr -(9Sbm=FO#_D3 \)_1a7_pY6P_H_(Hr9Q -Sj1=)a -_OS=Q4k_M4)_1a7_pYH__lH9rU;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r -U9Skm=M)4_17a_pHY_r -U9S=Qjk_M4)_1a7rpYU -9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9rU -=Smk_M4)_1a7_pYHr_lUS9 -Q)j= a1 _amz_#j_JGlkN -_HS=Q4k_M4)_1a7_pYH9rU;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9rn -=Smb_F#O\D 3a)1_Y7p_H6_Pr_HnS9 -Q)j=1Oa_ -4SQ=4kM_a)1_Y7p_lH__(Hr9s; -R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9r( -=Smk_M4)_1a7_pYH9r( -jSQ=4kM_a)1_Y7pr;(9 -fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__(lr9m -S=4kM_a)1_Y7p_lH_r -(9S=Qj) 1az_ma__j#kJlGHN_ -4SQ=4kM_a)1_Y7p_(Hr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_j -_jSbm=FO#_D3 \7j1_j7j_vcq__Sj -Qhj=__U6HQ -S4F=b#D_O k\3M_.cLOoN d_jjM_H0__Hj;_j -fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q__4#kJlGHN_ -=Smhc_.c -_jS=QjB_pi _XuO -_HS=Q4b_F#O\D 3.kMco_LN_O j_djH_M0H__jjs; -R:fjjNRlOQERhbeRsRHlqj1_j7j_vHq_ -=Smqj1_j7j_vHq_ -jSQ=_q1j_jj7;vq -fsRjR:jlENOR7qh.sRbHklRMNn_#d_jj__jNS. -mM=kn#_N_jjd -jSQ=_q1j_jj7_vqHQ -S41=q_jjj_ -H;sjRf:ljRNROEQRheblsHRiBp_jjd_H]_ -=SmB_pij_dj] -_HS=QjB_pij_dj]s; -R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__NH_.m -S=gh_cQ -Sjp=Bid_jj__]HQ -S4_=h( -d;sjRf:ljRNROEq.h7RHbslFRb#D_O 7\31j_jjv_7q__cVjj__ -N.Shm=_ -U6S=Qjqj1_j7j_vSq -Q)4=Wj_jj;_H -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q__.#kJlGHN__ -N.Shm=_ -UcS=QjB_pij_dj]Q -S4p=BiX_ u;_O -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq6__Hj._N -=Smhd_U -jSQ=iBp_jjj_ -u S=Q41qv_vqQtr;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM4_ OD_jjj_#M_$ -MOSbm=FO#_D3 \kcM4_ OD_jjj_#M_$_MOjQ -Sjp=Bij_jj__h1BYh_4HrjS9 -Qb4=FO#_D3 \k_MgO_D j_jjM$_#MHO_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k4M4_ OD_jjj_#M_$_MOHm -S=#bF_ OD\M3k4O4_Dj _jMj__M#$O -_HS=Qjb_F#O\D 34kM4D_O j_jj__M#O$M;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj_#M_$ -MOSbm=FO#_D3 \k_MgO_D j_jjM$_#MSO -QBj=pji_jhj__h1YB9rg -4SQ=#bF_ OD\M3k4O4_Dj _jMj__M#$O;_H -fsRjR:jlENOReQhRHbslpRBiz_ma)_u __7Hm -S=iBp_amz_ u)_H7_ -jSQ=iBp_amz_ u)_ -7;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_44O_D j_jjM$_#MSO -mF=b#D_O k\3M_44O_D j_jjM$_#MSO -QBj=p i_XOu_ -4SQ=iBp_amz_ u)_H7_;R -sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_dSj -mM=k4#_N_jjd_SH -QAj=tiqB_jjd_aQh_SH -QM4= _Xu1Buq j_7_ -H;sjRf:ljRNROEQRheblsHRiBp_jjj__h Hm -S=iBp_jjj__h HQ -Sjp=Bij_jj _h;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rj -=Sm1qv_vqQt_jHr9Q -Sjv=1_Qqvtjqr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m -S=_1vqtvQqr_HdS9 -Q1j=vv_qQrtqd -9;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k(D_O j_jjj_8_SH -mF=b#D_O k\3MO(_Dj _j8j_j -_HS=Qjb_F#O\D 3(kM_ OD_jjj_;8j -fsRjR:jlENOReQhRHbsl_RqHcr.9m -S=Hq_r9.c -jSQ=Oq_r9.c;R -sfjj:ROlNEhRQesRbHOlRbCk_#H0_r -d9SOm=bCk_#H0_r -d9S=QjO_bkCr#0d -9;sjRf:ljRNROEQRheblsHRkOb_0C#_jHr9m -S=kOb_0C#_jHr9Q -Sjb=Ok#_C09rj;R -sfjj:ROlNEhRQesRbHOlRbCk_#H0_r -49SOm=bCk_#H0_r -49S=QjO_bkCr#04 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_j -_.Shm=_g4g_S4 -QOj=bCk_#H0_r -j9S=Q4O_bkC_#0H9r4;R -sfjj:ROlNEhRQesRbHelRvQq_hHa_ -=Sme_vqQ_haHQ -Sjv=eqh_Qas; -R:fjjNRlOQERhbeRsRHle_uq7 -_HSem=u7q__SH -Qej=u7q_;R -sfjj:ROlNEmRX)b.RsRHlO_bkC_#0j9rj -=Smhn_. -jSQ=iBp_jjj__h 7Sj -QO4=bCk_#j0r9s; -R:fjjNRlOXERmR).blsHR#bF_ OD\Y3BB_p 7_vq6__jH._G -=Smhg_(_SH -QBj=pji_juj_ Q -S4Y=BB_p 7rvqj -9;sjRf:ljRNROEX.m)RHbslFRb#D_O B\3Y Bp_q7v_46__GH_.m -S=(h_U -_HS=QjBpYB v_7q9r4 -4SQ=.h_. -j;sjRf:ljRNROEq.h7RHbslpRBid_jj__].__HFS. -m_=h(jd_ -jSQ=_q1j_jj7_vqHQ -S4p=BiX_ u__OHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_Fr -n9Shm=_.4c_Sj -Qhj=_.4d_SH -Q14=vv_qQrtqn -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H4S9 -mv=1_QqvtHq_r -49S=Qj1qv_vqQtr;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFjdr9m -S=4h_cjd_ -jSQ=iBp_jjj_ -h S=Q41qv_vqQtr;49 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rNc4S9 -m_=h4 -g4S=QjhU_4gQ -S4b=Ok#_C0r_Hj -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jNjc_r -49Shm=_.4g -jSQ=kOb_0C#_.Hr9Q -S4b=Ok#_C0r_Hd -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jN4c_r -49Shm=_d4g -jSQ=4h_UHg_ -4SQ=kOb_0C#r;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Nc.9r4 -=Smhg_4cQ -Sj_=h4_UUHQ -S4b=Ok#_C09rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44jc_Nr -d9Shm=_(4g -jSQ=4h_gSj -QO4=bCk_#d0r9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_Nj_cr_jdS9 -m_=h4 -gUS=Qjhg_4j -_HS=Q4O_bkC_#0H9r.;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44H9r. -=SmhU_4n -_HS=Qjhg_46 -_HS=Q4hg_4n;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04H4__rFc.S9 -m_=h4_UUHQ -Sjb=Ok#_C09r4 -4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rFc4S9 -m_=h4_UgHQ -Sjb=Ok#_C0r_H4S9 -QO4=bCk_#H0_r;d9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rFcdS9 -m_=h4_gjHQ -Sjb=Ok#_C09rj -4SQ=kOb_0C#r;49 -fsRjR:jlENOReQhRHbslbROk#_C0r_H.S9 -mb=Ok#_C0r_H.S9 -QOj=bCk_#.0r9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_Nj_cr_4dS9 -m_=h4 -ggS=Qjhg_4g -_4S=Q4O_bkC_#0H9r.;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Nnj9rc -=Smh6_4nQ -Sjp=Bij_jj _h_SH -Q14=vv_qQ_tqH9rc;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNnnS9 -m_=h4 -njS=Qjhc_4.Q -S4v=1_QqvtHq_r;(9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNjn_r -n9Shm=_44n -jSQ=_1vqtvQqr_HnS9 -Qb4=FO#_D3 \k_M(O_D j_jj8Hj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH___Nn49rj -=Smhn_4cQ -Sj =A)H)_ -4SQ=4h_dHn_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNdjS9 -m_=h4 -nnS=Qjhd_4.Q -S4v=1_Qqvtjqr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rj -=Smh4_4( -_HS=Qjhd_4( -_HS=Q4hc_4n;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFjd_r -j9Shm=_.4d_SH -QAj= _))OQ -S4p=Bij_jj _u_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__FH_d9rj -=Smhd_4d -_HS=Qj1qv_vqQt_4Hr9Q -S4v=1_QqvtHq_r;69 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFddr9m -S=4h_dHn_ -jSQ=_1vqtvQq9rd -4SQ=#bF_ OD\M3k.Og_Dj _jMj_Cj_8_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_n9rj -=Smhd_4( -_HS=Qjhn_4n -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_Fr -49Shm=_g4d_Sj -QBj=pji_jhj_ -_HS=Q41qv_vqQtr;49 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -.9S1m=vv_qQ_tqH9r. -jSQ=_1vqtvQq9r.;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rFd.S9 -m_=h4_cjjQ -Sj_=h4_d.HQ -S4v=1_Qqvt.qr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_cHr9m -S=_1vqtvQqr_HcS9 -Q1j=vv_qQrtqc -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d9rc -=Smhc_44 -_jS=Qjhd_4. -_HS=Q41qv_vqQtr;c9 -fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa__4#kJlGSN -m1=q_jjj_aQh_#4_JGlkNQ -Sj_=h4 -j6S=Q41qv_vqQt_6Hr9s; -R:fjjNRlOQERhbeRsRHl7B1qiQ4_hja__l#Jk_GNHm -S=q71B_i4Q_hajJ_#lNkG_SH -Q7j=1iqB4h_Qa__j#kJlG -N;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#4_JGlkNm -S=q71B_i4Q_ha4J_#lNkG -jSQ=q71B_i4Q_hajJ_#lNkG_SH -Qh4=_64j;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_jd__ -NnShm=_c4c -jSQ=_1vqtvQqr_HdS9 -Q14=vv_qQ_tqH9r6;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNnjS9 -m_=h4 -cnS=Qjhc_4dQ -S4v=1_QqvtHq_r;j9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HN4nr9m -S=4h_cS( -Qhj=_g4d -4SQ=_1vqtvQqr_H. -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_j4S9 -m_=h4 -cUS=QjA) )_SH -Q14=vv_qQrtq4 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_44S9 -m_=h4 -cgS=QjB_pij_jjuH _ -4SQ=_1vqtvQqr_H4 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_n9r. -=Smh6_4jQ -Sj_=h4 -cjS=Q41qv_vqQt_dHr9s; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMgD_O j_jjC_M__8jHm -S=#bF_ OD\M3k.Og_Dj _jMj_Cj_8_SH -Qbj=FO#_D3 \kgM._ OD_jjj__MC8 -j;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_j.S9 -m_=h4 -64S=Qj1qv_vqQt_.Hr9Q -S4F=b#D_O k\3M_.gO_D j_jjM8C_j;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNdnr9m -S=4h_6S. -Qhj=_n4d -4SQ=_1vqtvQqr_Hc -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_jdS9 -m_=h4 -6dS=QjA) )_SH -Q14=vv_qQrtqd -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_4dS9 -m_=h4 -6cS=QjB_pij_jjuH _ -4SQ=_1vqtvQqr_Hd -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_n9rc -=Smh6_46Q -Sj_=h4 -c4S=Q41qv_vqQt_6Hr9s; -R:fjjNRlOQERhbeRsRHlqr_Hd -49Sqm=_dHr4S9 -Qqj=_dOr4 -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -n6Shm=_c.. -jSQ=pQu_r7jjS9 -QQ4=uOp_r;j9 -fsRjR:jlENOR)Xm.sRbHtlR_n4n -=Smh._.6Q -Sju=Qpj_7r -49S=Q4Q_upO9r4;R -sfjj:ROlNEmRX)b.RsRHltn_4(m -S=.h_.Sn -QQj=u7p_j9r. -4SQ=pQu_.Or9s; -R:fjjNRlOQERhbeRsRHl7Baqij_7_SH -ma=7q_Bi7Hj_ -jSQ=q7aB7i_js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O4_Dj _jMj_Cj_8 -=Smb_F#O\D 3.kM4D_O j_jjC_M_ -8jS=Qj7Baqij_7_SH -Qe4=u7q_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3(kM_ OD_jjj_ -bCSbm=FO#_D3 \k_M(O_D j_jjbjC_ -jSQ=#bF_ OD\M3k6D_O j_jjC_b_SH -Qb4=FO#_D3 \k_MgO_D j_jjMHC_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMdD_O j_jjC_M_ -8jSbm=FO#_D3 \kdM._ OD_jjj__MC8jj_ -jSQ=#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH -Qb4=FO#_D3 \k(M._ OD_jjj__MC8Hj_;R -sfjj:ROlNEhRQesRbHklRMO6_H_HMHm -S=6kM_HOHM -_HS=Qjk_M6OMHH;R -sfjj:ROlNEhRq7b.RsRHlk_MUOMHH -=Smk_MUOMHH -jSQ=XM uu_1q_B 7Hj_ -4SQ=6kM_HOHM;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kgM._ OD_jjj__MC8Sj -mF=b#D_O k\3M_.gO_D j_jjM8C_jQ -Sjp=Bij_jj _h_ -7jS=Q4b_F#O\D 3.kMdD_O j_jjC_M_;8j -fsRjR:jlENOReQhRHbsl_RQ. -.US)m=W -_HS=Qj)OW_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGSN -m1=7_jjj_q hA_p 4J_#lNkG -jSQ=_)WHQ -S4v=1_Qqvt6qr9s; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_hajJ_#lNkG -=Sm7B1qiQ4_hja__l#Jk -GNS=Qj1qv_vqQtr -49S=Q4b_F#O\D 34kMcD_O j_jj__M#O$M;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_S( -mM=k4v_1_Qqvt(q__SH -Q7j=1j_jjh_ q Ap_#4_JGlkN -_HS=Q4hc_4c;_H -fsRjR:jlENOReQhRHbsl_Rh._.cHm -S=.h_.Hc_ -jSQ=.h_. -c;sjRf:ljRNROEQRheblsHR.h_.H6_ -=Smh._.6 -_HS=Qjh._.6s; -R:fjjNRlOQERhbeRsRHlh._.n -_HShm=_n.._SH -Qhj=_n..;R -sfjj:ROlNEhRQesRbHQlR_j.d -=Sm7j1_dHj_ -jSQ=_71j_djOs; -R:fjjNRlOqERhR7.blsHRnkM_#D8_jjj_S4 -mM=kn8_k#j_jj -_4S=Qj7j1_j j_hpqA Q -S41=7_jjd_ -H;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_SH -m7=p1j_jjh_Qa -_HS=Qjp_71j_jjQ;ha -fsRjR:jlENOR7qh.sRbHklRMDn_8j#_jSj -mM=kn8_D#j_jjQ -Sj7=p1j_jjh_Qa -_HS=Q4k_Mnk_8#j_jj4s; -R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haHm -S=1z7_jjj_aQh_SH -Qzj=7j1_jQj_h -a;sjRf:ljRNROEq.h7RHbslMRkn8_k#j_jjm -S=nkM_#k8_jjj -jSQ=1z7_jjj_aQh_SH -Qk4=Mkn_8j#_j4j_;R -sfjj:ROlNEhRQesRbHqlR_.Hr6S9 -m_=qH6r.9Q -Sj_=qO6r.9s; -R:fjjNRlOQERhbeRsRHlqr_H. -n9Sqm=_.HrnS9 -Qqj=_.Orn -9;sjRf:ljRNROEQRheblsHRHq_r9.( -=Smqr_H. -(9S=Qjqr_O.;(9 -fsRjR:jlENOReQhRHbsl_RqHUr.9m -S=Hq_r9.U -jSQ=Oq_r9.U;R -sfjj:ROlNEhRQesRbHqlR_.HrgS9 -m_=qHgr.9Q -Sj_=qOgr.9s; -R:fjjNRlOQERhbeRsRHlqr_Hd -j9Sqm=_dHrjS9 -Qqj=_dOrj -9;sjRf:ljRNROEQRheblsHRiBp_amz_ u)__6jHm -S=iBp_amz_ u)__6jHQ -Sjp=Biz_ma)_u j_6;R -sfjj:ROlNEhRq7b.RsRHltn_4jm -S=.h_.Sj -QBj=pji_juj_ Q -S4Y=BB_p 7rvqj -9;sjRf:ljRNROEQRheblsHRgh_j -_HShm=__gjHQ -Sj_=hg -j;sjRf:ljRNROEQRheblsHRkOb_0C#_4j__ -3sSOm=bCk_#j0__34_k -MdS=QjB_pij_jjh7 _js; -R:fjjNRlOqERhR7.blsHRkOb_0C#_4j__ -3lSOm=bCk_#j0__34_k -M4S=Qjb_F#O\D 3kOb_0C#_r444S9 -QB4=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__43SM -mb=Ok#_C0__j4k_3MSj -QOj=bCk_#40r9Q -S4b=Ok#_C0__j4k_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_4j__ -3bShm=_ -.(S=QjO_bkC_#0j__434kM -4SQ=kOb_0C#_4j__M3kjs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0j__.3Ss -mb=Ok#_C0__j.k_3MSd -QBj=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3Sl -mb=Ok#_C0__j.k_3MS4 -Qhj=_n4U_SH -QB4=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3SM -mb=Ok#_C0__j.k_3MSj -QOj=bCk_#.0r9Q -S4b=Ok#_C0__j.k_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_.j__ -3bShm=_ -.US=QjO_bkC_#0j__.34kM -4SQ=kOb_0C#_.j__M3kjs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0j__d3Ss -mb=Ok#_C0__jdk_3MSd -QBj=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__d3Sl -mb=Ok#_C0__jdk_3MS4 -Qbj=FO#_D3 \O_bkC_#04d4r9Q -S4p=Bij_jj _h_;7j -fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__3d_Mm -S=kOb_0C#_dj__M3kjQ -Sjb=Ok#_C09rd -4SQ=kOb_0C#_dj__M3kds; -R:fjjNRlOmER)b.RsRHlO_bkC_#0j__d3Sb -m_=h.Sg -QOj=bCk_#j0__3d_k -M4S=Q4O_bkC_#0j__d3jkM;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q_ -=SmhU_c_Sj -Qhj=_Hn_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_S4 -m_=hcjg_ -jSQ=dh__SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl7j1_d7j_j -_jShm=__6jjQ -Sj1=7_jjd_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlMu X_q1uB7 _j -_jShm=__66jQ -Sj1=)a -_OS=Q4Mu X_q1uBO __ -H;sjRf:ljRNROEq.h7RHbsluReq__7jm -S=6h_n -_jS=Qj)_1aOQ -S4u=eq__OHs; -R:fjjNRlOqERhR7.blsHRq7aB7i_j -_jShm=__6(jQ -Sja=7q_BiO -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu__7jj9rj -=Smh._6_Sj -QQj=uOp__jHr9Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j4S9 -m_=h6jd_ -jSQ=pQu_HO_r -49S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu__7jj9r. -=Smhc_6_Sj -QQj=uOp__.Hr9Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRujp_d4j_r -j9Shm=__djjQ -Sj_=h.Hd_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsluRQpd_jjr_44S9 -m_=hdj4_ -jSQ=.h_c -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.4r9m -S=dh_. -_jS=Qjh6_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHQlRujp_djj__3j_sm -S=pQu_jjd_jj__M3kdQ -SjF=b#D_O H\3b -D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__jjl_3 -=SmQ_upj_djj__j34kM -jSQ=pQu_jOr9Q -S4F=b#D_O H\3b -D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__jjM_3 -=SmQ_upj_djj__j3jkM -jSQ=pQu_jjd_jOr9Q -S4u=Qpd_jj__jjk_3M -d;sjRf:ljRNROEmR).blsHRpQu_jjd_jj__ -3bShm=_ -.dS=QjQ_upj_djj__j34kM -4SQ=pQu_jjd_jj__M3kjs; -R:fjjNRlOQERhbeRsRHlQ_upj_djj__43Ss -mu=Qpd_jj__j4k_3MSd -Qbj=FO#_D3 \H;bD -fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_lm -S=pQu_jjd_4j__M3k4Q -Sju=Qpr_O4S9 -Qb4=FO#_D3 \H;bD -fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_Mm -S=pQu_jjd_4j__M3kjQ -Sju=Qpd_jjr_O4S9 -QQ4=ujp_djj__34_k;Md -fsRjR:jlENOR.m)RHbsluRQpd_jj__j4b_3 -=Smhc_. -jSQ=pQu_jjd_4j__M3k4Q -S4u=Qpd_jj__j4k_3M -j;sjRf:ljRNROEQRheblsHRpQu_jjd_.j__ -3sSQm=ujp_djj__3._k -MdS=Qjb_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__.3Sl -mu=Qpd_jj__j.k_3MS4 -QQj=uOp_r -.9S=Q4b_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__.3SM -mu=Qpd_jj__j.k_3MSj -QQj=ujp_dOj_r -.9S=Q4Q_upj_djj__.3dkM;R -sfjj:ROlNE)Rm.sRbHQlRujp_djj__3._bm -S=.h_6Q -Sju=Qpd_jj__j.k_3MS4 -QQ4=ujp_djj__3._k;Mj -fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_sj3 -=Sm7B1qiQ4_hja_3dkM -jSQ=q71B_i4Q_ha4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3Sl -m1=7q4Bi_aQh_kj3MS4 -Q7j=1iqB4h_QaQ -S41=7q4Bi_aQh_#4_JGlkNs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_haj -3MS7m=1iqB4h_Qa3_jk -MjS=Qj7B1qiQ4_hja__l#Jk_GNHQ -S41=7q4Bi_aQh_kj3M -d;sjRf:ljRNROEmR).blsHRq71B_i4Q_haj -3bShm=_Sg -Q7j=1iqB4h_Qa3_jk -M4S=Q47B1qiQ4_hja_3jkM;R -sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa3_jsm -S=_q1j_jjQ_hajM3kdQ -Sj1=q_jjj_aQh_#4_JGlkNs; -R:fjjNRlOqERhR7.blsHR_q1j_jjQ_haj -3lSqm=1j_jjh_Qa3_jk -M4S=Qjqj1_jQj_hSa -Qq4=1j_jjh_Qa__4#kJlG -N;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_Mj3 -=Smqj1_jQj_hja_3jkM -jSQ=_1vqtvQqr_H6S9 -Qq4=1j_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsl1Rq_jjj_aQh_bj3 -=Smhj_4 -jSQ=_q1j_jjQ_hajM3k4Q -S41=q_jjj_aQh_kj3M -j;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_sj3 -=Smp_71j_jjQ_hajM3kdQ -SjF=b#D_O k\3M8d_#d_jjj_8;R -sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_haj -3lSpm=7j1_jQj_hja_34kM -jSQ=#bF_ OD\M3k484_#d_jjj_8_SH -Qb4=FO#_D3 \k_Md8j#_d8j_js; -R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_Mj3 -=Smp_71j_jjQ_hajM3kjQ -Sj7=p1j_jjh_QaQ -S47=p1j_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsl7Rp1j_jjh_Qa3_jbm -S=4h_cQ -Sj7=p1j_jjh_Qa3_jk -M4S=Q4p_71j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHl7j1_j j_hpqA __4#kJlGHN_ -=Sm7j1_j j_hpqA __4#kJlGHN_ -jSQ=_71j_jj Ahqp4 __l#Jk;GN -fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 -=Sm)jW_jQj_hja_3dkM -jSQ=4h_4 -6;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_lj3 -=Sm)jW_jQj_hja_34kM -jSQ=_71j_jj Ahqp4 __l#Jk_GNHQ -S4_=h4;46 -fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa3_jMm -S=_)Wj_jjQ_hajM3kjQ -SjW=)_jjj_aQh -4SQ=_)Wj_jjQ_hajM3kds; -R:fjjNRlOmER)b.RsRHl)jW_jQj_hja_3Sb -m_=h4S6 -Q)j=Wj_jjh_Qa3_jk -M4S=Q4)jW_jQj_hja_3jkM;R -sfjj:ROlNEhRQesRbHzlR7j1_jQj_hja_3Ss -m7=z1j_jjh_Qa3_jk -MdS=Qjb_F#O\D 3dkM__8#j_dj8 -j;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jlm -S=1z7_jjj_aQh_kj3MS4 -Qqj=j -_OS=Q4b_F#O\D 3dkM__8#j_dj8 -j;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jMm -S=1z7_jjj_aQh_kj3MSj -Qzj=7j1_jQj_hSa -Qz4=7j1_jQj_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHzlR7j1_jQj_hja_3Sb -m_=h4S( -Qzj=7j1_jQj_hja_34kM -4SQ=1z7_jjj_aQh_kj3M -j;sjRf:ljRNROEQRheblsHRqev_aQh_sj3 -=Sme_vqQ_hajM3kdQ -SjF=b#D_O k\3MO(_Dj _jbj_Cs; -R:fjjNRlOqERhR7.blsHRqev_aQh_lj3 -=Sme_vqQ_hajM3k4Q -Sjb=Ok#_C09r4 -4SQ=#bF_ OD\M3k(D_O j_jjC_b;R -sfjj:ROlNEhRq7b.RsRHle_vqQ_haj -3MSem=vQq_hja_3jkM -jSQ=qev_aQh -4SQ=qev_aQh_kj3M -d;sjRf:ljRNROEmR).blsHRqev_aQh_bj3 -=Smhg_4 -jSQ=qev_aQh_kj3MS4 -Qe4=vQq_hja_3jkM;R -sfjj:ROlNEhRQesRbHAlRtj_jj3_jsm -S=_Atj_jjjM3kdQ -SjF=b#D_O k\3MLU_od_jjs; -R:fjjNRlOqERhR7.blsHR_Atj_jjj -3lSAm=tj_jj3_jk -M4S=QjAjt_dOj_ -4SQ=#bF_ OD\M3kUo_L_jjd;R -sfjj:ROlNEhRq7b.RsRHlAjt_jjj_3SM -mt=A_jjj_kj3MSj -QAj=tj_jj -_OS=Q4Ajt_jjj_3dkM;R -sfjj:ROlNE)Rm.sRbHAlRtj_jj3_jbm -S=.h_.Q -Sjt=A_jjj_kj3MS4 -QA4=tj_jj3_jk;Mj -fsRjR:jlENOR7qh.sRbHAlRtj_jj -_4Shm=__ddjQ -Sj_=h.H._ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvReqh_Qa -_4Shm=__dnjQ -Sj_=h4Hg_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa -_4Shm=__dUjQ -Sj_=h4H(_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_S. -m_=hcjj_ -jSQ=4h_6 -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_S4 -m_=hcj4_ -jSQ=4h_c -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_q1j_jjQ_ha4m -S=ch_c -_jS=Qjhj_4_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a_ -=Smh6_c_Sj -Qhj=_Hg_ -4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHR_71j_dj7Hj_ -=Sm7j1_d7j_j -_HS=Qj7j1_d7j_js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kd#_8_jjd_ -8jSbm=FO#_D3 \k_Md8j#_d8j_jQ -Sj1=7_jjd__7jHQ -S4v=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kUo_L_jjd -=Smb_F#O\D 3UkM__Loj_djjQ -Sjt=A_jjd_HO_ -4SQ=#bF_ OD\M3kno_L_jjd_ -H;sjRf:ljRNROEQRheblsHRnkM__N#j_djHm -S=nkM__N#j_djHQ -SjM=kn#_N_jjd;R -sfjj:ROlNEhRQesRbHklRMOU_H_HMHm -S=UkM_HOHM -_HS=Qjk_MUOMHH;R -sfjj:ROlNEhRQesRbHklRMNc_#j_jj -_HSkm=MNc_#j_jj -_HS=Qjk_McNj#_j -j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqHm -S=_71j_jj7_vqHQ -Sj1=7_jjj_q7v;R -sfjj:ROlNEhRq7b.RsRHlk_Mn8j#_dSj -mM=kn#_8_jjd -jSQ=_q1j_jjHQ -S41=7_jjj_q7v_ -H;sjRf:ljRNROEQRheblsHRnkM__8#j_djHm -S=nkM__8#j_djHQ -SjM=kn#_8_jjd;R -sfjj:ROlNEhRQesRbHklRMkn_8j#_jHj_ -=Smk_Mnk_8#j_jjHQ -SjM=kn8_k#j_jjs; -R:fjjNRlOQERhbeRsRHlk_MnD_8#j_jjHm -S=nkM_#D8_jjj_SH -Qkj=MDn_8j#_j -j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqj -3sS7m=1j_jjv_7q3_jk -MdS=Qjhc_.6s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj -3lS7m=1j_jjv_7q3_jk -M4S=Qjb_F#O\D 3_71j_jj7_vqcQ -S4_=h.;c6 -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q3_jMm -S=_71j_jj7_vqjM3kjQ -Sj1=7_jjj_q7v -4SQ=_71j_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl7j1_j7j_vjq_3Sb -m_=hdQ -Sj1=7_jjj_q7v_kj3MS4 -Q74=1j_jjv_7q3_jk;Mj -fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_sj3 -=Smqj1_j7j_vjq_3dkM -jSQ=.h_c -c;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_lj3 -=Smqj1_j7j_vjq_34kM -jSQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH_ -4SQ=.h_c -c;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_Mj3 -=Smqj1_j7j_vjq_3jkM -jSQ=_q1j_jj7 -vqS=Q4qj1_j7j_vjq_3dkM;R -sfjj:ROlNE)Rm.sRbHqlR1j_jjv_7q3_jbm -S=nh_ -jSQ=_q1j_jj7_vqjM3k4Q -S41=q_jjj_q7v_kj3M -j; - -@ diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr deleted file mode 100644 index 9ec312d..0000000 --- a/Logic/BUS68030.srr +++ /dev/null @@ -1,107 +0,0 @@ -#Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014 -#install: C:\ispLever\synpbase -#OS: Windows 7 6.2 -#Hostname: DEEPTHOUGHT - -#Implementation: logic - -$ Start of Compile -#Thu Sep 24 16:20:52 2015 - -Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 -@N|Running in 64-bit mode -Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. - -@N: CD720 :"C:\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns -@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030. -File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling -VHDL syntax check successful! -File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling -@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral -@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:7:126:17|Signal clk_out_pre is undriven -Post processing for work.bus68030.behavioral -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Pruning register AMIGA_BUS_ENABLE_INT_4 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning register CLK_000_D4_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D3_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D2_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:35:127:37|Pruning register CLK_OUT_INT_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:36:124:38|Pruning register CLK_OUT_PRE_50_D_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 -@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... -@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... -@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Register bit BGACK_030_INT_PRE is always 1, optimizing ... -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Trying to extract state machine for register SM_AMIGA -Extracted state machine for register SM_AMIGA -State machine has 8 reachable states with original encodings of: - 000 - 001 - 010 - 011 - 100 - 101 - 110 - 111 -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Trying to extract state machine for register cpu_est -@W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused -@END - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 24 16:20:52 2015 - -###########################################################] -Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 -@N|Running in 64-bit mode -File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs changed - recompiling - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 24 16:20:53 2015 - -###########################################################] -Map & Optimize Report - -Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May 6 2014 -Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use or distribution of the software is strictly prohibited. -Product Version I-2014.03LC -@N: MF248 |Running in 64-bit mode. -@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":498:16:498:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) -Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) -original code -> new code - 000 -> 00000000 - 001 -> 00000011 - 010 -> 00000101 - 011 -> 00001001 - 100 -> 00010001 - 101 -> 00100001 - 110 -> 01000001 - 111 -> 10000001 -@N: MO106 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":190:4:190:7|Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits -@W: BN132 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Removing instance CLK_000_P_SYNC[10], because it is equivalent to instance CLK_000_PE ---------------------------------------- -Resource Usage Report - -Simple gate primitives: -DFF 83 uses -BI_DIR 11 uses -IBUF 46 uses -OBUF 15 uses -BUFTH 3 uses -AND2 308 uses -INV 261 uses -OR2 25 uses -XOR2 15 uses - - -@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. -I-2014.03LC -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 24 16:20:54 2015 - -###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs deleted file mode 100644 index 6821e58..0000000 Binary files a/Logic/BUS68030.srs and /dev/null differ diff --git a/Logic/automake.err b/Logic/automake.err deleted file mode 100644 index f964a6e..0000000 --- a/Logic/automake.err +++ /dev/null @@ -1 +0,0 @@ -Need not generate svf file according to the constraints, exit diff --git a/Logic/bus68030.exf b/Logic/bus68030.exf deleted file mode 100644 index 99980ed..0000000 --- a/Logic/bus68030.exf +++ /dev/null @@ -1,905 +0,0 @@ -Section Type Array Num Name Real Name Base Number Increment -// ------------------------------------------------------------------------------------------------- - Port 1 A(31:2) A 31 30 -1 - Port 2 IPL(2:0) IPL 2 3 -1 - Port 3 FC(1:0) FC 1 2 -1 - Port 4 IPL_030(2:0) IPL_030 2 3 -1 - Port 5 SIZE(1:0) SIZE 1 2 -1 -End -Section Member Rename Array-Notation Array Number Index -// ------------------------------------------------------------------------------------- - Port SIZE_1_ SIZE[1] 5 0 - Port SIZE_0_ SIZE[0] 5 1 - Port A_31_ A[31] 1 0 - Port A_30_ A[30] 1 1 - Port A_29_ A[29] 1 2 - Port A_28_ A[28] 1 3 - Port A_27_ A[27] 1 4 - Port A_26_ A[26] 1 5 - Port A_25_ A[25] 1 6 - Port A_24_ A[24] 1 7 - Port A_23_ A[23] 1 8 - Port A_22_ A[22] 1 9 - Port A_21_ A[21] 1 10 - Port A_20_ A[20] 1 11 - Port A_19_ A[19] 1 12 - Port A_18_ A[18] 1 13 - Port A_17_ A[17] 1 14 - Port A_16_ A[16] 1 15 - Port A_15_ A[15] 1 16 - Port A_14_ A[14] 1 17 - Port A_13_ A[13] 1 18 - Port A_12_ A[12] 1 19 - Port A_11_ A[11] 1 20 - Port A_10_ A[10] 1 21 - Port A_9_ A[9] 1 22 - Port A_8_ A[8] 1 23 - Port A_7_ A[7] 1 24 - Port A_6_ A[6] 1 25 - Port A_5_ A[5] 1 26 - Port A_4_ A[4] 1 27 - Port A_3_ A[3] 1 28 - Port A_2_ A[2] 1 29 - Port IPL_030_2_ IPL_030[2] 4 0 - Port IPL_030_1_ IPL_030[1] 4 1 - Port IPL_030_0_ IPL_030[0] 4 2 - Port IPL_2_ IPL[2] 2 0 - Port IPL_1_ IPL[1] 2 1 - Port IPL_0_ IPL[0] 2 2 - Port FC_1_ FC[1] 3 0 - Port FC_0_ FC[0] 3 1 -End -Section Cross Reference File -Design 'BUS68030' created Thu Sep 24 16:20:59 2015 - Type New Name Original Name -// ---------------------------------------------------------------------- - Inst i_z4141 AS_030 - Inst i_z4242 AS_000 - Inst i_z4343 RW_000 - Inst i_z4444 DS_030 - Inst i_z4545 UDS_000 - Inst i_z4646 LDS_000 - Inst i_z5757 A0 - Inst i_z5A5A BERR - Inst i_z5I5I CLK_DIV_OUT - Inst i_z5S5S DSACK1 - Inst i_z6464 RW - Inst i_z6B6B CIIN - Inst SIZE_DMA_0_0__r SIZE_DMA_0_0_.r - Inst LDS_000_INT_0_n LDS_000_INT_0.n - Inst SIZE_DMA_0_0__m SIZE_DMA_0_0_.m - Inst LDS_000_INT_0_p LDS_000_INT_0.p - Inst SIZE_DMA_0_0__n SIZE_DMA_0_0_.n - Inst SIZE_DMA_0_0__p SIZE_DMA_0_0_.p - Inst RW_000_INT_0_r RW_000_INT_0.r - Inst RW_000_INT_0_m RW_000_INT_0.m - Inst RW_000_INT_0_n RW_000_INT_0.n - Inst RW_000_INT_0_p RW_000_INT_0.p - Inst UDS_000_INT_0_r UDS_000_INT_0.r - Inst UDS_000_INT_0_m UDS_000_INT_0.m - Inst UDS_000_INT_0_n UDS_000_INT_0.n - Inst UDS_000_INT_0_p UDS_000_INT_0.p - Inst pos_clk_un9_clk_000_ne_1 pos_clk.un9_clk_000_ne_1 - Inst VMA_INT_0_r VMA_INT_0.r - Inst pos_clk_un9_clk_000_ne_2 pos_clk.un9_clk_000_ne_2 - Inst pos_clk_un4_bgack_000_i_o2 pos_clk.un4_bgack_000_i_o2 - Inst VMA_INT_0_m VMA_INT_0.m - Inst SM_AMIGA_srsts_i_2_ SM_AMIGA_srsts_i[2] - Inst SM_AMIGA_i_i_7_ SM_AMIGA_i_i[7] - Inst VMA_INT_0_n VMA_INT_0.n - Inst SM_AMIGA_srsts_i_1_3_ SM_AMIGA_srsts_i_1[3] - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r - Inst VMA_INT_0_p VMA_INT_0.p - Inst SM_AMIGA_srsts_i_2_3_ SM_AMIGA_srsts_i_2[3] - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m - Inst BG_000_0_r BG_000_0.r - Inst SM_AMIGA_srsts_i_3_ SM_AMIGA_srsts_i[3] - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n - Inst BG_000_0_m BG_000_0.m - Inst SM_AMIGA_srsts_i_1_4_ SM_AMIGA_srsts_i_1[4] - Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p - Inst BG_000_0_n BG_000_0.n - Inst SM_AMIGA_srsts_i_4_ SM_AMIGA_srsts_i[4] - Inst BG_000_0_p BG_000_0.p - Inst SM_AMIGA_srsts_i_1_6_ SM_AMIGA_srsts_i_1[6] - Inst pos_clk_un3_as_030_d0_i_a2 pos_clk.un3_as_030_d0_i_a2 - Inst SM_AMIGA_srsts_i_6_ SM_AMIGA_srsts_i[6] - Inst SM_AMIGA_nss_i_i_a6_1_0_0_ SM_AMIGA_nss_i_i_a6_1_0[0] - Inst SM_AMIGA_nss_i_i_a6_0_ SM_AMIGA_nss_i_i_a6[0] - Inst SM_AMIGA_nss_i_i_a6_0_1_0_ SM_AMIGA_nss_i_i_a6_0_1[0] - Inst pos_clk_CLK_000_N_SYNC_2_0_ pos_clk.CLK_000_N_SYNC_2[0] - Inst SM_AMIGA_nss_i_i_a6_0_0_ SM_AMIGA_nss_i_i_a6_0[0] - Inst SM_AMIGA_nss_i_i_a6_2_1_0_ SM_AMIGA_nss_i_i_a6_2_1[0] - Inst pos_clk_CLK_000_P_SYNC_2_0_ pos_clk.CLK_000_P_SYNC_2[0] - Inst SM_AMIGA_nss_i_i_a6_2_2_0_ SM_AMIGA_nss_i_i_a6_2_2[0] - Inst SM_AMIGA_nss_i_i_a6_2_3_0_ SM_AMIGA_nss_i_i_a6_2_3[0] - Inst pos_clk_un3_ds_030_d0 pos_clk.un3_ds_030_d0 - Inst pos_clk_cpu_est_11_0_1_ pos_clk.cpu_est_11_0[1] - Inst pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 - Inst pos_clk_un8_bg_030 pos_clk.un8_bg_030 - Inst pos_clk_cpu_est_11_0_1_3_ pos_clk.cpu_est_11_0_1[3] - Inst pos_clk_A0_DMA_3_0_a2 pos_clk.A0_DMA_3_0_a2 - Inst pos_clk_cpu_est_11_0_3_ pos_clk.cpu_est_11_0[3] - Inst pos_clk_un37_as_030_d0_i_a2 pos_clk.un37_as_030_d0_i_a2 - Inst pos_clk_cpu_est_11_i_a4_1_2_ pos_clk.cpu_est_11_i_a4_1[2] - Inst pos_clk_un37_as_030_d0_i_a2_0 pos_clk.un37_as_030_d0_i_a2_0 - Inst pos_clk_cpu_est_11_i_a4_2_ pos_clk.cpu_est_11_i_a4[2] - Inst pos_clk_un24_bgack_030_int_i_0_a2 pos_clk.un24_bgack_030_int_i_0_a2 - Inst pos_clk_cpu_est_11_i_a4_0_1_2_ pos_clk.cpu_est_11_i_a4_0_1[2] - Inst pos_clk_SIZE_DMA_6_0_0_1_ pos_clk.SIZE_DMA_6_0_0[1] - Inst pos_clk_cpu_est_11_i_a4_0_2_ pos_clk.cpu_est_11_i_a4_0[2] - Inst pos_clk_SIZE_DMA_6_0_0_0_ pos_clk.SIZE_DMA_6_0_0[0] - Inst SM_AMIGA_nss_i_i_1_0_ SM_AMIGA_nss_i_i_1[0] - Inst pos_clk_un37_as_030_d0_i pos_clk.un37_as_030_d0_i - Inst SM_AMIGA_nss_i_i_2_0_ SM_AMIGA_nss_i_i_2[0] - Inst pos_clk_un6_bgack_000_0 pos_clk.un6_bgack_000_0 - Inst SM_AMIGA_nss_i_i_3_0_ SM_AMIGA_nss_i_i_3[0] - Inst DS_000_DMA_0_r DS_000_DMA_0.r - Inst SM_AMIGA_nss_i_i_0_ SM_AMIGA_nss_i_i[0] - Inst DS_000_DMA_0_m DS_000_DMA_0.m - Inst SM_AMIGA_srsts_i_1_1_ SM_AMIGA_srsts_i_1[1] - Inst pos_clk_un24_bgack_030_int_i_0_x2 pos_clk.un24_bgack_030_int_i_0_x2 - Inst DS_000_DMA_0_n DS_000_DMA_0.n - Inst SM_AMIGA_srsts_i_2_1_ SM_AMIGA_srsts_i_2[1] - Inst DS_000_DMA_0_p DS_000_DMA_0.p - Inst SM_AMIGA_srsts_i_1_ SM_AMIGA_srsts_i[1] - Inst pos_clk_SIZE_DMA_6_0_0_o2_0_ pos_clk.SIZE_DMA_6_0_0_o2[0] - Inst AS_000_DMA_0_r AS_000_DMA_0.r - Inst SM_AMIGA_srsts_i_1_2_ SM_AMIGA_srsts_i_1[2] - Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] - Inst AS_000_DMA_0_m AS_000_DMA_0.m - Inst SM_AMIGA_srsts_i_a6_1_5_ SM_AMIGA_srsts_i_a6_1[5] - Inst AS_000_DMA_0_n AS_000_DMA_0.n - Inst AS_000_DMA_0_p AS_000_DMA_0.p - Inst SM_AMIGA_srsts_i_a6_0_5_ SM_AMIGA_srsts_i_a6_0[5] - Inst IPL_030DFF_2_ IPL_030DFF[2] - Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] - Inst IPL_D0_0_ IPL_D0[0] - Inst pos_clk_un6_bg_030_i pos_clk.un6_bg_030_i - Inst SM_AMIGA_srsts_i_a6_5_ SM_AMIGA_srsts_i_a6[5] - Inst IPL_D0_1_ IPL_D0[1] - Inst pos_clk_un8_bg_030_i pos_clk.un8_bg_030_i - Inst IPL_D0_2_ IPL_D0[2] - Inst SM_AMIGA_srsts_i_1_5_ SM_AMIGA_srsts_i_1[5] - Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] - Inst SM_AMIGA_srsts_i_2_5_ SM_AMIGA_srsts_i_2[5] - Inst SM_AMIGA_6_ SM_AMIGA[6] - Inst SM_AMIGA_srsts_i_5_ SM_AMIGA_srsts_i[5] - Inst SM_AMIGA_5_ SM_AMIGA[5] - Inst pos_clk_un11_ds_030_d0_1 pos_clk.un11_ds_030_d0_1 - Inst SM_AMIGA_4_ SM_AMIGA[4] - Inst pos_clk_un11_ds_030_d0 pos_clk.un11_ds_030_d0 - Inst pos_clk_SIZE_DMA_6_0_0_a2_1_ pos_clk.SIZE_DMA_6_0_0_a2[1] - Inst SM_AMIGA_3_ SM_AMIGA[3] - Inst SM_AMIGA_nss_i_i_o3_0_1_0_ SM_AMIGA_nss_i_i_o3_0_1[0] - Inst pos_clk_SIZE_DMA_6_0_0_a2_0_ pos_clk.SIZE_DMA_6_0_0_a2[0] - Inst SM_AMIGA_2_ SM_AMIGA[2] - Inst SM_AMIGA_nss_i_i_o3_0_0_ SM_AMIGA_nss_i_i_o3_0[0] - Inst SM_AMIGA_1_ SM_AMIGA[1] - Inst pos_clk_cpu_est_11_0_1_1_ pos_clk.cpu_est_11_0_1[1] - Inst pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 - Inst SM_AMIGA_0_ SM_AMIGA[0] - Inst pos_clk_cpu_est_11_0_2_1_ pos_clk.cpu_est_11_0_2[1] - Inst cpu_est_0_ cpu_est[0] - Inst cpu_est_1_ cpu_est[1] - Inst cpu_est_2_ cpu_est[2] - Inst cpu_est_3_ cpu_est[3] - Inst IPL_030DFF_0_ IPL_030DFF[0] - Inst IPL_030DFF_1_ IPL_030DFF[1] - Inst CLK_000_N_SYNC_9_ CLK_000_N_SYNC[9] - Inst CLK_000_N_SYNC_10_ CLK_000_N_SYNC[10] - Inst pos_clk_CYCLE_DMA_5_1_i_o2 pos_clk.CYCLE_DMA_5_1_i_o2 - Inst CLK_000_N_SYNC_11_ CLK_000_N_SYNC[11] - Inst RST_DLY_0_ RST_DLY[0] - Inst pos_clk_RST_DLY_5_iv_0_ pos_clk.RST_DLY_5_iv[0] - Inst RST_DLY_1_ RST_DLY[1] - Inst RST_DLY_2_ RST_DLY[2] - Inst un1_RST_DLY_i_1_ un1_RST_DLY_i[1] - Inst RST_DLY_3_ RST_DLY[3] - Inst un1_RST_DLY_i_m_1_ un1_RST_DLY_i_m[1] - Inst RST_DLY_4_ RST_DLY[4] - Inst SM_AMIGA_srsts_i_o3_5_ SM_AMIGA_srsts_i_o3[5] - Inst RST_DLY_5_ RST_DLY[5] - Inst pos_clk_RST_DLY_5_iv_5_ pos_clk.RST_DLY_5_iv[5] - Inst RST_DLY_6_ RST_DLY[6] - Inst un1_RST_DLY_i_6_ un1_RST_DLY_i[6] - Inst RST_DLY_7_ RST_DLY[7] - Inst IPL_030_1_i_0_ IPL_030_1_i[0] - Inst un1_RST_DLY_i_m_6_ un1_RST_DLY_i_m[6] - Inst CYCLE_DMA_0_ CYCLE_DMA[0] - Inst IPL_c_i_2_ IPL_c_i[2] - Inst pos_clk_RST_DLY_5_iv_4_ pos_clk.RST_DLY_5_iv[4] - Inst CYCLE_DMA_1_ CYCLE_DMA[1] - Inst IPL_D0_0_i_2_ IPL_D0_0_i[2] - Inst un1_RST_DLY_i_5_ un1_RST_DLY_i[5] - Inst SIZE_DMA_0_ SIZE_DMA[0] - Inst IPL_c_i_1_ IPL_c_i[1] - Inst un1_RST_DLY_i_m_5_ un1_RST_DLY_i_m[5] - Inst SIZE_DMA_1_ SIZE_DMA[1] - Inst IPL_D0_0_i_1_ IPL_D0_0_i[1] - Inst pos_clk_RST_DLY_5_iv_3_ pos_clk.RST_DLY_5_iv[3] - Inst CLK_000_P_SYNC_5_ CLK_000_P_SYNC[5] - Inst IPL_c_i_0_ IPL_c_i[0] - Inst un1_RST_DLY_i_4_ un1_RST_DLY_i[4] - Inst CLK_000_P_SYNC_6_ CLK_000_P_SYNC[6] - Inst IPL_D0_0_i_0_ IPL_D0_0_i[0] - Inst un1_RST_DLY_i_m_4_ un1_RST_DLY_i_m[4] - Inst CLK_000_P_SYNC_7_ CLK_000_P_SYNC[7] - Inst pos_clk_RST_DLY_5_iv_2_ pos_clk.RST_DLY_5_iv[2] - Inst CLK_000_P_SYNC_8_ CLK_000_P_SYNC[8] - Inst un1_RST_DLY_i_3_ un1_RST_DLY_i[3] - Inst CLK_000_P_SYNC_9_ CLK_000_P_SYNC[9] - Inst un1_RST_DLY_i_m_3_ un1_RST_DLY_i_m[3] - Inst CLK_000_N_SYNC_0_ CLK_000_N_SYNC[0] - Inst pos_clk_RST_DLY_5_iv_1_ pos_clk.RST_DLY_5_iv[1] - Inst CLK_000_N_SYNC_1_ CLK_000_N_SYNC[1] - Inst un1_RST_DLY_i_2_ un1_RST_DLY_i[2] - Inst CLK_000_N_SYNC_2_ CLK_000_N_SYNC[2] - Inst un1_RST_DLY_i_m_2_ un1_RST_DLY_i_m[2] - Inst CLK_000_N_SYNC_3_ CLK_000_N_SYNC[3] - Inst CLK_000_N_SYNC_4_ CLK_000_N_SYNC[4] - Inst CLK_000_N_SYNC_5_ CLK_000_N_SYNC[5] - Inst CLK_000_N_SYNC_6_ CLK_000_N_SYNC[6] - Inst CLK_000_N_SYNC_7_ CLK_000_N_SYNC[7] - Inst pos_clk_un21_clk_000_ne_d0_i pos_clk.un21_clk_000_ne_d0_i - Inst CLK_000_N_SYNC_8_ CLK_000_N_SYNC[8] - Inst pos_clk_un27_clk_000_ne_d0_i pos_clk.un27_clk_000_ne_d0_i - Inst CLK_000_P_SYNC_0_ CLK_000_P_SYNC[0] - Inst pos_clk_un23_clk_000_ne_d0_i pos_clk.un23_clk_000_ne_d0_i - Inst CLK_000_P_SYNC_1_ CLK_000_P_SYNC[1] - Inst pos_clk_un5_clk_000_pe_i pos_clk.un5_clk_000_pe_i - Inst CLK_000_P_SYNC_2_ CLK_000_P_SYNC[2] - Inst pos_clk_un9_clk_000_ne_i pos_clk.un9_clk_000_ne_i - Inst CLK_000_P_SYNC_3_ CLK_000_P_SYNC[3] - Inst pos_clk_un7_clk_000_pe_i pos_clk.un7_clk_000_pe_i - Inst pos_clk_RST_DLY_5_iv_7_ pos_clk.RST_DLY_5_iv[7] - Inst CLK_000_P_SYNC_4_ CLK_000_P_SYNC[4] - Inst un1_RST_DLY_i_8_ un1_RST_DLY_i[8] - Inst SIZE_c_i_1_ SIZE_c_i[1] - Inst un1_RST_DLY_i_m_8_ un1_RST_DLY_i_m[8] - Inst pos_clk_RST_DLY_5_iv_6_ pos_clk.RST_DLY_5_iv[6] - Inst IPL_030_1_i_2_ IPL_030_1_i[2] - Inst un1_RST_DLY_i_7_ un1_RST_DLY_i[7] - Inst un1_RST_DLY_i_m_7_ un1_RST_DLY_i_m[7] - Inst IPL_030_1_i_1_ IPL_030_1_i[1] - Inst pos_clk_DS_000_DMA_4_f0_0 pos_clk.DS_000_DMA_4_f0_0 - Inst pos_clk_cpu_est_11_0_o4_i_3_ pos_clk.cpu_est_11_0_o4_i[3] - Inst pos_clk_cpu_est_11_0_o4_i_1_ pos_clk.cpu_est_11_0_o4_i[1] - Inst pos_clk_cpu_est_11_i_o4_i_2_ pos_clk.cpu_est_11_i_o4_i[2] - Inst pos_clk_DS_000_DMA_4_f0_0_a2 pos_clk.DS_000_DMA_4_f0_0_a2 - Inst SM_AMIGA_srsts_i_o3_i_0_ SM_AMIGA_srsts_i_o3_i[0] - Inst SM_AMIGA_srsts_i_o3_i_6_ SM_AMIGA_srsts_i_o3_i[6] - Inst SM_AMIGA_srsts_i_o3_i_4_ SM_AMIGA_srsts_i_o3_i[4] - Inst pos_clk_un14_clk_000_n_sync pos_clk.un14_clk_000_n_sync - Inst SM_AMIGA_srsts_i_o3_i_2_ SM_AMIGA_srsts_i_o3_i[2] - Inst pos_clk_un11_clk_000_n_sync_i pos_clk.un11_clk_000_n_sync_i - Inst SM_AMIGA_srsts_i_o3_i_1_ SM_AMIGA_srsts_i_o3_i[1] - Inst pos_clk_un9_clk_000_n_sync pos_clk.un9_clk_000_n_sync - Inst SM_AMIGA_srsts_i_o3_i_3_ SM_AMIGA_srsts_i_o3_i[3] - Inst pos_clk_un11_clk_000_n_sync pos_clk.un11_clk_000_n_sync - Inst SM_AMIGA_nss_i_i_o3_i_0_ SM_AMIGA_nss_i_i_o3_i[0] - Inst SM_AMIGA_srsts_i_o3_0_i_0_ SM_AMIGA_srsts_i_o3_0_i[0] - Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] - Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] - Inst pos_clk_un7_clk_000_d0_i pos_clk.un7_clk_000_d0_i - Inst A_i_24_ A_i[24] - Inst cpu_est_i_3_ cpu_est_i[3] - Inst cpu_est_i_0_ cpu_est_i[0] - Inst pos_clk_cpu_est_11_0_i_3_ pos_clk.cpu_est_11_0_i[3] - Inst cpu_est_i_1_ cpu_est_i[1] - Inst pos_clk_un27_clk_000_ne_d0_2 pos_clk.un27_clk_000_ne_d0_2 - Inst pos_clk_cpu_est_11_0_i_1_ pos_clk.cpu_est_11_0_i[1] - Inst cpu_est_0_0_ cpu_est_0[0] - Inst pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk.CYCLE_DMA_5_0_i_x2 - Inst SM_AMIGA_nss_i_i_o3_0_i_0_ SM_AMIGA_nss_i_i_o3_0_i[0] - Inst pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk.CYCLE_DMA_5_1_i_x2 - Inst SM_AMIGA_srsts_i_o3_6_ SM_AMIGA_srsts_i_o3[6] - Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] - Inst SM_AMIGA_srsts_i_o3_0_ SM_AMIGA_srsts_i_o3[0] - Inst SIZE_0_ SIZE[0] - Inst pos_clk_DS_000_DMA_4_f0_0_i pos_clk.DS_000_DMA_4_f0_0_i - Inst pos_clk_cpu_est_11_0_a4_1_ pos_clk.cpu_est_11_0_a4[1] - Inst SIZE_1_ SIZE[1] - Inst pos_clk_cpu_est_11_0_a4_0_1_ pos_clk.cpu_est_11_0_a4_0[1] - Inst pos_clk_cpu_est_11_0_a4_1_1_ pos_clk.cpu_est_11_0_a4_1[1] - Inst pos_clk_cpu_est_11_0_a4_2_1_ pos_clk.cpu_est_11_0_a4_2[1] - Inst pos_clk_cpu_est_11_0_a4_3_ pos_clk.cpu_est_11_0_a4[3] - Inst pos_clk_cpu_est_11_0_a4_0_3_ pos_clk.cpu_est_11_0_a4_0[3] - Inst pos_clk_cpu_est_11_i_2_ pos_clk.cpu_est_11_i[2] - Inst pos_clk_cpu_est_11_i_o4_2_ pos_clk.cpu_est_11_i_o4[2] - Inst pos_clk_cpu_est_11_0_o4_1_ pos_clk.cpu_est_11_0_o4[1] - Inst pos_clk_cpu_est_11_0_o4_3_ pos_clk.cpu_est_11_0_o4[3] - Inst cpu_est_i_2_ cpu_est_i[2] - Inst pos_clk_cpu_est_11_0_a4_1_3_ pos_clk.cpu_est_11_0_a4_1[3] - Inst SM_AMIGA_srsts_i_a6_0_4_ SM_AMIGA_srsts_i_a6_0[4] - Inst un1_RST_DLY_i_m_i_2_ un1_RST_DLY_i_m_i[2] - Inst SM_AMIGA_srsts_i_a6_6_ SM_AMIGA_srsts_i_a6[6] - Inst un1_RST_DLY_i_m_i_3_ un1_RST_DLY_i_m_i[3] - Inst SM_AMIGA_srsts_i_a6_0_6_ SM_AMIGA_srsts_i_a6_0[6] - Inst un1_RST_DLY_i_m_i_4_ un1_RST_DLY_i_m_i[4] - Inst SM_AMIGA_nss_i_i_a6_1_0_ SM_AMIGA_nss_i_i_a6_1[0] - Inst A_16_ A[16] - Inst un1_RST_DLY_i_m_i_5_ un1_RST_DLY_i_m_i[5] - Inst SM_AMIGA_srsts_i_a3_0_ SM_AMIGA_srsts_i_a3[0] - Inst A_17_ A[17] - Inst un1_RST_DLY_i_m_i_6_ un1_RST_DLY_i_m_i[6] - Inst SM_AMIGA_srsts_i_0_ SM_AMIGA_srsts_i[0] - Inst A_18_ A[18] - Inst un1_RST_DLY_i_m_i_7_ un1_RST_DLY_i_m_i[7] - Inst SM_AMIGA_srsts_i_o3_0_0_ SM_AMIGA_srsts_i_o3_0[0] - Inst A_19_ A[19] - Inst un1_RST_DLY_i_m_i_8_ un1_RST_DLY_i_m_i[8] - Inst SM_AMIGA_nss_i_i_o3_0_ SM_AMIGA_nss_i_i_o3[0] - Inst A_20_ A[20] - Inst CLK_000_N_SYNC_i_10_ CLK_000_N_SYNC_i[10] - Inst SM_AMIGA_srsts_i_o3_3_ SM_AMIGA_srsts_i_o3[3] - Inst A_21_ A[21] - Inst pos_clk_un9_clk_000_n_sync_i pos_clk.un9_clk_000_n_sync_i - Inst SM_AMIGA_srsts_i_o6_0_ SM_AMIGA_srsts_i_o6[0] - Inst A_22_ A[22] - Inst pos_clk_un14_clk_000_n_sync_i pos_clk.un14_clk_000_n_sync_i - Inst SM_AMIGA_srsts_i_o3_1_ SM_AMIGA_srsts_i_o3[1] - Inst A_23_ A[23] - Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] - Inst A_24_ A[24] - Inst SM_AMIGA_srsts_i_o3_2_ SM_AMIGA_srsts_i_o3[2] - Inst A_25_ A[25] - Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] - Inst A_26_ A[26] - Inst SM_AMIGA_srsts_i_o3_4_ SM_AMIGA_srsts_i_o3[4] - Inst A_27_ A[27] - Inst A_28_ A[28] - Inst pos_clk_un37_as_030_d0_i_i pos_clk.un37_as_030_d0_i_i - Inst A_29_ A[29] - Inst A_30_ A[30] - Inst pos_clk_SIZE_DMA_6_0_0_i_0_ pos_clk.SIZE_DMA_6_0_0_i[0] - Inst A_31_ A[31] - Inst SM_AMIGA_srsts_i_a6_0_ SM_AMIGA_srsts_i_a6[0] - Inst pos_clk_SIZE_DMA_6_0_0_i_1_ pos_clk.SIZE_DMA_6_0_0_i[1] - Inst SM_AMIGA_srsts_i_a6_1_ SM_AMIGA_srsts_i_a6[1] - Inst SM_AMIGA_srsts_i_o3_i_5_ SM_AMIGA_srsts_i_o3_i[5] - Inst SM_AMIGA_srsts_i_a6_0_1_ SM_AMIGA_srsts_i_a6_0[1] - Inst un1_RST_DLY_i_m_i_1_ un1_RST_DLY_i_m_i[1] - Inst SM_AMIGA_srsts_i_a6_1_1_ SM_AMIGA_srsts_i_a6_1[1] - Inst SM_AMIGA_srsts_i_a6_2_ SM_AMIGA_srsts_i_a6[2] - Inst pos_clk_un29_clk_000_ne_d0_i pos_clk.un29_clk_000_ne_d0_i - Inst SM_AMIGA_srsts_i_a6_0_2_ SM_AMIGA_srsts_i_a6_0[2] - Inst pos_clk_CYCLE_DMA_5_1_i_o2_i pos_clk.CYCLE_DMA_5_1_i_o2_i - Inst SM_AMIGA_srsts_i_a6_3_ SM_AMIGA_srsts_i_a6[3] - Inst SM_AMIGA_srsts_i_a6_0_3_ SM_AMIGA_srsts_i_a6_0[3] - Inst SM_AMIGA_srsts_i_a6_1_3_ SM_AMIGA_srsts_i_a6_1[3] - Inst SM_AMIGA_srsts_i_a6_4_ SM_AMIGA_srsts_i_a6[4] - Inst A_i_31_ A_i[31] - Inst pos_clk_un4_bgack_000_i_o2_i pos_clk.un4_bgack_000_i_o2_i - Inst pos_clk_un37_as_030_d0_i_o2_i pos_clk.un37_as_030_d0_i_o2_i - Inst IPL_030_0_ IPL_030[0] - Inst pos_clk_un21_clk_000_ne_d0 pos_clk.un21_clk_000_ne_d0 - Inst IPL_030_1_ IPL_030[1] - Inst pos_clk_un7_clk_000_pe pos_clk.un7_clk_000_pe - Inst IPL_030_2_ IPL_030[2] - Inst pos_clk_SIZE_DMA_6_0_0_o2_i_0_ pos_clk.SIZE_DMA_6_0_0_o2_i[0] - Inst pos_clk_un23_clk_000_ne_d0 pos_clk.un23_clk_000_ne_d0 - Inst IPL_0_ IPL[0] - Inst IPL_1_ IPL[1] - Inst pos_clk_un24_bgack_030_int_i_0_o2_i pos_clk.un24_bgack_030_int_i_0_o2_i - Inst IPL_2_ IPL[2] - Inst pos_clk_un29_clk_000_ne_d0 pos_clk.un29_clk_000_ne_d0 - Inst pos_clk_un6_bgack_000_0_i pos_clk.un6_bgack_000_0_i - Inst FC_0_ FC[0] - Inst FC_1_ FC[1] - Inst A_i_25_ A_i[25] - Inst A_i_26_ A_i[26] - Inst A_i_27_ A_i[27] - Inst pos_clk_CYCLE_DMA_5_1_i pos_clk.CYCLE_DMA_5_1_i - Inst A_i_28_ A_i[28] - Inst pos_clk_CYCLE_DMA_5_0_i_1 pos_clk.CYCLE_DMA_5_0_i_1 - Inst A_i_29_ A_i[29] - Inst pos_clk_CYCLE_DMA_5_0_i pos_clk.CYCLE_DMA_5_0_i - Inst A_i_30_ A_i[30] - Inst pos_clk_un37_as_030_d0_i_a2_1_1 pos_clk.un37_as_030_d0_i_a2_1_1 - Inst cpu_est_0_1__r cpu_est_0_1_.r - Inst pos_clk_un37_as_030_d0_i_a2_1_2 pos_clk.un37_as_030_d0_i_a2_1_2 - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_r AMIGA_BUS_ENABLE_DMA_HIGH_0.r - Inst cpu_est_0_1__m cpu_est_0_1_.m - Inst pos_clk_un37_as_030_d0_i_a2_1_3 pos_clk.un37_as_030_d0_i_a2_1_3 - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_m AMIGA_BUS_ENABLE_DMA_HIGH_0.m - Inst cpu_est_0_1__n cpu_est_0_1_.n - Inst pos_clk_un37_as_030_d0_i_a2_1_4 pos_clk.un37_as_030_d0_i_a2_1_4 - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.n - Inst cpu_est_0_1__p cpu_est_0_1_.p - Inst pos_clk_un37_as_030_d0_i_a2_1 pos_clk.un37_as_030_d0_i_a2_1 - Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_p AMIGA_BUS_ENABLE_DMA_HIGH_0.p - Inst cpu_est_0_2__r cpu_est_0_2_.r - Inst pos_clk_un6_bg_030_0_a2_1 pos_clk.un6_bg_030_0_a2_1 - Inst cpu_est_0_2__m cpu_est_0_2_.m - Inst pos_clk_un6_bg_030_0_a2 pos_clk.un6_bg_030_0_a2 - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_r AMIGA_BUS_ENABLE_DMA_LOW_0.r - Inst cpu_est_0_2__n cpu_est_0_2_.n - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_m AMIGA_BUS_ENABLE_DMA_LOW_0.m - Inst cpu_est_0_2__p cpu_est_0_2_.p - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_n AMIGA_BUS_ENABLE_DMA_LOW_0.n - Inst cpu_est_0_3__r cpu_est_0_3_.r - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_p AMIGA_BUS_ENABLE_DMA_LOW_0.p - Inst cpu_est_0_3__m cpu_est_0_3_.m - Inst RW_000_DMA_0_r RW_000_DMA_0.r - Inst cpu_est_0_3__n cpu_est_0_3_.n - Inst RW_000_DMA_0_m RW_000_DMA_0.m - Inst cpu_est_0_3__p cpu_est_0_3_.p - Inst RW_000_DMA_0_n RW_000_DMA_0.n - Inst pos_clk_CYCLE_DMA_5_1_i_1 pos_clk.CYCLE_DMA_5_1_i_1 - Inst RW_000_DMA_0_p RW_000_DMA_0.p - Inst BGACK_030_INT_0_r BGACK_030_INT_0.r - Inst BGACK_030_INT_0_m BGACK_030_INT_0.m - Inst BGACK_030_INT_0_n BGACK_030_INT_0.n - Inst BGACK_030_INT_0_p BGACK_030_INT_0.p - Inst IPL_D0_0_0_ IPL_D0_0[0] - Inst pos_clk_un24_bgack_030_int_i_0_o2_1 pos_clk.un24_bgack_030_int_i_0_o2_1 - Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r - Inst IPL_D0_0_1_ IPL_D0_0[1] - Inst pos_clk_un24_bgack_030_int_i_0_o2_2 pos_clk.un24_bgack_030_int_i_0_o2_2 - Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m - Inst IPL_D0_0_2_ IPL_D0_0[2] - Inst pos_clk_un24_bgack_030_int_i_0_o2 pos_clk.un24_bgack_030_int_i_0_o2 - Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n - Inst IPL_030_1_0_ IPL_030_1[0] - Inst pos_clk_un37_as_030_d0_i_o2_1 pos_clk.un37_as_030_d0_i_o2_1 - Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p - Inst IPL_030_1_1_ IPL_030_1[1] - Inst pos_clk_un37_as_030_d0_i_o2 pos_clk.un37_as_030_d0_i_o2 - Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r - Inst IPL_030_1_2_ IPL_030_1[2] - Inst pos_clk_un7_clk_000_d0_1 pos_clk.un7_clk_000_d0_1 - Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m - Inst IPL_030_0_0__r IPL_030_0_0_.r - Inst pos_clk_un7_clk_000_d0 pos_clk.un7_clk_000_d0 - Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n - Inst IPL_030_0_0__m IPL_030_0_0_.m - Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p - Inst IPL_030_0_0__n IPL_030_0_0_.n - Inst A0_DMA_0_r A0_DMA_0.r - Inst IPL_030_0_0__p IPL_030_0_0_.p - Inst A0_DMA_0_m A0_DMA_0.m - Inst IPL_030_0_1__r IPL_030_0_1_.r - Inst pos_clk_un9_clk_000_ne_3 pos_clk.un9_clk_000_ne_3 - Inst A0_DMA_0_n A0_DMA_0.n - Inst IPL_030_0_1__m IPL_030_0_1_.m - Inst pos_clk_un9_clk_000_ne_4 pos_clk.un9_clk_000_ne_4 - Inst A0_DMA_0_p A0_DMA_0.p - Inst IPL_030_0_1__n IPL_030_0_1_.n - Inst pos_clk_un9_clk_000_ne pos_clk.un9_clk_000_ne - Inst IPL_030_0_1__p IPL_030_0_1_.p - Inst pos_clk_un5_clk_000_pe_1 pos_clk.un5_clk_000_pe_1 - Inst IPL_030_0_2__r IPL_030_0_2_.r - Inst pos_clk_un5_clk_000_pe_2 pos_clk.un5_clk_000_pe_2 - Inst IPL_030_0_2__m IPL_030_0_2_.m - Inst pos_clk_un5_clk_000_pe_3 pos_clk.un5_clk_000_pe_3 - Inst IPL_030_0_2__n IPL_030_0_2_.n - Inst pos_clk_un5_clk_000_pe pos_clk.un5_clk_000_pe - Inst SIZE_DMA_i_0_ SIZE_DMA_i[0] - Inst IPL_030_0_2__p IPL_030_0_2_.p - Inst pos_clk_un27_clk_000_ne_d0_1 pos_clk.un27_clk_000_ne_d0_1 - Inst DSACK1_INT_0_r DSACK1_INT_0.r - Inst pos_clk_un27_clk_000_ne_d0_2_0 pos_clk.un27_clk_000_ne_d0_2_0 - Inst SIZE_DMA_i_1_ SIZE_DMA_i[1] - Inst DSACK1_INT_0_m DSACK1_INT_0.m - Inst pos_clk_un27_clk_000_ne_d0_3 pos_clk.un27_clk_000_ne_d0_3 - Inst DSACK1_INT_0_n DSACK1_INT_0.n - Inst pos_clk_un27_clk_000_ne_d0 pos_clk.un27_clk_000_ne_d0 - Inst A_i_16_ A_i[16] - Inst DSACK1_INT_0_p DSACK1_INT_0.p - Inst A_i_19_ A_i[19] - Inst AS_000_INT_0_r AS_000_INT_0.r - Inst A_i_18_ A_i[18] - Inst AS_000_INT_0_m AS_000_INT_0.m - Inst SIZE_DMA_0_1__r SIZE_DMA_0_1_.r - Inst AS_000_INT_0_n AS_000_INT_0.n - Inst SIZE_DMA_0_1__m SIZE_DMA_0_1_.m - Inst AS_000_INT_0_p AS_000_INT_0.p - Inst SM_AMIGA_nss_i_i_a6_2_0_ SM_AMIGA_nss_i_i_a6_2[0] - Inst SIZE_DMA_0_1__n SIZE_DMA_0_1_.n - Inst LDS_000_INT_0_r LDS_000_INT_0.r - Inst SIZE_DMA_0_1__p SIZE_DMA_0_1_.p - Inst LDS_000_INT_0_m LDS_000_INT_0.m - Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 - Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3 - Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1 - Net un1_rst_dly_i_m_1__n un1_RST_DLY_i_m[1] - Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 - Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3 - Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1 - Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0 - Net vcc_n_n VCC - Net a0_dma_0_un3_n A0_DMA_0.un3 - Net cpu_est_3__n cpu_est[3] - Net a0_dma_0_un1_n A0_DMA_0.un1 - Net a0_dma_0_un0_n A0_DMA_0.un0 - Net pos_clk_a0_dma_3_n pos_clk.A0_DMA_3 - Net size_dma_0_1__un3_n SIZE_DMA_0_1_.un3 - Net gnd_n_n GND - Net size_dma_0_1__un1_n SIZE_DMA_0_1_.un1 - Net size_dma_0_1__un0_n SIZE_DMA_0_1_.un0 - Net size_dma_0_0__un3_n SIZE_DMA_0_0_.un3 - Net size_dma_0_0__un1_n SIZE_DMA_0_0_.un1 - Net size_dma_0_0__un0_n SIZE_DMA_0_0_.un0 - Net un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3 - Net pos_clk_size_dma_6_1__n pos_clk.SIZE_DMA_6[1] - Net pos_clk_un5_bgack_030_int_d_i_n pos_clk.un5_bgack_030_int_d_i - Net un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1 - Net pos_clk_size_dma_6_0__n pos_clk.SIZE_DMA_6[0] - Net pos_clk_un24_bgack_030_int_i_0_0_n pos_clk.un24_bgack_030_int_i_0_0 - Net un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0 - Net cpu_est_0_1__un3_n cpu_est_0_1_.un3 - Net pos_clk_un6_bgack_000_n pos_clk.un6_bgack_000 - Net cpu_est_0_1__un1_n cpu_est_0_1_.un1 - Net cpu_est_0_1__un0_n cpu_est_0_1_.un0 - Net pos_clk_un6_bgack_000_0_n pos_clk.un6_bgack_000_0 - Net cpu_est_0_2__un3_n cpu_est_0_2_.un3 - Net pos_clk_un5_bgack_030_int_d_n pos_clk.un5_bgack_030_int_d - Net cpu_est_0_2__un1_n cpu_est_0_2_.un1 - Net cpu_est_0_2__un0_n cpu_est_0_2_.un0 - Net cpu_est_0__n cpu_est[0] - Net cpu_est_0_3__un3_n cpu_est_0_3_.un3 - Net cpu_est_1__n cpu_est[1] - Net cpu_est_0_3__un1_n cpu_est_0_3_.un1 - Net pos_clk_size_dma_6_0_0__n pos_clk.SIZE_DMA_6_0[0] - Net cpu_est_0_3__un0_n cpu_est_0_3_.un0 - Net sm_amiga_5__n SM_AMIGA[5] - Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 - Net pos_clk_size_dma_6_0_1__n pos_clk.SIZE_DMA_6_0[1] - Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 - Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 - Net un1_rst_dly_i_m_i_1__n un1_RST_DLY_i_m_i[1] - Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 - Net pos_clk_rst_dly_5_iv_i_0__n pos_clk.RST_DLY_5_iv_i[0] - Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 - Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 - Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 - Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 - Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 - Net cycle_dma_0__n CYCLE_DMA[0] - Net dsack1_int_0_un3_n DSACK1_INT_0.un3 - Net cycle_dma_1__n CYCLE_DMA[1] - Net dsack1_int_0_un1_n DSACK1_INT_0.un1 - Net size_dma_0__n SIZE_DMA[0] - Net dsack1_int_0_un0_n DSACK1_INT_0.un0 - Net size_dma_1__n SIZE_DMA[1] - Net as_000_int_0_un3_n AS_000_INT_0.un3 - Net as_000_int_0_un1_n AS_000_INT_0.un1 - Net as_000_int_0_un0_n AS_000_INT_0.un0 - Net a_i_19__n A_i[19] - Net lds_000_int_0_un3_n LDS_000_INT_0.un3 - Net a_i_18__n A_i[18] - Net un1_rst_dly_i_m_i_2__n un1_RST_DLY_i_m_i[2] - Net lds_000_int_0_un1_n LDS_000_INT_0.un1 - Net a_i_16__n A_i[16] - Net pos_clk_rst_dly_5_iv_i_1__n pos_clk.RST_DLY_5_iv_i[1] - Net lds_000_int_0_un0_n LDS_000_INT_0.un0 - Net size_dma_i_1__n SIZE_DMA_i[1] - Net un1_rst_dly_i_m_i_3__n un1_RST_DLY_i_m_i[3] - Net rw_000_int_0_un3_n RW_000_INT_0.un3 - Net size_dma_i_0__n SIZE_DMA_i[0] - Net pos_clk_rst_dly_5_iv_i_2__n pos_clk.RST_DLY_5_iv_i[2] - Net rw_000_int_0_un1_n RW_000_INT_0.un1 - Net un1_rst_dly_i_m_i_4__n un1_RST_DLY_i_m_i[4] - Net rw_000_int_0_un0_n RW_000_INT_0.un0 - Net pos_clk_rst_dly_5_iv_i_3__n pos_clk.RST_DLY_5_iv_i[3] - Net uds_000_int_0_un3_n UDS_000_INT_0.un3 - Net clk_000_p_sync_9__n CLK_000_P_SYNC[9] - Net un1_rst_dly_i_m_i_5__n un1_RST_DLY_i_m_i[5] - Net uds_000_int_0_un1_n UDS_000_INT_0.un1 - Net pos_clk_rst_dly_5_iv_i_4__n pos_clk.RST_DLY_5_iv_i[4] - Net uds_000_int_0_un0_n UDS_000_INT_0.un0 - Net clk_000_n_sync_11__n CLK_000_N_SYNC[11] - Net un1_rst_dly_i_m_i_6__n un1_RST_DLY_i_m_i[6] - Net vma_int_0_un3_n VMA_INT_0.un3 - Net cpu_est_2__n cpu_est[2] - Net sm_amiga_i_i_7__n SM_AMIGA_i_i[7] - Net pos_clk_rst_dly_5_iv_i_5__n pos_clk.RST_DLY_5_iv_i[5] - Net vma_int_0_un1_n VMA_INT_0.un1 - Net ipl_d0_0__n IPL_D0[0] - Net un1_rst_dly_i_m_i_7__n un1_RST_DLY_i_m_i[7] - Net vma_int_0_un0_n VMA_INT_0.un0 - Net ipl_d0_1__n IPL_D0[1] - Net pos_clk_rst_dly_5_iv_i_6__n pos_clk.RST_DLY_5_iv_i[6] - Net bg_000_0_un3_n BG_000_0.un3 - Net ipl_d0_2__n IPL_D0[2] - Net un1_rst_dly_i_m_i_8__n un1_RST_DLY_i_m_i[8] - Net bg_000_0_un1_n BG_000_0.un1 - Net sm_amiga_3__n SM_AMIGA[3] - Net pos_clk_rst_dly_5_iv_i_7__n pos_clk.RST_DLY_5_iv_i[7] - Net bg_000_0_un0_n BG_000_0.un0 - Net sm_amiga_i_6__n SM_AMIGA_i[6] - Net ds_000_dma_0_un3_n DS_000_DMA_0.un3 - Net pos_clk_un6_bg_030_n pos_clk.un6_bg_030 - Net ds_000_dma_0_un1_n DS_000_DMA_0.un1 - Net sm_amiga_0__n SM_AMIGA[0] - Net sm_amiga_i_5__n SM_AMIGA_i[5] - Net clk_000_n_sync_i_10__n CLK_000_N_SYNC_i[10] - Net ds_000_dma_0_un0_n DS_000_DMA_0.un0 - Net pos_clk_un9_clk_000_n_sync_i_n pos_clk.un9_clk_000_n_sync_i - Net as_000_dma_0_un3_n AS_000_DMA_0.un3 - Net un1_rst_dly_i_1__n un1_RST_DLY_i[1] - Net pos_clk_un14_clk_000_n_sync_0_n pos_clk.un14_clk_000_n_sync_0 - Net as_000_dma_0_un1_n AS_000_DMA_0.un1 - Net pos_clk_clk_000_p_sync_2_0__n pos_clk.CLK_000_P_SYNC_2[0] - Net as_000_dma_0_un0_n AS_000_DMA_0.un0 - Net pos_clk_clk_000_n_sync_2_0__n pos_clk.CLK_000_N_SYNC_2[0] - Net a_15__n A[15] - Net pos_clk_ipl_n pos_clk.ipl - Net un1_rst_dly_i_2__n un1_RST_DLY_i[2] - Net pos_clk_un3_ds_030_d0_n pos_clk.un3_ds_030_d0 - Net un1_rst_dly_i_3__n un1_RST_DLY_i[3] - Net a_14__n A[14] - Net sm_amiga_6__n SM_AMIGA[6] - Net un1_rst_dly_i_4__n un1_RST_DLY_i[4] - Net rst_dly_0__n RST_DLY[0] - Net un1_rst_dly_i_5__n un1_RST_DLY_i[5] - Net a_13__n A[13] - Net rst_dly_1__n RST_DLY[1] - Net un1_rst_dly_i_6__n un1_RST_DLY_i[6] - Net rst_dly_2__n RST_DLY[2] - Net un1_rst_dly_i_7__n un1_RST_DLY_i[7] - Net pos_clk_ds_000_dma_4_0_n pos_clk.DS_000_DMA_4_0 - Net a_12__n A[12] - Net rst_dly_3__n RST_DLY[3] - Net un1_rst_dly_i_8__n un1_RST_DLY_i[8] - Net rst_dly_4__n RST_DLY[4] - Net a_11__n A[11] - Net rst_dly_5__n RST_DLY[5] - Net pos_clk_un11_clk_000_n_sync_i_n pos_clk.un11_clk_000_n_sync_i - Net rst_dly_6__n RST_DLY[6] - Net a_10__n A[10] - Net rst_dly_7__n RST_DLY[7] - Net pos_clk_un8_bg_030_n pos_clk.un8_bg_030 - Net a_9__n A[9] - Net clk_000_p_sync_0__n CLK_000_P_SYNC[0] - Net clk_000_p_sync_1__n CLK_000_P_SYNC[1] - Net cpu_est_i_0__n cpu_est_i[0] - Net a_8__n A[8] - Net clk_000_p_sync_2__n CLK_000_P_SYNC[2] - Net cpu_est_i_1__n cpu_est_i[1] - Net clk_000_p_sync_3__n CLK_000_P_SYNC[3] - Net cpu_est_i_3__n cpu_est_i[3] - Net a_7__n A[7] - Net clk_000_p_sync_4__n CLK_000_P_SYNC[4] - Net a_i_24__n A_i[24] - Net clk_000_p_sync_5__n CLK_000_P_SYNC[5] - Net pos_clk_un7_clk_000_d0_i_n pos_clk.un7_clk_000_d0_i - Net a_6__n A[6] - Net clk_000_p_sync_6__n CLK_000_P_SYNC[6] - Net sm_amiga_i_0__n SM_AMIGA_i[0] - Net clk_000_p_sync_7__n CLK_000_P_SYNC[7] - Net sm_amiga_i_3__n SM_AMIGA_i[3] - Net a_5__n A[5] - Net clk_000_p_sync_8__n CLK_000_P_SYNC[8] - Net clk_000_n_sync_0__n CLK_000_N_SYNC[0] - Net cpu_est_i_2__n cpu_est_i[2] - Net a_4__n A[4] - Net clk_000_n_sync_1__n CLK_000_N_SYNC[1] - Net sm_amiga_i_1__n SM_AMIGA_i[1] - Net clk_000_n_sync_2__n CLK_000_N_SYNC[2] - Net sm_amiga_i_4__n SM_AMIGA_i[4] - Net a_3__n A[3] - Net clk_000_n_sync_3__n CLK_000_N_SYNC[3] - Net sm_amiga_i_2__n SM_AMIGA_i[2] - Net clk_000_n_sync_4__n CLK_000_N_SYNC[4] - Net pos_clk_un29_clk_000_ne_d0_i_n pos_clk.un29_clk_000_ne_d0_i - Net a_2__n A[2] - Net clk_000_n_sync_5__n CLK_000_N_SYNC[5] - Net clk_000_n_sync_6__n CLK_000_N_SYNC[6] - Net clk_000_n_sync_7__n CLK_000_N_SYNC[7] - Net clk_000_n_sync_8__n CLK_000_N_SYNC[8] - Net clk_000_n_sync_9__n CLK_000_N_SYNC[9] - Net a_i_31__n A_i[31] - Net clk_000_n_sync_10__n CLK_000_N_SYNC[10] - Net a_i_29__n A_i[29] - Net a_i_30__n A_i[30] - Net a_i_27__n A_i[27] - Net pos_clk_cpu_est_11_0_3__n pos_clk.cpu_est_11_0[3] - Net pos_clk_un7_clk_000_pe_n pos_clk.un7_clk_000_pe - Net a_i_28__n A_i[28] - Net a_i_25__n A_i[25] - Net a_i_26__n A_i[26] - Net pos_clk_cpu_est_11_0_1__n pos_clk.cpu_est_11_0[1] - Net sm_amiga_1__n SM_AMIGA[1] - Net sm_amiga_4__n SM_AMIGA[4] - Net sm_amiga_2__n SM_AMIGA[2] - Net pos_clk_ds_000_dma_4_n pos_clk.DS_000_DMA_4 - Net pos_clk_un21_clk_000_ne_d0_i_n pos_clk.un21_clk_000_ne_d0_i - Net pos_clk_un27_clk_000_ne_d0_i_n pos_clk.un27_clk_000_ne_d0_i - Net pos_clk_un23_clk_000_ne_d0_0_n pos_clk.un23_clk_000_ne_d0_0 - Net size_c_0__n SIZE_c[0] - Net pos_clk_un5_clk_000_pe_i_n pos_clk.un5_clk_000_pe_i - Net size_0__n SIZE[0] - Net pos_clk_un9_clk_000_ne_i_n pos_clk.un9_clk_000_ne_i - Net size_c_1__n SIZE_c[1] - Net pos_clk_un7_clk_000_pe_0_n pos_clk.un7_clk_000_pe_0 - Net pos_clk_un11_ds_030_d0_i_n pos_clk.un11_ds_030_d0_i - Net size_c_i_1__n SIZE_c_i[1] - Net ipl_c_i_2__n IPL_c_i[2] - Net ipl_c_i_1__n IPL_c_i[1] - Net ipl_c_i_0__n IPL_c_i[0] - Net sm_amiga_i_7__n SM_AMIGA_i[7] - Net pos_clk_cpu_est_11_1__n pos_clk.cpu_est_11[1] - Net pos_clk_cpu_est_11_3__n pos_clk.cpu_est_11[3] - Net pos_clk_un24_bgack_030_int_i_0_n pos_clk.un24_bgack_030_int_i_0 - Net a_c_16__n A_c[16] - Net a_16__n A[16] - Net a_c_17__n A_c[17] - Net a_17__n A[17] - Net a_c_18__n A_c[18] - Net a_18__n A[18] - Net a_c_19__n A_c[19] - Net a_19__n A[19] - Net pos_clk_un11_clk_000_n_sync_n pos_clk.un11_clk_000_n_sync - Net a_c_20__n A_c[20] - Net a_20__n A[20] - Net a_c_21__n A_c[21] - Net a_21__n A[21] - Net a_c_22__n A_c[22] - Net pos_clk_un6_bg_030_i_n pos_clk.un6_bg_030_i - Net a_22__n A[22] - Net pos_clk_un8_bg_030_0_n pos_clk.un8_bg_030_0 - Net a_c_23__n A_c[23] - Net a_23__n A[23] - Net a_c_24__n A_c[24] - Net pos_clk_un11_ds_030_d0_i_1_n pos_clk.un11_ds_030_d0_i_1 - Net pos_clk_un7_clk_000_d0_n pos_clk.un7_clk_000_d0 - Net a_24__n A[24] - Net pos_clk_un29_clk_000_ne_d0_n pos_clk.un29_clk_000_ne_d0 - Net a_c_25__n A_c[25] - Net pos_clk_cpu_est_11_0_1_1__n pos_clk.cpu_est_11_0_1[1] - Net a_25__n A[25] - Net pos_clk_cpu_est_11_0_2_1__n pos_clk.cpu_est_11_0_2[1] - Net pos_clk_un21_clk_000_ne_d0_n pos_clk.un21_clk_000_ne_d0 - Net a_c_26__n A_c[26] - Net pos_clk_cpu_est_11_0_1_3__n pos_clk.cpu_est_11_0_1[3] - Net pos_clk_un5_clk_000_pe_n pos_clk.un5_clk_000_pe - Net a_26__n A[26] - Net pos_clk_un9_clk_000_ne_n pos_clk.un9_clk_000_ne - Net a_c_27__n A_c[27] - Net pos_clk_un23_clk_000_ne_d0_n pos_clk.un23_clk_000_ne_d0 - Net a_27__n A[27] - Net pos_clk_un27_clk_000_ne_d0_n pos_clk.un27_clk_000_ne_d0 - Net a_c_28__n A_c[28] - Net pos_clk_un14_clk_000_n_sync_n pos_clk.un14_clk_000_n_sync - Net a_28__n A[28] - Net a_c_29__n A_c[29] - Net a_29__n A[29] - Net a_c_30__n A_c[30] - Net a_30__n A[30] - Net a_c_31__n A_c[31] - Net pos_clk_un9_clk_000_ne_1_n pos_clk.un9_clk_000_ne_1 - Net pos_clk_un9_clk_000_ne_2_n pos_clk.un9_clk_000_ne_2 - Net pos_clk_un9_clk_000_ne_3_n pos_clk.un9_clk_000_ne_3 - Net pos_clk_un9_clk_000_ne_4_n pos_clk.un9_clk_000_ne_4 - Net pos_clk_un5_clk_000_pe_1_n pos_clk.un5_clk_000_pe_1 - Net pos_clk_un5_clk_000_pe_2_n pos_clk.un5_clk_000_pe_2 - Net pos_clk_un5_clk_000_pe_3_n pos_clk.un5_clk_000_pe_3 - Net pos_clk_un27_clk_000_ne_d0_1_n pos_clk.un27_clk_000_ne_d0_1 - Net pos_clk_un27_clk_000_ne_d0_2_n pos_clk.un27_clk_000_ne_d0_2 - Net ipl_030_c_0__n IPL_030_c[0] - Net pos_clk_un27_clk_000_ne_d0_3_n pos_clk.un27_clk_000_ne_d0_3 - Net ipl_030_0__n IPL_030[0] - Net ipl_030_c_1__n IPL_030_c[1] - Net ipl_030_1__n IPL_030[1] - Net ipl_030_c_2__n IPL_030_c[2] - Net ipl_c_0__n IPL_c[0] - Net ipl_0__n IPL[0] - Net pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk.un24_bgack_030_int_i_0_0_1 - Net pos_clk_un9_clk_000_n_sync_n pos_clk.un9_clk_000_n_sync - Net ipl_c_1__n IPL_c[1] - Net pos_clk_un24_bgack_030_int_i_0_0_2_n pos_clk.un24_bgack_030_int_i_0_0_2 - Net un1_rst_dly_8__n un1_RST_DLY[8] - Net ipl_1__n IPL[1] - Net un1_rst_dly_7__n un1_RST_DLY[7] - Net ipl_c_2__n IPL_c[2] - Net pos_clk_un7_clk_000_d0_1_n pos_clk.un7_clk_000_d0_1 - Net un1_rst_dly_6__n un1_RST_DLY[6] - Net un1_rst_dly_5__n un1_RST_DLY[5] - Net un1_rst_dly_4__n un1_RST_DLY[4] - Net un1_rst_dly_3__n un1_RST_DLY[3] - Net pos_clk_un6_bg_030_1_n pos_clk.un6_bg_030_1 - Net un1_rst_dly_2__n un1_RST_DLY[2] - Net pos_clk_ipl_1_n pos_clk.ipl_1 - Net un1_rst_dly_i_m_8__n un1_RST_DLY_i_m[8] - Net amiga_bus_enable_dma_high_0_un3_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un3 - Net un1_rst_dly_i_m_7__n un1_RST_DLY_i_m[7] - Net fc_c_0__n FC_c[0] - Net amiga_bus_enable_dma_high_0_un1_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un1 - Net un1_rst_dly_i_m_6__n un1_RST_DLY_i_m[6] - Net fc_0__n FC[0] - Net amiga_bus_enable_dma_high_0_un0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un0 - Net un1_rst_dly_i_m_5__n un1_RST_DLY_i_m[5] - Net fc_c_1__n FC_c[1] - Net amiga_bus_enable_dma_low_0_un3_n AMIGA_BUS_ENABLE_DMA_LOW_0.un3 - Net un1_rst_dly_i_m_4__n un1_RST_DLY_i_m[4] - Net amiga_bus_enable_dma_low_0_un1_n AMIGA_BUS_ENABLE_DMA_LOW_0.un1 - Net un1_rst_dly_i_m_3__n un1_RST_DLY_i_m[3] - Net amiga_bus_enable_dma_low_0_un0_n AMIGA_BUS_ENABLE_DMA_LOW_0.un0 - Net un1_rst_dly_i_m_2__n un1_RST_DLY_i_m[2] - Net rw_000_dma_0_un3_n RW_000_DMA_0.un3 - Net rw_000_dma_0_un1_n RW_000_DMA_0.un1 - Net rw_000_dma_0_un0_n RW_000_DMA_0.un0 - Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 - Net un1_rst_dly_1__n un1_RST_DLY[1] - Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 -End -Section Type Name -// ---------------------------------------------------------------------- - Input A_31_ - Input IPL_2_ - Input FC_1_ - Input A1 - Input nEXP_SPACE - Input BG_030 - Input BGACK_000 - Input CLK_030 - Input CLK_000 - Input CLK_OSZI - Input FPU_SENSE - Input DTACK - Input VPA - Input RST - Input A_30_ - Input A_29_ - Input A_28_ - Input A_27_ - Input A_26_ - Input A_25_ - Input A_24_ - Input A_23_ - Input A_22_ - Input A_21_ - Input A_20_ - Input A_19_ - Input A_18_ - Input A_17_ - Input A_16_ - Input A_15_ - Input A_14_ - Input A_13_ - Input A_12_ - Input A_11_ - Input A_10_ - Input A_9_ - Input A_8_ - Input A_7_ - Input A_6_ - Input A_5_ - Input A_4_ - Input A_3_ - Input A_2_ - Input IPL_1_ - Input IPL_0_ - Input FC_0_ - Output IPL_030_2_ - Output BG_000 - Output BGACK_030 - Output CLK_DIV_OUT - Output CLK_EXP - Output FPU_CS - Output DSACK1 - Output AVEC - Output E - Output VMA - Output RESET - Output AMIGA_ADDR_ENABLE - Output AMIGA_BUS_DATA_DIR - Output AMIGA_BUS_ENABLE_LOW - Output AMIGA_BUS_ENABLE_HIGH - Output CIIN - Output IPL_030_1_ - Output IPL_030_0_ - Bidi SIZE_1_ - Bidi AS_030 - Bidi AS_000 - Bidi RW_000 - Bidi DS_030 - Bidi UDS_000 - Bidi LDS_000 - Bidi A0 - Bidi BERR - Bidi RW - Bidi SIZE_0_ -End diff --git a/Logic/bus68030.srf b/Logic/bus68030.srf index 9ec312d..a8e4836 100644 --- a/Logic/bus68030.srf +++ b/Logic/bus68030.srf @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Thu Sep 24 16:20:52 2015 +#Sat Oct 10 21:59:41 2015 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -26,7 +26,7 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D2_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:35:127:37|Pruning register CLK_OUT_INT_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:36:124:38|Pruning register CLK_OUT_PRE_50_D_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":156:2:156:3|Pruning register CLK_030_D0_2 @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... @W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Register bit BGACK_030_INT_PRE is always 1, optimizing ... @@ -45,10 +45,10 @@ State machine has 8 reachable states with original encodings of: @W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused @END -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) +At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 24 16:20:52 2015 +# Sat Oct 10 21:59:42 2015 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -58,50 +58,6 @@ File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs cha At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 24 16:20:53 2015 - -###########################################################] -Map & Optimize Report - -Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May 6 2014 -Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use or distribution of the software is strictly prohibited. -Product Version I-2014.03LC -@N: MF248 |Running in 64-bit mode. -@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":498:16:498:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) -Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) -original code -> new code - 000 -> 00000000 - 001 -> 00000011 - 010 -> 00000101 - 011 -> 00001001 - 100 -> 00010001 - 101 -> 00100001 - 110 -> 01000001 - 111 -> 10000001 -@N: MO106 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":190:4:190:7|Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits -@W: BN132 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Removing instance CLK_000_P_SYNC[10], because it is equivalent to instance CLK_000_PE ---------------------------------------- -Resource Usage Report - -Simple gate primitives: -DFF 83 uses -BI_DIR 11 uses -IBUF 46 uses -OBUF 15 uses -BUFTH 3 uses -AND2 308 uses -INV 261 uses -OR2 25 uses -XOR2 15 uses - - -@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. -I-2014.03LC -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 24 16:20:54 2015 +# Sat Oct 10 21:59:43 2015 ###########################################################] diff --git a/Logic/dm/BUS68030_comp.xdm b/Logic/dm/BUS68030_comp.xdm index 456b443..ebe85f0 100644 --- a/Logic/dm/BUS68030_comp.xdm +++ b/Logic/dm/BUS68030_comp.xdm @@ -27,7 +27,7 @@ SRSqS SRSqSSqSSqSSqS"/ SR new code 000 -> 00000000 @@ -13,21 +14,21 @@ original code -> new code 101 -> 00100001 110 -> 01000001 111 -> 10000001 -@N: MO106 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":190:4:190:7|Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits +@N: MO106 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":191:4:191:7|Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits @W: BN132 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Removing instance CLK_000_P_SYNC[10], because it is equivalent to instance CLK_000_PE --------------------------------------- Resource Usage Report Simple gate primitives: -DFF 83 uses +DFF 78 uses BI_DIR 11 uses IBUF 46 uses -OBUF 15 uses -BUFTH 3 uses -AND2 308 uses -INV 261 uses +OBUF 14 uses +BUFTH 4 uses +AND2 307 uses +INV 265 uses OR2 25 uses -XOR2 15 uses +XOR2 7 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -37,6 +38,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 24 16:20:54 2015 +# Sat Oct 10 21:59:43 2015 ###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_errors.txt b/Logic/synlog/report/BUS68030_compiler_errors.txt index f4d32d7..b745913 100644 --- a/Logic/synlog/report/BUS68030_compiler_errors.txt +++ b/Logic/synlog/report/BUS68030_compiler_errors.txt @@ -1,3 +1,3 @@ -@E: CG119 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":216:10:216:11|Expecting closing ) +@E: CG119 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":215:39:215:40|Expecting closing ) @E|Parse errors encountered - exiting diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml index e979e5a..4d17f63 100644 --- a/Logic/synlog/report/BUS68030_compiler_runstatus.xml +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -29,13 +29,13 @@ The file contains the job information from compiler to be displayed as part of t - - 0h:00m:00s + 0h:00m:01s - - 1443104452 + 1444507182 \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_compiler_warnings.txt b/Logic/synlog/report/BUS68030_compiler_warnings.txt index e8538f3..22dc430 100644 --- a/Logic/synlog/report/BUS68030_compiler_warnings.txt +++ b/Logic/synlog/report/BUS68030_compiler_warnings.txt @@ -5,7 +5,7 @@ @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D2_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:35:127:37|Pruning register CLK_OUT_INT_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:36:124:38|Pruning register CLK_OUT_PRE_50_D_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":156:2:156:3|Pruning register CLK_030_D0_2 @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... @W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Register bit BGACK_030_INT_PRE is always 1, optimizing ... diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_notes.txt b/Logic/synlog/report/BUS68030_fpga_mapper_notes.txt index 9d279dd..1dd4c4d 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_notes.txt +++ b/Logic/synlog/report/BUS68030_fpga_mapper_notes.txt @@ -1,3 +1,3 @@ @N: MF248 |Running in 64-bit mode. -@N: MO106 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":190:4:190:7|Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits +@N: MO106 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":191:4:191:7|Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml index 06057a0..89c07c6 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -40,7 +40,7 @@ The file contains the job information from mapper to be displayed as part of the 105MB -1443104454 +1444507183 diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt b/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt index 7041c8b..97571e3 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt +++ b/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt @@ -1,2 +1,2 @@ -@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":498:16:498:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) +@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":513:16:513:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) @W: BN132 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Removing instance CLK_000_P_SYNC[10], because it is equivalent to instance CLK_000_PE diff --git a/Logic/syntmp/BUS68030_srr.htm b/Logic/syntmp/BUS68030_srr.htm index 6088e08..e8b26d2 100644 --- a/Logic/syntmp/BUS68030_srr.htm +++ b/Logic/syntmp/BUS68030_srr.htm @@ -1,5 +1,5 @@
-
+
 #Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
 #install: C:\ispLever\synpbase
 #OS: Windows 7 6.2
@@ -8,31 +8,31 @@
 #Implementation: logic
 
 $ Start of Compile
-#Thu Sep 24 16:20:52 2015
+#Sat Oct 10 21:59:41 2015
 
 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
 
-@N:CD720 : std.vhd(123) | Setting time resolution to ns
-@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
 VHDL syntax check successful!
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
-@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
-@W:CD638 : 68030-68000-bus.vhd(126) | Signal clk_out_pre is undriven 
+@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
+@W:CD638 : 68030-68000-bus.vhd(126) | Signal clk_out_pre is undriven 
 Post processing for work.bus68030.behavioral
-@W:CL169 : 68030-68000-bus.vhd(139) | Pruning register AMIGA_BUS_ENABLE_INT_4  
-@W:CL169 : 68030-68000-bus.vhd(133) | Pruning register CLK_000_D4_2  
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D3_2  
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D2_2  
-@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_INT_2  
-@W:CL169 : 68030-68000-bus.vhd(124) | Pruning register CLK_OUT_PRE_50_D_2  
-@W:CL169 : 68030-68000-bus.vhd(155) | Pruning register CLK_030_D0_2  
-@W:CL265 : 68030-68000-bus.vhd(135) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL271 : 68030-68000-bus.vhd(134) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL189 : 68030-68000-bus.vhd(139) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
-@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register SM_AMIGA
+@W:CL169 : 68030-68000-bus.vhd(139) | Pruning register AMIGA_BUS_ENABLE_INT_4  
+@W:CL169 : 68030-68000-bus.vhd(133) | Pruning register CLK_000_D4_2  
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D3_2  
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D2_2  
+@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_INT_2  
+@W:CL169 : 68030-68000-bus.vhd(124) | Pruning register CLK_OUT_PRE_50_D_2  
+@W:CL169 : 68030-68000-bus.vhd(156) | Pruning register CLK_030_D0_2  
+@W:CL265 : 68030-68000-bus.vhd(135) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL271 : 68030-68000-bus.vhd(134) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL189 : 68030-68000-bus.vhd(139) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
+@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register SM_AMIGA
 Extracted state machine for register SM_AMIGA
 State machine has 8 reachable states with original encodings of:
    000
@@ -43,24 +43,24 @@ State machine has 8 reachable states with original encodings of:
    101
    110
    111
-@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register cpu_est
-@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
+@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register cpu_est
+@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
 @END
 
-At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
+At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 71MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Sep 24 16:20:52 2015
+# Sat Oct 10 21:59:42 2015
 
 ###########################################################]
 Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs changed - recompiling
 
 At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Sep 24 16:20:53 2015
+# Sat Oct 10 21:59:43 2015
 
 ###########################################################]
 Map & Optimize Report
@@ -68,8 +68,9 @@ Map & Optimize Report
 Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May  6 2014
 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use or distribution of the software is strictly prohibited.
 Product Version I-2014.03LC 
-@N:MF248 :  | Running in 64-bit mode. 
-@W:MO111 : 68030-68000-bus.vhd(498) | Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) 
+@N:MF248 :  | Running in 64-bit mode. 
+@W:MO111 : 68030-68000-bus.vhd(513) | Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) 
+@N: : 68030-68000-bus.vhd(139) | Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0]
 Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral))
 original code -> new code
    000 -> 00000000
@@ -80,31 +81,31 @@ original code -> new code
    101 -> 00100001
    110 -> 01000001
    111 -> 10000001
-@N:MO106 : 68030-68000-bus.vhd(190) | Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits 
-@W:BN132 : 68030-68000-bus.vhd(134) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
+@N:MO106 : 68030-68000-bus.vhd(191) | Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits 
+@W:BN132 : 68030-68000-bus.vhd(134) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
 ---------------------------------------
 Resource Usage Report
 
 Simple gate primitives:
-DFF             83 uses
+DFF             78 uses
 BI_DIR          11 uses
 IBUF            46 uses
-OBUF            15 uses
-BUFTH           3 uses
-AND2            308 uses
-INV             261 uses
+OBUF            14 uses
+BUFTH           4 uses
+AND2            307 uses
+INV             265 uses
 OR2             25 uses
-XOR2            15 uses
+XOR2            7 uses
 
 
-@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
+@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
 I-2014.03LC 
 Mapper successful!
 
 At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Sep 24 16:20:54 2015
+# Sat Oct 10 21:59:43 2015
 
 ###########################################################]
 
diff --git a/Logic/syntmp/BUS68030_toc.htm b/Logic/syntmp/BUS68030_toc.htm
index 3312219..9f694e6 100644
--- a/Logic/syntmp/BUS68030_toc.htm
+++ b/Logic/syntmp/BUS68030_toc.htm
@@ -16,7 +16,7 @@
 
  • Mapper Report
  • -
  • Session Log (16:20 24-Sep) +
  • Session Log (21:59 10-Oct)
    • diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index 8d2901f..baad302 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version I-2014.03LC Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml - Written on Thu Sep 24 16:20:52 2015 + Written on Sat Oct 10 21:59:41 2015 --> diff --git a/Logic/syntmp/statusReport.html b/Logic/syntmp/statusReport.html index 59bf772..0c74ffb 100644 --- a/Logic/syntmp/statusReport.html +++ b/Logic/syntmp/statusReport.html @@ -36,9 +36,9 @@ 12 0 - -0m:00s +0m:01s - -24.09.2015
      16:20:52 +10.10.2015
      21:59:42 @@ -49,12 +49,12 @@ 0m:00s 0m:00s 105MB -24.09.2015
      16:20:54 +10.10.2015
      21:59:43 Multi-srs Generator - Complete0m:00s24.09.2015
      16:20:53 + Complete0m:00s10.10.2015
      21:59:43 \ No newline at end of file diff --git a/Logic/synwork/BUS68030_comp.fdep b/Logic/synwork/BUS68030_comp.fdep index aa6837f..babd22e 100644 --- a/Logic/synwork/BUS68030_comp.fdep +++ b/Logic/synwork/BUS68030_comp.fdep @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401227568 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401227322 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401227322 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1443104440 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1444507148 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.fdeporig b/Logic/synwork/BUS68030_comp.fdeporig index 95b8d80..4b00b4a 100644 --- a/Logic/synwork/BUS68030_comp.fdeporig +++ b/Logic/synwork/BUS68030_comp.fdeporig @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401227568 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401227322 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401227322 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1443104440 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1444507148 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.srs b/Logic/synwork/BUS68030_comp.srs index 652651e..2ab3e9d 100644 Binary files a/Logic/synwork/BUS68030_comp.srs and b/Logic/synwork/BUS68030_comp.srs differ diff --git a/Logic/synwork/BUS68030_comp.tlg b/Logic/synwork/BUS68030_comp.tlg index 6e1c810..56d1cd4 100644 --- a/Logic/synwork/BUS68030_comp.tlg +++ b/Logic/synwork/BUS68030_comp.tlg @@ -7,7 +7,7 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D2_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:35:127:37|Pruning register CLK_OUT_INT_2 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:36:124:38|Pruning register CLK_OUT_PRE_50_D_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":155:2:155:3|Pruning register CLK_030_D0_2 +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":156:2:156:3|Pruning register CLK_030_D0_2 @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... @W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Register bit BGACK_030_INT_PRE is always 1, optimizing ... diff --git a/Logic/synwork/BUS68030_mult.srs b/Logic/synwork/BUS68030_mult.srs index 6821e58..22755f3 100644 Binary files a/Logic/synwork/BUS68030_mult.srs and b/Logic/synwork/BUS68030_mult.srs differ diff --git a/Logic/synwork/BUS68030_mult_srs/skeleton.srs b/Logic/synwork/BUS68030_mult_srs/skeleton.srs index c363b42..64f82fa 100644 Binary files a/Logic/synwork/BUS68030_mult_srs/skeleton.srs and b/Logic/synwork/BUS68030_mult_srs/skeleton.srs differ diff --git a/Logic/synwork/BUS68030_s.srs b/Logic/synwork/BUS68030_s.srs index 652651e..2ab3e9d 100644 Binary files a/Logic/synwork/BUS68030_s.srs and b/Logic/synwork/BUS68030_s.srs differ